JP2005508074A - 電解ケミカルメカニカルポリッシングを用いる基板平坦化 - Google Patents
電解ケミカルメカニカルポリッシングを用いる基板平坦化 Download PDFInfo
- Publication number
- JP2005508074A JP2005508074A JP2002574121A JP2002574121A JP2005508074A JP 2005508074 A JP2005508074 A JP 2005508074A JP 2002574121 A JP2002574121 A JP 2002574121A JP 2002574121 A JP2002574121 A JP 2002574121A JP 2005508074 A JP2005508074 A JP 2005508074A
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- electrolyte
- abrasive
- polishing
- substrate surface
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 450
- 238000005498 polishing Methods 0.000 title claims abstract description 165
- 239000000126 substance Substances 0.000 title description 22
- 239000003792 electrolyte Substances 0.000 claims abstract description 112
- 239000000463 material Substances 0.000 claims abstract description 107
- 238000000034 method Methods 0.000 claims abstract description 103
- 238000012545 processing Methods 0.000 claims abstract description 93
- 230000036961 partial effect Effects 0.000 claims abstract description 52
- 238000002161 passivation Methods 0.000 claims abstract description 36
- 230000008569 process Effects 0.000 claims description 46
- 238000012546 transfer Methods 0.000 claims description 38
- 238000011068 loading method Methods 0.000 claims description 34
- 238000004090 dissolution Methods 0.000 claims description 32
- 239000012530 fluid Substances 0.000 claims description 31
- 239000003112 inhibitor Substances 0.000 claims description 29
- 238000000151 deposition Methods 0.000 claims description 28
- 238000005260 corrosion Methods 0.000 claims description 27
- 230000007797 corrosion Effects 0.000 claims description 27
- 239000003795 chemical substances by application Substances 0.000 claims description 24
- 239000004020 conductor Substances 0.000 claims description 23
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 claims description 16
- 239000003082 abrasive agent Substances 0.000 claims description 15
- 239000008151 electrolyte solution Substances 0.000 claims description 15
- 238000004070 electrodeposition Methods 0.000 claims description 14
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 claims description 12
- 238000007517 polishing process Methods 0.000 claims description 12
- 239000002562 thickening agent Substances 0.000 claims description 12
- 238000000137 annealing Methods 0.000 claims description 10
- 239000003989 dielectric material Substances 0.000 claims description 10
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 8
- 229910000147 aluminium phosphate Inorganic materials 0.000 claims description 8
- 150000001875 compounds Chemical class 0.000 claims description 8
- LWIHDJKSTIGBAC-UHFFFAOYSA-K tripotassium phosphate Chemical compound [K+].[K+].[K+].[O-]P([O-])([O-])=O LWIHDJKSTIGBAC-UHFFFAOYSA-K 0.000 claims description 8
- QRUDEWIWKLJBPS-UHFFFAOYSA-N benzotriazole Chemical compound C1=CC=C2N[N][N]C2=C1 QRUDEWIWKLJBPS-UHFFFAOYSA-N 0.000 claims description 7
- 239000012964 benzotriazole Substances 0.000 claims description 7
- 239000002202 Polyethylene glycol Substances 0.000 claims description 6
- 239000006061 abrasive grain Substances 0.000 claims description 6
- 229920001223 polyethylene glycol Polymers 0.000 claims description 6
- 229910019142 PO4 Inorganic materials 0.000 claims description 5
- 230000001629 suppression Effects 0.000 claims description 5
- 238000011282 treatment Methods 0.000 claims description 5
- KAESVJOAVNADME-UHFFFAOYSA-N Pyrrole Chemical group C=1C=CNC=1 KAESVJOAVNADME-UHFFFAOYSA-N 0.000 claims description 4
- RAOSIAYCXKBGFE-UHFFFAOYSA-K [Cu+3].[O-]P([O-])([O-])=O Chemical compound [Cu+3].[O-]P([O-])([O-])=O RAOSIAYCXKBGFE-UHFFFAOYSA-K 0.000 claims description 4
- NBIIXXVUZAFLBC-UHFFFAOYSA-K phosphate Chemical compound [O-]P([O-])([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-K 0.000 claims description 4
- 239000010452 phosphate Substances 0.000 claims description 4
- 229920002635 polyurethane Polymers 0.000 claims description 4
- 239000004814 polyurethane Substances 0.000 claims description 4
- 229910000160 potassium phosphate Inorganic materials 0.000 claims description 4
- 235000011009 potassium phosphates Nutrition 0.000 claims description 4
- NNRAOBUKHNZQFX-UHFFFAOYSA-N 2H-benzotriazole-4-thiol Chemical compound SC1=CC=CC2=C1NN=N2 NNRAOBUKHNZQFX-UHFFFAOYSA-N 0.000 claims description 3
- 150000002334 glycols Chemical class 0.000 claims description 3
- 150000002894 organic compounds Chemical class 0.000 claims description 3
- OJMIONKXNSYLSR-UHFFFAOYSA-N phosphorous acid Chemical compound OP(O)O OJMIONKXNSYLSR-UHFFFAOYSA-N 0.000 claims description 3
- 239000011368 organic material Substances 0.000 claims description 2
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 59
- 239000010949 copper Substances 0.000 description 59
- 229910052802 copper Inorganic materials 0.000 description 59
- 239000000243 solution Substances 0.000 description 20
- 238000009713 electroplating Methods 0.000 description 18
- 230000008021 deposition Effects 0.000 description 16
- 230000004888 barrier function Effects 0.000 description 15
- 239000000203 mixture Substances 0.000 description 15
- 229910052751 metal Inorganic materials 0.000 description 13
- 239000002184 metal Substances 0.000 description 13
- 230000015654 memory Effects 0.000 description 12
- 238000007747 plating Methods 0.000 description 11
- 230000007547 defect Effects 0.000 description 10
- 230000009471 action Effects 0.000 description 9
- 230000015572 biosynthetic process Effects 0.000 description 8
- 238000004140 cleaning Methods 0.000 description 8
- 238000009472 formulation Methods 0.000 description 8
- 230000007246 mechanism Effects 0.000 description 8
- 239000000654 additive Substances 0.000 description 7
- 238000004151 rapid thermal annealing Methods 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 5
- 239000006227 byproduct Substances 0.000 description 5
- 238000009792 diffusion process Methods 0.000 description 5
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 5
- 239000010937 tungsten Substances 0.000 description 5
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- ARUVKPQLZAKDPS-UHFFFAOYSA-L copper(II) sulfate Chemical compound [Cu+2].[O-][S+2]([O-])([O-])[O-] ARUVKPQLZAKDPS-UHFFFAOYSA-L 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- MNNHAPBLZZVQHP-UHFFFAOYSA-N diammonium hydrogen phosphate Chemical compound [NH4+].[NH4+].OP([O-])([O-])=O MNNHAPBLZZVQHP-UHFFFAOYSA-N 0.000 description 4
- 239000012528 membrane Substances 0.000 description 4
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 4
- 229920000642 polymer Polymers 0.000 description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 3
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 description 3
- 239000000872 buffer Substances 0.000 description 3
- 239000002738 chelating agent Substances 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 description 3
- 229910000365 copper sulfate Inorganic materials 0.000 description 3
- 229910000388 diammonium phosphate Inorganic materials 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 230000002401 inhibitory effect Effects 0.000 description 3
- 239000011810 insulating material Substances 0.000 description 3
- 230000003993 interaction Effects 0.000 description 3
- 229910021645 metal ion Inorganic materials 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 230000002829 reductive effect Effects 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 239000002002 slurry Substances 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- YWYZEGXAUVWDED-UHFFFAOYSA-N triammonium citrate Chemical compound [NH4+].[NH4+].[NH4+].[O-]C(=O)CC(O)(CC([O-])=O)C([O-])=O YWYZEGXAUVWDED-UHFFFAOYSA-N 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- 229910000881 Cu alloy Inorganic materials 0.000 description 2
- JPVYNHNXODAKFH-UHFFFAOYSA-N Cu2+ Chemical compound [Cu+2] JPVYNHNXODAKFH-UHFFFAOYSA-N 0.000 description 2
- 239000005696 Diammonium phosphate Substances 0.000 description 2
- 241000393496 Electra Species 0.000 description 2
- 239000004813 Perfluoroalkoxy alkane Substances 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-L Phosphate ion(2-) Chemical compound OP([O-])([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-L 0.000 description 2
- 239000004695 Polyether sulfone Substances 0.000 description 2
- 239000004698 Polyethylene Substances 0.000 description 2
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 2
- 239000004809 Teflon Substances 0.000 description 2
- 229920006362 Teflon® Polymers 0.000 description 2
- 230000000996 additive effect Effects 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- VBIXEXWLHSRNKB-UHFFFAOYSA-N ammonium oxalate Chemical compound [NH4+].[NH4+].[O-]C(=O)C([O-])=O VBIXEXWLHSRNKB-UHFFFAOYSA-N 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 229920001940 conductive polymer Polymers 0.000 description 2
- 229910001431 copper ion Inorganic materials 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 235000019838 diammonium phosphate Nutrition 0.000 description 2
- 239000012636 effector Substances 0.000 description 2
- 238000003487 electrochemical reaction Methods 0.000 description 2
- 238000005868 electrolysis reaction Methods 0.000 description 2
- 238000001914 filtration Methods 0.000 description 2
- 229920002313 fluoropolymer Polymers 0.000 description 2
- 239000004811 fluoropolymer Substances 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 2
- 229910052737 gold Inorganic materials 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 238000007373 indentation Methods 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 239000012160 loading buffer Substances 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 230000003204 osmotic effect Effects 0.000 description 2
- RZXMPPFPUUCRFN-UHFFFAOYSA-N p-toluidine Chemical compound CC1=CC=C(N)C=C1 RZXMPPFPUUCRFN-UHFFFAOYSA-N 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- VLTRZXGMWDSKGL-UHFFFAOYSA-N perchloric acid Chemical compound OCl(=O)(=O)=O VLTRZXGMWDSKGL-UHFFFAOYSA-N 0.000 description 2
- 229920011301 perfluoro alkoxyl alkane Polymers 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 239000004033 plastic Substances 0.000 description 2
- 229920003023 plastic Polymers 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- 239000011148 porous material Substances 0.000 description 2
- 229910052709 silver Inorganic materials 0.000 description 2
- 239000004332 silver Substances 0.000 description 2
- LZXHHNKULPHARO-UHFFFAOYSA-M (3,4-dichlorophenyl)methyl-triphenylphosphanium;chloride Chemical compound [Cl-].C1=C(Cl)C(Cl)=CC=C1C[P+](C=1C=CC=CC=1)(C=1C=CC=CC=1)C1=CC=CC=C1 LZXHHNKULPHARO-UHFFFAOYSA-M 0.000 description 1
- VILCJCGEZXAXTO-UHFFFAOYSA-N 2,2,2-tetramine Chemical compound NCCNCCNCCN VILCJCGEZXAXTO-UHFFFAOYSA-N 0.000 description 1
- GWIAAIUASRVOIA-UHFFFAOYSA-N 2-aminonaphthalene-1-sulfonic acid Chemical compound C1=CC=CC2=C(S(O)(=O)=O)C(N)=CC=C21 GWIAAIUASRVOIA-UHFFFAOYSA-N 0.000 description 1
- LMPMFQXUJXPWSL-UHFFFAOYSA-N 3-(3-sulfopropyldisulfanyl)propane-1-sulfonic acid Chemical compound OS(=O)(=O)CCCSSCCCS(O)(=O)=O LMPMFQXUJXPWSL-UHFFFAOYSA-N 0.000 description 1
- SNKZJIOFVMKAOJ-UHFFFAOYSA-N 3-Amino-1-propanesulfonic acid Natural products NCCCS(O)(=O)=O SNKZJIOFVMKAOJ-UHFFFAOYSA-N 0.000 description 1
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- 239000004254 Ammonium phosphate Substances 0.000 description 1
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 description 1
- 239000005751 Copper oxide Substances 0.000 description 1
- RPNUMPOLZDHAAY-UHFFFAOYSA-N Diethylenetriamine Chemical compound NCCNCCN RPNUMPOLZDHAAY-UHFFFAOYSA-N 0.000 description 1
- 239000002000 Electrolyte additive Substances 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 1
- 241000699670 Mus sp. Species 0.000 description 1
- 239000002033 PVDF binder Substances 0.000 description 1
- 241000233805 Phoenix Species 0.000 description 1
- 239000004962 Polyamide-imide Substances 0.000 description 1
- 229920002873 Polyethylenimine Polymers 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 1
- 229910001362 Ta alloys Inorganic materials 0.000 description 1
- 229910001069 Ti alloy Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- 101100107923 Vitis labrusca AMAT gene Proteins 0.000 description 1
- 229910001080 W alloy Inorganic materials 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 125000003368 amide group Chemical group 0.000 description 1
- 150000001413 amino acids Chemical class 0.000 description 1
- 125000003277 amino group Chemical group 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- LFVGISIMTYGQHF-UHFFFAOYSA-N ammonium dihydrogen phosphate Chemical compound [NH4+].OP(O)([O-])=O LFVGISIMTYGQHF-UHFFFAOYSA-N 0.000 description 1
- 229910000148 ammonium phosphate Inorganic materials 0.000 description 1
- 235000019289 ammonium phosphates Nutrition 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 150000007514 bases Chemical class 0.000 description 1
- -1 brighteners Substances 0.000 description 1
- 150000007942 carboxylates Chemical class 0.000 description 1
- 229910000420 cerium oxide Inorganic materials 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 229910000431 copper oxide Inorganic materials 0.000 description 1
- 229910000366 copper(II) sulfate Inorganic materials 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- 230000002999 depolarising effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- NBIIXXVUZAFLBC-UHFFFAOYSA-M dihydrogenphosphate Chemical compound OP(O)([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-M 0.000 description 1
- 239000003814 drug Substances 0.000 description 1
- 229940079593 drug Drugs 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000003623 enhancer Substances 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 229920001903 high density polyethylene Polymers 0.000 description 1
- 239000004700 high-density polyethylene Substances 0.000 description 1
- QAOWNCQODCNURD-UHFFFAOYSA-M hydrogensulfate Chemical compound OS([O-])(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-M 0.000 description 1
- 230000005764 inhibitory process Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 150000002739 metals Chemical group 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 239000011236 particulate material Substances 0.000 description 1
- 239000013618 particulate matter Substances 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 150000003016 phosphoric acids Chemical class 0.000 description 1
- 229920003208 poly(ethylene sulfide) Polymers 0.000 description 1
- 229920000052 poly(p-xylylene) Polymers 0.000 description 1
- 229920002312 polyamide-imide Polymers 0.000 description 1
- 229920000768 polyamine Polymers 0.000 description 1
- 229920006393 polyether sulfone Polymers 0.000 description 1
- 108010094020 polyglycine Proteins 0.000 description 1
- 229920000232 polyglycine polymer Polymers 0.000 description 1
- 229920000151 polyglycol Polymers 0.000 description 1
- 239000010695 polyglycol Substances 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 229920002981 polyvinylidene fluoride Polymers 0.000 description 1
- 239000002244 precipitate Substances 0.000 description 1
- 238000003825 pressing Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000004094 surface-active agent Substances 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- FAGUFWYHJQFNRV-UHFFFAOYSA-N tetraethylenepentamine Chemical compound NCCNCCNCCNCCN FAGUFWYHJQFNRV-UHFFFAOYSA-N 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
- 150000003852 triazoles Chemical class 0.000 description 1
- 230000001960 triggered effect Effects 0.000 description 1
- 229920000785 ultra high molecular weight polyethylene Polymers 0.000 description 1
- 238000009827 uniform distribution Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/304—Mechanical treatment, e.g. grinding, polishing, cutting
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B23—MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
- B23H—WORKING OF METAL BY THE ACTION OF A HIGH CONCENTRATION OF ELECTRIC CURRENT ON A WORKPIECE USING AN ELECTRODE WHICH TAKES THE PLACE OF A TOOL; SUCH WORKING COMBINED WITH OTHER FORMS OF WORKING OF METAL
- B23H5/00—Combined machining
- B23H5/06—Electrochemical machining combined with mechanical working, e.g. grinding or honing
- B23H5/08—Electrolytic grinding
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Electrochemistry (AREA)
- Mechanical Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Mechanical Treatment Of Semiconductor (AREA)
- Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
- Weting (AREA)
- Formation Of Insulating Films (AREA)
Abstract
Description
【0001】
[0001] 本発明は、それぞれ引用されて本明細書に取り込まれた2001年3月14日出願の米国仮特許出願第60/275,874号、2001年4月24日出願の米国仮特許出願第60/286,107号、および2001年10月1日出願の米国仮特許出願第60/326,263号の特典を請求する。
【発明の背景】
【0002】
発明の分野
[0002] 本発明は、基板上の金属等の材料を平坦化するための装置および方法に関する。
【0003】
関連技術の背景
[0003] 次世代の超大規模集積回路(ULSI)にとって、サブクォータミクロンの多層メタライゼーションは主要技術の一つである。この技術の核心である多層配線は、高アスペクト比開口に形成されたコンタクト、バイア、配線、および他のフィーチャを含めた相互接続フィーチャの平坦化を必要とする。これら相互接続フィーチャを、信頼性をもって形成することは、ULSIの成功にとって、また個々の基板およびダイ上の回路の密度および品質を高めるための絶えざる努力にとって極めて重要である。
【0004】
[0004] 集積回路および他の電子デバイスの製造においては、導電性、半導電性、および誘電性の各材料の多数層が基板面に堆積されるか、またはそこから除去される。導電性、半導電性、および誘電性の各材料の薄層は多くの堆積技法によって堆積させることができる。最新の処理において一般的な堆積技法には、スパッタリングとして知られる物理蒸着(PVD)、化学的気相成長(CVD)、プラズマ強化化学的気相成長(PECVD)、そして今では電気化学メッキ(ECP)が含まれる。
【0005】
[0005] 材料の層が順次、堆積および除去されるに従い、基板の最上面はその表面にわたって非平坦になり、平坦化が必要になる。表面の平坦化、すなわち表面の「研磨(ポリッシング)」は、一般的には、一様な平坦面を形成するために材料を基板の表面から除去する処理である。平坦化は、粗面、凝集材料、結晶格子損傷、掻き傷、および汚染層または汚染材料等、望ましくない表面形状(surface topography)および表面欠陥の除去に有用である。平坦化は、フィーチャを充填して、後に続く階層のメタライゼーションおよび処理のための一様な表面を提供するために使用された材料の過剰堆積を除去することによって、基板上へフィーチャを形成する場合にも有用である。
【0006】
[0006] 化学的機械的平坦化、すなわちケミカルメカニカルポリッシング(CMP)は、基板を平坦化するために使用される普通の技法である。CMPは、基板から材料を選択的に除去するために化学的配合物、典型的にはスラリまたは他の流体媒質を利用している。従来のCMP技法では、基板キャリアまたは研磨ヘッドがキャリアーアセンブリ上に搭載され、CMP装置内で研磨パッドと接触するように配置される。キャリアーアセンブリは、基板を研磨パッドへ押し付ける調節可能な圧力を基板へ与える。パッドは、外部駆動力によって基板に対して動かされる。CMP装置は、研磨組成物すなわちスラリを分散させる間、基板面と研磨パッドとの間の研磨運動すなわちこすり運動を行って、化学的作用および/または機械的作用がなされる結果、基板面から材料が除去される。
【0007】
[0007] 銅は、集積回路および他の電子デバイス中に導電路を与える相互接続を形成する金属として、ULSIに採用されるようになってきている。銅は、アルミニウム等の従来材料に比べて、低い抵抗および良好な電気泳動特性など有利な性質を持つ材料である。銅は、PVD、CVD、および電気メッキ等の種々の技法によって堆積できる。電気メッキ(ECP)は確実に低コストで効率的な堆積技法であることが判明している。ECPは、基板をメッキ浴に導入し、基板へ電流を印加することによって行われる。銅イオンは溶液から析出して基板上へ堆積する。
【0008】
[0008] しかし、銅は、パターン化およびエッチングが困難である。従って、銅フィーチャは、ダマシンまたはデュアルダマシン処理を使用して形成される。ダマシン処理で、フィーチャは誘電材料中に画成された後に銅で充填される。銅堆積に先立って誘電層中に形成されたフィーチャの表面には、従形したバリア層が堆積される。次に、バリア層および周囲のフィールドの上から銅が堆積される。フィールド上に堆積された銅はCMP処理によって除去され、誘電材料中に形成された銅充填フィーチャを残す。砥材および砥材フリーの両CMP処理が利用可能であり、銅を除去するそれ以外の処理も開発途上にある。砥材とは、アルミナやシリカ等の粒子材料のことであり、研磨スラリへ加えられるか、または砥材固定研磨パッドから研磨中に離脱して、基板面へ機械的磨耗を与える。
【0009】
[0009] その上、基板面は、そこに形成されたフィーチャの密度またはサイズに依存して異なる表面形状を持つ可能性があり、それにより銅材料の基板面からの有効な従形除去が達成困難になる。例えば、銅材料は、形成フィーチャがあるにしても少ない基板面領域からの銅材料除去に比べて、基板面における高い密度のフィーチャ領域からは遅い除去速度で除去されることが観測された。更に、比較的一様ではない除去速度は、結果として基板の各領域の過少研磨をもたらし、残余の銅材料が研磨処理後に残ってしまうことになる。
【0010】
[0010] 全ての所望の銅材料を基板面から除去する一つの解決策は、基板面を過度研磨することである。しかし、材料によっては、過度研磨することにより皿状化と称するフィーチャの凹みまたは窪み等の形状欠陥の形成、あるいは腐食と称する、誘電材料の過剰除去を生ずる。皿状化および腐食による形状欠陥は、更に、その下に配置したバリア層材料等の追加材料の非均一除去へ導くこともあり、所望の研磨品質に達しない基板面を生成することになる。
【0011】
[0011] 銅表面の研磨に伴う別の問題は、基板面に銅ダマシンを形成するために使用する低誘電率(低k)の誘電材料に由来する。炭素ドープのケイ素酸化物等の低k誘電材料は、従来のダウン力(down force)と称する研磨圧力(すなわち約6psi)の下で変形したり掻き傷を付けることがあり、基板の研磨品質に悪影響を及ぼすとともに、デバイス形成に悪影響を及ぼす。例えば、基板と研磨パッド間の回転相対運動は、基板面に沿ってせん断力を誘発して低k材料を変形させることにより、掻き傷等の形状欠陥を形成し、後続の研磨に悪影響を及ぼす。
【0012】
[0012] その結果、基板上へ銅層等の金属層を堆積して平坦化する装置および方法に対するニーズがある。
【発明の概要】
【0013】
[0013] 本発明の各態様は、一般的には、基板と研磨装置間の低減した接触圧力を用いて基板面を平坦化する方法および装置を提供する。一態様において、基板を処理するための方法が提供され、この方法は、腐食阻止剤を含む電解液中に基板を位置決めすること、パッシベーション層を基板面に形成すること、基板を電解液中で研磨すること、陽極バイアスを基板面へ印加すること、および材料を基板面の少なくとも一部分から除去することを含む。
【0014】
[0014] 別の態様において、基板を処理するための方法が提供され、この方法は、基板を研磨材に隣接して電解液中に位置決めすること、電解液は基板面上に電流抑制層を形成するために腐食阻止剤、均展剤、粘ちょう化剤、またはそれらの組合せを含み、基板を電解液中で研磨材により研磨して電流抑制層の少なくとも一部分を除去すること、電解液中に位置決めされた陽極と陰極との間にバイアスを印加すること、および材料を基板面の少なくとも一部分から陽極溶解により除去することを含む。
【0015】
[0015] 別の態様において、基板を処理するための装置が提供され、この装置は、処理区域を画成して流体取入口および流体取出口を有する部分的エンクロージャと、部分的エンクロージャ内に配置される陰極と、部分的エンクロージャ内に配置される研磨材と、基板搭載面を有して研磨材の上方に移動可能に配置される基板キャリアと、少なくとも部分的エンクロージャへ接続される電源と、装置が電解液中に基板を位置決めして基板面上へパッシベーション層を形成し、研磨材を用いて基板を電解液中で研磨し、陽極バイアスを基板面または研磨材へ印加するすることにより基板面の少なくとも一部分から材料を除去するように構成されるコンピュータ・ベースのコントローラとを含む。
【0016】
[0016] 別の態様において、電気化学的堆積装置が提供され、この装置は、メインフレームウェーハ移送ロボットを有するメインフレームと、メインフレームに接続して配置されたローディングステーションと、メインフレームに接続して配置された一つ以上の電気化学処理セルと、メインフレームに接続して配置された一つ以上の研磨プラテンと、一つ以上の電気化学処理セルへ流体接続された電解液供給装置と、一つ以上のへ接続された一つ以上の研磨流体供給装置とを含む。
【0017】
[0017] 先に列挙記載した特徴が得られる態様を克明に理解できるように、上で要約した本発明を、付帯する図面に示す本発明の実施形態を参照してより詳細に説明する。
【0018】
[0018] しかし、注意すべきは、付帯図面は本発明の単に典型的な実施形態を図解するだけであり、従って本発明は他の等しく有効な実施形態を許容し得ることから、本発明の範囲を限定すると考えてはならない。
【0019】
好ましい実施形態の詳細な説明
[0033] 一般に、本発明の各態様は、基板と研磨装置との間の低減した接触圧力で基板面を平坦化するための装置および方法を提供する。本発明を、電解ケミカルメカニカルポリッシング(ECMP)技法による基板面からの、銅および他の銅含有材料等の導電材料を除去する平坦化処理を参照して、以下に説明する。
【0020】
[0034] ここで使用する用語および語句は、更に定義されない限り、当業者がこの技術で用いている通常の慣用的な意味を持つものとする。ケミカルメカニカルポリッシングは広義に解釈されるものとし、化学的作用、機械的作用、または、化学的および機械的両作用の組合せによって基板面を磨耗させることを含むが、それに限定されるものではない。電解研磨は広義に解釈されるものとし、陽極溶解等の電気化学作用を適用することにより材料を基板から除去することを含むが、それに限定されるものではない。陽極溶解は本明細書では広義の記載であり、基板面または基板面と接触する導電性対象物への陽極バイアスの印加の結果、導電材料が基板面から周囲の導電性流体内へ移ることをいう。電解ケミカルメカニカルポリッシング(ECMP)は本明細書では広義に定義され、材料を基板面から除去するために電気化学的作用、化学的作用、機械的作用、または、電気化学的、化学的および機械的各作用の組合せを適用することにより基板を平坦化することである。本明細書に記載の処理の実行に使用できる例示の処理装置を図1〜図3に示す。
【0021】
[0035] 図1は、本明細書に記載の処理を実行するための処理装置100の一実施形態を示す。本発明の便益を受けるようにすることができる一つの研磨ツールは、カリフォルニア州サンタクララ所在のApplied Materials, Inc.から入手可能な Mirra(登録商標)MesaTMケミカルメカニカル研磨盤である。例示の装置100は、一般的には、ファクトリインタフェース108、ローディングロボット110、および処理モジュール112を備え、処理モジュール112はその上に配置された少なくとも一つの電気化学的処理ステーション102および少なくとも一つの従来の研磨ステーション106を有する。
【0022】
[0036] 一般的に、ローディングロボット110は、ファクトリインタフェース108および処理モジュール112に近接して配置され、両者間での基板122の移送を円滑にする。ファクトリインタフェース108は、一般的には、洗浄モジュール114および一つ以上の基板カセット116を含む。インタフェースロボット118を用いて、基板カセット116、洗浄モジュール114、および投入モジュール120間で基板123を移送する。投入モジュール120は、処理モジュール112とファクトリインタフェース108との間の、ローディングロボット110による基板123の移送を円滑にするように位置決めされる。有利に使用できるファクトリインタフェースの例は、同一譲受人であるApplied Materials,Inc.へ譲渡され、引用されて本明細書に取り込まれた2000年4月11日出願の米国特許出願第09/547,189号に開示されている。
【0023】
[0037] ローディングロボット110は、ロボット110により得られる運動範囲が、ファクトリインタフェース108と処理モジュール112との間での基板123の移送を円滑にするように、両者に近接して配置される。ローディングロボット110の例は、カリフォルニア州リッチモンド所在のKensington Laboratories,Inc.が製造する4−リンクロボットである。例示のローディングロボット110は、基板123を垂直または水平のいずれの配向へも向けることができるグリッパ111を有する。
【0024】
[0038] 図1に示す一実施形態において、例示の処理モジュール112は、電気化学的処理ステーション102および研磨ステーション106に加えて、移送ステーション122およびカルーセル134を有し、それら全てが機械ベース126上に配置されている。処理モジュール112は一つ以上の処理ステーションを備えることができ、モジュール112の各ステーション102、106は、電着や電解研磨等の電気化学的処理、および従来のケミカルメカニカルポリッシング処理、またはそれらの組合せを実行するようになされてもよい。各研磨ステーション106は、固定式研磨プラテン、回転式研磨プラテン、直動式研磨プラテン、回転直動式研磨プラテン、ローラ研磨プラテン、またはそれらの組合せを備えてもよい。研磨ステーション106に配置される研磨材は導電性であってもよく、および/または、砥材要素すなわち砥粒を含有してもよい。
【0025】
[0039] 一実施形態において、移送ステーション122は、少なくとも、投入バッファステーション128、取出バッファステーション130、移送ロボット132、およびロードカップアセンブリ124を備える。移送ロボット132は二つのグリッパアセンブリを有し、各グリッパアセンブリは基板123を基板縁部で把持する空圧式グリッパフィンガを有する。移送ロボット132は、基板123を投入バッファステーション128から持上げ、グリッパおよび基板123を回転させて基板123をロードカップアセンブリ134の上方に位置決めしてから、基板123をロードカップアセンブリ124上へ降ろして載置する。有利に使用できる移送ステーションの例は、同一譲受人であるApplied Materials,Inc.へ譲渡され、本明細書で引用されて取り込まれた1999年10月10日出願の米国特許出願第09/314,771号でTobinにより記載されている。
【0026】
[0040] カラーセル134は、全体的には、1998年9月8日に発行されたTolles他の米国特許第5,804,507号に記載されており、この特許は引用によりその全体を本明細書に取り込まれる。一般的には、カラーセル134はベース126の中心に配置される。カラーセル134は複数のアーム136を含むのが普通である。各アームはキャリア・ヘッド・アセンブリすなわち研磨ヘッド138を支持する。図1に示すアーム136のうちの一つを、移送ステーション122が見えるように、想像線で示す。カラーセル134は、モジュール102、106と移送ステーション122との間で研磨ヘッド138を移動できるように、インデックス送りが可能である。
【0027】
[0041] 一般的に研磨ヘッド138は基板123を把持する一方、研磨ステーション106上に配置された研磨材料(図示せず)にその基板を押し付ける。利用できる一つの研磨ヘッドは、カリフォルニア州サンタクララ所在のApplied Materials,Inc.が製造するTitan HeadTM基板キャリアである。
【0028】
[0042] 更に、基板面を機械的に研磨し、基板から材料を電気化学的に除去し、あるいは基板を装置100に移送する等の、装置上での一つ以上の処理ステップを実行するように装置100に命令するために、図示されてはいないが、コンピュータシステム、またはコンピュータ・ベースのコントローラ、またはコンピュータプログラムプロダクトを装置100へ接続できる。代替として、電気化学的堆積、または同時の電気化学的堆積および電解ポリッシングを実施する場合、コンピュータ装置、コンピュータ・ベースのコントローラ、またはコンピュータプログラムプロダクトがこれらステップを実行させるようにしてもよい。
【0029】
[0043] 上で説明したような装置100の制御を円滑にするために、コンピュータ・ベースのコントローラ140は、様々なチャンバおよびサブプロセッサを制御するために工業的場面で使用可能なCPU144またはコンピュータ・プロセッサの、いずれの形態のものであってもよい。CPU144へはメモリ142が結合され、このメモリすなわちコンピュータ可読媒体は、ローカルまたは遠隔の随時書込読出メモリ(RAM)、読出専用メモリ(ROM)、フロッピディスク、ハードディスクあるいはいずれか他の形態のデジタル記憶装置等、容易に利用可能な一つ以上のメモリであってよい。従来の様式でプロセッサを支援するために支援回路146がCPU144へ結合される。これら支援回路は、キャッシュ、電源、クロック回路、入/出力回路およびサブシステムおよび類似品を含む。例えば本明細書に記載の処理の態様に従う処理シーケンスは、ソフトウエアのルーチンとしてメモリに格納されるのが一般的である。ソフトウエアルーチンは、CPU144によって制御されるハードウエアから離れて置かれた第2CPU(図示せず)によって格納および/または実行されてもよい。
【0030】
[0044] 図2は、本明細書に記載の処理を実行するために、少なくとも一つの電気化学的処理ステーション218および少なくとも一つの従来の研磨ステーション215を有する別の実施形態の、処理システム200の上面略図である。本発明の便益を受けるようにすることができる一つのツールは、カリフォルニア州サンタクララ所在のApplied Materials,Inc.から入手可能なElectra(登録商標)処理装置等の電気化学的処理プラットフォームすなわち電気化学的処理装置である。
【0031】
[0045] システム200は、ローディングステーション210およびメインフレーム214を含む。メインフレーム214は、一般的には、メインフレーム移送ステーション216、スピン・リンス・ドライ(SRD)ステーション212、一つ以上の電気化学的処理ステーション218、および一つ以上の研磨ステーション215を含む。システム200は、急速熱アニール(RTA)チャンバ211のような熱アニールチャンバ、電解液補給システム220、および一つ以上の基板受渡カセット238を含んでもよい。
【0032】
[0046] 各電気化学的処理ステーション218は、一つ以上の電気化学的処理セル240を含む。電解液補給システム220はメインフレーム214に隣接して位置決めされ、個々の処理セル240へ接続されて、電気メッキ処理に使用する電解液を循環させる。各研磨ステーション215は一つ以上の研磨プラテン217を含む。研磨プラテン217は固定式研磨プラテン、回転式研磨プラテン、直動式研磨プラテン、回転直動式研磨プラテン、ローラ収容プラテンもしくは本明細書に記載のセル、またはそれらの組合せを含んでもよく、研磨材はプラテン上またはプラテン内に配置される。研磨プラテン217上に配置した研磨材は導電性であり、および/または、砥材要素すなわち砥粒を含有してもよい。
【0033】
[0047] システム200は、システムおよび制御システム222へ電力を与えるための電源ステーション221も含む。電源ステーション221は、個々の電気化学的セル240および研磨プラテン217へ接続可能な一つ以上の電源を含む。制御システム222は、システム200の全構成要素を制御してシステム200の多様な構成要素の動作の調整をとるために特別に設計されたコンピュータ可読媒体、すなわちソフトウエア、を備えたプログラム可能なマイクロプロセッサを含む。制御システム222は、装置の構成要素へ与えられる電力の制御も行える。制御システム222は、オペレータがシステム200を監視および操作できるようにするコントロールパネルを含んでもよい。コントロールパネルはスタンドアローンモジュールであって、ケーブルを介して制御システム222へ接続され、容易なアクセスをオペレータへ提供する。
【0034】
[0048] ローディングステーション210は、好ましくは、一つ以上の基板カセット受入領域224、一つ以上のローディングステーション移送ロボット228、および少なくとも一つの基板配向装置230を含む。ローディングステーション210に含まれる多数の基板カセット受入領域、ローディングステーション移送ロボット228、および基板配向装置は、装置の所望スループットに従って構成することができる。図2に示すように、一実施形態におけるローディングステーション210は、二つの基板カセット受入領域224、二つのローディングステーション移送ロボット228、および一つの基板配向装置230を含む。基板234を収納する基板カセット232は基板カセット受入領域224へローディングされ、基板234をシステム200へ導入する。ローディングステーション移送ロボット228は、基板カセット232と基板配向装置230との間で基板234を移送する。
【0035】
[0049] 基板配向装置230は各基板234を所望の向きに位置決めして、基板の適正処理を確保する。また、ローディングステーション移送ロボット228は、ローディングステーション210とSRDステーション212との間、およびローディングステーション210と熱アニールチャンバ211との間で基板234を移送する。ローディングステーション210は、好ましくは、装置による基板の高効率移送を円滑にするために、必要に応じて、基板の一時的保管のための基板カセット231も含む。
【0036】
[0050] 図2は、メインフレームステーションへ取り付けた、異なるステーション間で基板を移送するために、内部に組込まれたフリッパロボット244を有するメインフレーム移送ロボット242を示す。メインフレーム移送ロボット242は複数のロボットアーム242(2本を図示)を含み、フリッパロボット244が、各ロボットアーム246の末端エフェクタとして取り付けられて、メインフレームへ取り付けた、異なるステーション間で基板を移送するだけでなく、移送中の基板を所望の表面配向へフリップすることができる。例えば、フリッパロボット244は、処理セル240での電気化学処理あるいは研磨プラテン217での研磨処理のために基板処理面を下向きにフリップし、そしてスピン・リンス・ドライ処理または基板移送等、他の処理のために基板処理面を上向きにフリップする。
【0037】
[0051] フリッパロボットはこの技術では概ね知られており、カリフォルニア州ミルピータス所在のRorze Automation,Inc.から入手可能なモデルRR701等の基板ハンドリングロボット用末端エフェクタとして取り付けることができる。フリッパロボット244を、フリッパ上に配置した基板を電気メッキするために電気化学的処理セル240と共に使用するようにしてもよく、電気化学的処理セル240を、セル240での処理に先立ってフリッパロボットから基板を受け入れるようにしてもよい。
【0038】
[0052] 代替として、基板キャリアを(図1に示すように)メインフレーム移送ロボット242の代わりに配置して、基板を一つ以上の電気化学的処理ステーション218および一つ以上の研磨ステーション215間で移送し、および/または、それらステーションで基板を処理してもよい。
【0039】
[0053] 急速熱アニール(RTA)チャンバ211は好ましくはローディングステーション210へ接続され、ローディングステーション移送ロボット228によってRTAチャンバ211の内外へ基板が移送される。図2に示すような電気メッキ装置は、一実施形態において、ローディングステーション210の対称設計に呼応して、ローディングステーション210の対向両側に配置される二つのRTAチャンバ211を含む。好適なアニールチャンバの例は、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能なRTP XEplus Centura(登録商標)熱処理装置等の急速熱アニールチャンバである。
【0040】
[0054] 電解液補給システム220は、電気メッキおよび/または陽極溶解処理のために電気メッキ処理セル240へ電解液を供給する。電解液補給システム220は、一般的に、主電解液タンク260、複数のソースタンク262、および複数の濾過タンク264を備える。一つ以上のコントローラが、主タンク260内の電解液組成および電解液補給システム220の動作を制御する。コントローラは独立して操作可能であるが、システム200の制御システム222に統合されることが好ましい。
【0041】
[0055] 主電解液タンク260は電解液用リザーバを備え、各電気メッキ処理セルに接続される電解液供給配管を含む。ソースタンク262は、電解液の配合に必要な化学薬品を収容し、典型的には、電解液配合用脱イオン水ソースタンクおよび硫酸銅(CuSO4)ソースタンクを含む。他のソースタンク262は、硫酸水素塩(H2SO4)、塩化水素(HCl)、リン酸水素塩(H2PO4)、および/または、腐食阻止剤、およびポリグリコール等の均展剤を含め、種々の添加剤を収容できる。電解液タンク260およびソースタンク262に入れて使用する電解液および添加剤の例は本明細書で更に記載する。
【0042】
[0056] 更に、図示されてはいないが、一つ以上の供給タンクをシステム200へ接続して1種類以上の研磨流体、コンディショニング流体、および/または洗浄流体を、上に配置した一つ以上の研磨ステーション215へ供給する。
【0043】
[0057] システム200の設計はモジュール化されていて、一つの処理またはシーケンス処理を実行するために所望構成の構成要素への交換が可能である。例えば、電気化学的処理ステーション218は研磨ステーション215に交換でき、その逆も同様である。
【0044】
[0058] 更に、研磨ステーション215の一つ以上の研磨プラテン等、ステーションの個々の構成要素を、熱アニールチャンバ211および一つ以上の基板受渡カセット238等、他の処理構成要素の位置に配置してもよい。システム200の代替実施形態は一つ以上の研磨ステーション215の位置に無電解堆積ステーションを含んでもよい。例えば、一つ以上の研磨プラテン217が熱アニールチャンバ211または一つ以上の基板受渡カセット238の位置に代わって配置される場合、図2で、無電解堆積ステーションを一つ以上の研磨ステーション215が配置された位置に配置してもよい。
【0045】
[0059] 本明細書に記載の処理を実行するために有用な別の装置を図3に示す。図3は、処理システム200の別の実施形態の平面略図であり、本明細書に記載の処理を実行するために、少なくとも一つの電気化学的処理ステーション218および少なくとも一つの従来の研磨ステーション212を有する。システム200は、一般的にローディングステーション210、メインフレーム214、処理ステーション218のところに配置される一つ以上の電気化学的処理セル240、および研磨ステーション212のところに配置される一つ以上の研磨プラテン238を備える。
【0046】
[0060] システムは、熱アニールチャンバ211および電解液補給システム220を含んでもよい。メインフレーム214は、一般的に、メインフレーム移送ステーション216および複数の処理ステーション218を備え、研磨ステーション212はメインフレーム214とローディングステーション210との間に接続される。図3に示す実施形態において、システム200は、二つのアニールチャンバ211、二つの基板カセット受入領域224、二つのローディングステーション移送ロボット228、一つのウェーハ配向装置230、二つの研磨プラテン238、および四つの電気メッキセル240を含む。
【0047】
[0061] 図3に示すシステム200の代替の実施形態では、スピン・リンス・ドライ(SRD)ステーションまたは一つ以上の基板受渡カセットが、一つ以上の研磨プラテン238の位置に配置されてもよい。代替の実施形態は、代替ツール構成の場合、熱アニールチャンバ211の位置に配置される一つ以上の研磨プラテンを有してもよい。
【0048】
[0062] 図4は、例えば図2および3に示す処理装置で有用な、基板422上へ金属層を堆積してこれを平坦化する装置420の一実施形態の断面図である。キャリアーアセンブリ430のための搭載アセンブリを改変することにより、図1の処理システム200にこのアセンブリを利用できるようになる。すなわち、このキャリアがカラーセルに搭載される。
【0049】
[0063] 装置420は、一般的には、スタンチョン480により部分的エンクロージャ434の上方に移動可能に支持されるキャリアーアセンブリ430を含む。スタンチョン480およびエンクロージャ434は、一般的には、共通ベース482上に配置される。スタンチョン480は、一般的には、ベース支持体484およびリフト機構486を含む。ベース支持体484はベース482から垂直に延びて、その軸周りに回転可能であってもよく、それによりキャリアーアセンブリ430を、部分的エンクロージャ434の上方に、もしくは他の位置、例えば他のエンクロージャ、へ移動させることができ、または図示しない他の処理装置との共有境界を成すように移動させることができる。
【0050】
[0064] リフト機構486はキャリアーアセンブリ430へ結合される。リフト機構486は、一般的には、部分的エンクロージャ434に対するキャリアーアセンブリ430の上昇を制御する。リフト機構486は、ボールネジ、送りネジ、空圧シリンダ並びにそれら類似物等の直動アクチュエータ488、およびレール492に沿ってスライドするガイド490を含む。レール492はヒンジ494によりベース支持体484へ結合され、それによりリフト機構486のレール492(すなわち運動方向)は、水平方向に対して約90度と約60度間の角度範囲にわたって調節可能に配向させることができる。リフト機構486およびヒンジ494は、基板422を保持するキャリアーアセンブリ430が様々な配向で部分的エンクロージャ434内へ降下できるようにする。例えば、エンクロージャ434内に配置された流体との間で共有境界を成しているときに、基板422上での気泡形成を最小限にするために、基板422は、部分的エンクロージャ434へ入る間は角度付きで配向され、一旦入ったら水平配向まで回動させることができる。
【0051】
[0065] 部分的エンクロージャ434は、一般的には、容器すなわち電解セルを画成し、その中に電解液または他の研磨/堆積流体を収納できる。エンクロージャ434は、普通は、陽極/陰極426、拡散プレート444、およびその上に配置した浸透性ディスク428を含む。研磨パッド等の浸透性ディスク428は、電解セル中で拡散プレート444上に配置および支持される。部分的エンクロージャ434は、メッキ化学薬品との間に両立性のあるフルオロポリマー、テフロン(登録商標)、PFA、PE、PES他のプラスチックでできた椀形部材であってよい。部分的エンクロージャ434はその下面を、ベース482の下方に延びるシャフト432に接続される。アクチュエータは、部分的エンクロージャ434を、垂直軸xを中心に回転させるようになされている。一実施形態において、シャフト432が中央通路を画成し、流体はそこを通って、シャフト432に形成された複数のポート436を通じて部分的エンクロージャ434内へ配送される。
【0052】
[0066] 代替として、シャフト432へ接続された搭載プラットフォームへ部分的エンクロージャ434を接続することができる。シャフト432は、ベース482に配置されたモータ、例えばステッパ・モータ等のアクチュエータ(図示せず)へ接続される。
【0053】
[0067] 陽極/陰極426は、電解液に浸漬可能な、エンクロージャ434の下側部分に位置決めされる。陽極/陰極は、それへ印加される正のバイアス(陽極)または負のバイアス(陰極)に依存して陽極または陰極として働く。例えば、材料を電解液から基板面上に堆積する場合、陽極/陰極426は陽極として作用し、基板面が陰極として作用する。印加バイアスによる溶解などによって材料を基板面から除去するとき、陽極/陰極426は陰極として機能し、ウェーハ表面または浸透性ディスク428が、溶解処理のための陽極として作用してもよい。
【0054】
[0068] 陽極/陰極426はプレート状部材であってもよく、プレートはそこに貫通形成された多数の孔、または浸透性の膜もしくは容器に配置した複数の小片を有する。陽極/陰極426は、堆積または除去される材料、例えば銅、ニッケル、アルミニウム、金、銀、タングステン他、基板上へ電気化学的に堆積できる材料でできていてよい。少なくとも一実施形態において、陽極/陰極は、銅の堆積処理または電解研磨処理のために、白金等、堆積材料以外の材料でできた非消耗陽極/陰極426であってもよい。代替として、堆積処理のための陽極/陰極426は、定期的交換が必要な消耗陽極/陰極である。
【0055】
[0069] 少なくとも一実施形態において、陽極/陰極426は中央開口部を画成するリング形状をなし、そこを通りシャフト432の流体取入口が配置される。陽極/陰極426がプレート状である実施形態では、陽極/陰極を貫通して複数の孔が形成され、そこを通る電解質流体の通過が可能である。陽極/陰極426は、代替として、リング状陽極/陰極、プレート状陽極/陰極、または、浸透性チャンバや他のエンクロージャを含め、メッキ材料を閉じ込めるチャンバであってよい。
【0056】
[0070] 浸透性ディスク428は研磨材であっても、流体環境および処理仕様との間で両立性のある他のタイプの容積スペーサであってもよい。浸透性ディスク428は部分的エンクロージャ434の上端に位置決めされ、その下面を拡散プレート444によって支持される。浸透性ディスク428は、好ましくは電解液中のイオンに対して浸透性であり、そうであっても、金属イオン、例えば銅用途における銅イオンに対して浸透性である必要はない。金属イオンは、浸透性ディスク428に配置された取出口42を有する流体配送配管40から供給されることができる。浸透性ディスク428を陽極/陰極426に隣接して配置しても、それと接触して配置してもよい。
【0057】
[0071] 浸透性ディスク428は、基板面に至る電解液の流れを許容する一方、促進剤および抑制剤劣化副生成物等の堆積副生成物の流れを阻止するのに十分なサイズおよび組織の複数細孔を備えてもよい。浸透性ディスク428は内部に形成された溝を備えて、エンクロージャ434中への多量な溶液から、基板422と浸透性ディスク428との間の間隙への新鮮な電解液の輸送を支援する。しかし、浸透性ディスク428は、その用途によっては金属イオンに対して浸透性であってよい。
【0058】
[0072] 典型的には、浸透性ディスク428は、ポリウレタン等のポリマー材料で構成された研磨パッド等の研磨材を含む。使用可能な研磨パッドの例は、アリゾナ州フェニックスのRodel,Inc.のIC1000、IC1010、Subaシリーズパッド、Politexシリーズパッド、MHSシリーズパッド、または日本のAsahiのPVDFパッド、またはミネソタ州ミネアポリスの3Mの固定砥材パッドを含むが、これらに限定されない。
【0059】
[0073] 浸透性ディスクは、電気メッキ堆積および電解研磨処理用の導電材料を含む研磨材であってもよい。例えば、電解研磨処理において、研磨材全体に導電路を設けるために配備する導電性研磨材は、導電性ポリマー、または、内部に埋込まれるかもしくは形成された導電素子または導電材料(図示せず)を持つポリウレタン等のポリマーを含んでいてもよい。導電素子は、研磨材中で相互に電気的に接続され、基板が研磨材と接触しているときに基板面に接触できる。導電性研磨材料および研磨材の更なる例は、2001年4月24日出願の米国仮特許出願第60/286,107号に開示されており、この出願は本明細書に記載の特許請求の範囲および態様と矛盾しない範囲で引用して本明細書に組込まれる。
【0060】
[0074] 導電性研磨材を浸透性ディスクに使用する場合、導電性研磨材は電源(図示せず)と電気的に連絡している状態にあってもよく、電気的ベースの溶解処理の陽極として使用してもよい。代替として、研磨材は、電着処理用のポリウレタン等の絶縁体材料すなわち低い導電率の材料の形であってもよい。
【0061】
[0075] 電源(図示せず)は、装置420を介して基板面へ接続される。電源は定電流作動と定電圧作動との間で切り替わる制御回路を含んでもよい。電源の制御回路は出力の極性を制御する。一実施形態において、電源はプログラム可能な切換回路を含み、第1持続時間の定電流出力と第2持続時間の定電圧出力との繰返しを含む出力波形等、多様な出力波形を生成する。本発明は、陽極と陰極との間、または陽極もしくは陰極として働く素子間で時間的に変化する電位等、そのような出力波形を生成することのできる多様な電源設計の利用を考慮しており、いずれか特定の電源設計に限定するものではない。例えば、電源は、時間的に変化する陽極電位を基板面へ印加するようにできる。
【0062】
[0076] 拡散プレート444は、部分的エンクロージャ434内で浸透性ディスク428の支持を提供する。拡散プレート444は、ネジ438等の締結具、または、エンクロージャとのスナップ止めもしくは締まりばめ等の手段を用いてエンクロージャ内に吊り下げるなどして、部分的エンクロージャ434に固定できる。拡散プレート444は、プラスチック、例えばフルオロポリマー、PE、テフロン(登録商標)、PFA、PES、HDPE、UHMW、またはそれらの類似物で製作することができる。拡散プレート444は、少なくとも一実施形態において、そこに形成された複数の孔すなわちチャネル46を含む。孔446の大きさは、そこを流体が通れて、しかも浸透性ディスク428を通って基板442に至る電解液の一様な分配を提供できる大きさである。流体環境および処理要件との間で両立できる接着剤を使用して、浸透性ディスク428が拡散プレート444へ締着できる。拡散プレート444を、好ましくは、陽極/陰極426から離間させることにより、より広い処理窓を提供して、陽極/陰極寸法に対するメッキ膜厚の感応性を低減するとともに、促進剤および抑制剤の分解副生成物、例えば、ドイツのRaschig Corp.が市販するビス(3−スルホプロピル)ジスルフィド、C6H12Na2O6S4、等の促進剤から劣化したモノスルフィド化合物を浸透性ディスク428と基板422との間に画成される主メッキ容積部438から引離す。
【0063】
[0077] 図示されてはいないが、陽極/陰極426と浸透性ディスク428との間に膜を配置して、陽極/陰極膜から生成された粒子がエンクロージャ434に入り、基板面上に粒子として堆積することを抑止する。例えば、膜は、電解液流に対して浸透性であるが、陽極/陰極面上で促進剤および抑制剤の劣化副生成物に対して普通は浸透性ではない。
【0064】
[0078] 基板キャリアすなわちヘッド・アセンブリ430は、浸透性ディスク428の上方で移動可能に配置される。基板キャリアーアセンブリ430は、浸透性ディスク428の上方で垂直方向に移動可能であり、それに対して横方向に移動可能であり、例えば、キャリアーアセンブリ430は垂直軸yを中心に回転可能である。部分的エンクロージャおよびヘッド・アセンブリのそれぞれxおよびy軸はオフセットしていて、浸透性ディスク428と基板キャリアーアセンブリ430との間の軌道運動を提供する。この軌道運動は、浸透性ディスク428と基板キャリアーアセンブリ430との間の楕円相対運動として、本明細書では広義に記載されている。基板キャリアーアセンブリ430は、基板422を、浸透性ディスク428に向けて堆積面を下向きに保持する。代替として、浸透性ディスク428は、基板キャリアーアセンブリ430に対して並進運動すなわち直進相対運動だけでなく、回転すなわち円形回転相対運動で動く面を備えてもよい。
【0065】
[0079] 基板キャリアーアセンブリ430は、一般的には、駆動システム468、ヘッド・アセンブリ478、および台座アセンブリ476を含む。駆動システム468は、一般的には、スタンチョン480のガイド490へ結合される。駆動システム468は、動力部456から延在して、台座アセンブリ476を支持するコラム470を備える。パワーヘッド456は電気または空圧モータであってよく、一般的には、コラム470へ中央軸に沿う回転を与える。駆動システム486は、更に、コラム470内に配置されて、ヘッド・アセンブリ478へ結合されるアクチュエータ454を含む。アクチュエータ454は、送りネジ、空気圧シリンダ、または他の直動アクチュエータでよいが、ヘッド・アセンブリ478が台座アセンブリ476に対して移動できるようにする。
【0066】
[0080] 台座アセンブリ476は、一般的にグリッパプレート472の回りに極アレイで配置された複数のグリッパフィンガ474を含む。グリッパプレート472が駆動システム468と共に移動するように、グリッパプレート472はコラム470へ結合される。一実施形態において、3本のグリッパフィンガ474が設けられる。グリッパフィンガ474は、一般的には、ベース部材466、延在部464、および接触フィンガ462を含む。接触フィンガ462は、延在部464に対して角度付きで配置される。延在部464はベース部材466へ結合される。ベース部材466はグリッパプレート472へ回転可能に結合される。ベース部材466は、一般的には、グリッパプレート472にある孔に整列する開口を含む。クレビスピンまたは他のシャフト部材が、孔および開口を通って配置され、グリッパプレート472に対するグリッパフィンガ474の回転を可能にする。
【0067】
[0081] アクチュエータ460が、延在部464とグリッパプレート472との間に結合される。アクチュエータ460は、グリッパフィンガ474を開閉位置間で移動させる。任意に、ばね458をクレビスピンに配置して、グリッパフィンガ474を一方の位置へ付勢する。接触フィンガ462が内方へ動かされると、各接触フィンガ462の末端に設けた切り欠き452が、基板422を移送ロボット(図示せず)から受入するようになされた座部450を画成する。内方位置で、延在部464は相互に距離を隔てて配置され、基板422およびロボットが延在部間を通過することを可能にする(図?A参照)。
【0068】
[0082] 更に、図示されてはいないが、コンピュータ・ベースのコントローラが、一つ以上の処理ステップ、例えば、基板面を機械的に研磨したり、または基板を装置420に移送する等の各ステップを実行するよう装置に命令するために装置420へ接続されてもよい。
【0069】
[0083] 図5は、ヘッド・アセンブリ478の一実施形態を示す。ヘッド・アセンブリ478は、一般的には、ハウジング502、ステム504、支持プレート506、および複数の基板クランプ520(クランプ520のうち一つを示す)を含む。一般的に、ハウジング502は、一端がアクチュエータ454へ結合されて、反対端がフランジ508で末端をなす中空シャフト528を含む。フランジ508は、中央キャビティ512を画成する下方へ延びたリップ510を有する。
【0070】
[0084] 中央キャビティ512内には支持プレート506が配置される。支持プレート506は第1側面514および第2側面516を有する。基板422は、一般的には、処理中に第1側面へ近接して配置される。第1側面514は、更に、そこに配置された一つ以上の真空ポート518を含み、基板422を第1側面514に近接して拘束する。
【0071】
[0085] ステム504は支持プレート506の第2側面516へ結合される。ステム504は、一般的には、支持プレート506に対して垂直に配向される。ステム504は、そこに配置された通路を含んで、支持プレート508の第1側面514、またはヘッド・アセンブリ478の他の部分へ真空もしくは流体を提供する。
【0072】
[0086] 基板クランプ520は、一般的には、銅等の導電材料で構成される。基板クランプ520は、個々の基板クランプ520を電気的に結合する導電性リング522へ結合される。ネジは、普通には、基板クランプ520を導電性リング522へ締結するが、他の締結具または締着方法を使用してもよい。導電性リング522は、一般的には、端子524を含み、リング522が、ハウジング502を通って取り回されたリード526によりリング522へ結合された電源(図示せず)から電気的にバイアスされることを可能にする。
【0073】
[0087] 図6Aは、支持プレート506の第1側面514から延びる基板クランプ520の部分斜視図である。基板クランプ520は、一般的には、支持プレート506の周辺に極アレイで配置される。一実施形態において、クランプ520は支持プレート506に対して移動可能であり、それによりクランプ520が支持プレート506から突出する距離を調節することができる。一般的には、基板クランプ520は、支持プレート506の周辺の回りで均等に離間した複数の第1クランプ402および複数の第2クランプ404を備える。第1および第2クランプ402、404は、一般的には、周辺まわりへ順次交互に置かれ、グリッパフィンガ474がその間を通過できるように間が隔てられる。第1クランプ402の形状は、一般的には長方形であり、任意ではあるが、基板422の直径に整合するようにその幅にわたって湾曲していてもよい。第2クランプ404の形状も、一般的には長方形であり、任意ではあるが基板422の直径に整合するように湾曲していてもよい。第1クランプおよび第2クランプ402、404は両方とも、基板に接触する内側表面406を有する。
【0074】
[0088] 図6Aおよび図6Bに図解するように、クランプ520は外方へ角度付けされ、伸長時には基板422がその間を通過できる。クランプ520の内面上に配置された瘤610が、支持プレート506の外周面412との間で共有境界を持つ。瘤410は、クランプ520の伸長時にクランプ520を外方へ張り出させる。任意ではあるが、支持表面506は面取り414を含んでもよく、支持プレート506の表面412上への瘤410の滑らかな移動を可能にする。ハウジング502は、一般的には、クランプ520の半径方向外方に配置される付勢部材を含み、クランプ520を内方へ押す。一実施形態において、付勢部材はデタントピン416である。
【0075】
[0089] 第2クランプ404は、接触表面先端近傍に形成された切り欠き418を含む。切り欠き418は底面620を有し、その長さは、般的には、基板422の厚さより大きい。第1クランプ604の末端に最も近い切り欠き418の壁419は、一般的には、面取りまたは角度付きであり、基板422の斜面または丸めた縁部に接触する。
【0076】
[0090] 図7A〜図7Dは、キャリアーアセンブリ430内へローディングされている基板422を表す。図7Aにおいて、グリッパフィンガ474が回転されて、図示されていないロボットから基板422を受け取る座部450を形成する。ヘッド・アセンブリ478は、台座アセンブリ476に近接した第1位置592に配置される。基板クランプ522が、支持プレート508の第1側面514から完全に伸長される。ロボットが除去されて基板422をグリッパフィンガ474の座部450に残した後に、ヘッド・アセンブリ475は第2位置504まで伸長され、基板クランプ522間の座部450に保持された基板422をローディングする(図7B参照)。第1クランプ402は、基板422をヘッド・アセンブリ478に対して中心に置く。
【0077】
[0091] 次に、クランプ522は支持プレート508に向けて引込まれる。第2クランプ404の角度付き壁419は、基板422の斜面縁部に接触し、支持プレート508に抗して基板422を引寄せる。更に、角度付き壁419と基板422との間の相互作用により、第2クランプ404をデタントピン416に抗して外方へ撓ませて、切り欠き418の底面420を基板周辺から変位させる。デタントピン416および撓んだ第2クランプ404が連合して第2クランプ404を内方へ押し、支持プレート508を背にして基板422を捕捉する一方、クランプ404と基板422との間の良好な電気的接触を提供する。(図7Cおよび図7D参照)。
【0078】
[0092] 再び図5において、導電性リング522は、ハウジング502と支持プレート506との間の中央キャビティ512に配置された搭載プレート530へ固定される。搭載プレート530は、一般的には、基板クランプ520が支持プレートの第1側面514を越えて延在する距離を調節できるように、支持プレート506に対して移動可能である。一般的には、搭載プレート530は、支持プレート506から離れるようにその間に配置されるばね532によって付勢される。
【0079】
[0093] 搭載プレート530および基板クランプ520の移動を円滑にするために、搭載プレート530は、ステム504の周りを移動可能に配置されるスリーブ534へ結合される。スリーブ534は第1直径部分536を有し、この部分はO−リング538等のシールによって一端でステム504に抗してシールされる。スリーブ534は、より小さな第2直径部分540を有し、この部分はステム504のより狭い部分542との間で共有境界を持つ。ステム504の狭い方の部分542は、O−リング552によってスリーブ534に対してシールされ、従ってステム504とスリーブ534との間にピストンチャンバ544が生成される。空気等の流体がチャンバ544へ与えられるか、またはそこから排出されると、スリーブ534とステム504との間に与えられた力によりスリーブ534を移動させ、それに応じて基板クランプ520を移動させる。
【0080】
[0094] スリーブ534の外側部分546にはネジが切られていて、搭載プレート530に設けた対応雄ネジ部分548と係合する。搭載プレート530とスリーブ534との間のネジ係合量は、基板クランプ520が支持プレート506から所定量で突き出る距離を設定するように調節できる。搭載プレート530がスリーブ534周りに不用意に回動するのを阻止するよう、搭載プレート530にある止めネジ550を締付けることができる。
【0081】
[0095] 図8は、基板キャリア・ヘッド・アセンブリ800の別の実施形態の部分図である。基板キャリア・ヘッド・アセンブリ800は、接触プレート802が支持プレート804上に配置される場合を除き、先に説明した基板キャリアーアセンブリ430と実質的に同じである。一般的には、接触プレート802は支持プレート804の第1側面806上に配置される。接触プレート802は導電材料で構成され、処理中に基板422をバイアスするために利用される。接触プレート802は、支持プレート804の第2側面812上に配置する端子810へ電気的に結合される。端子810は、基板422をバイアスすることに使用される電源(図示せず)へ接触プレート802を、リード808を介して結合することを容易にする。
【0082】
[0096] 接触プレート802は、一般的には、基板422の縁部に近接して配置する。接触プレート802は、電圧バイアス等のバイアスを、基板422へ直接的に結合するか、あるいは基板縁部を基板裏面の一部分まで包んで基板面上に配置された導電性シード層820へ結合する。
【0083】
[0097] 図9は別の実施形態の基板キャリア・ヘッド・アセンブリ900を示す。基板キャリア・ヘッド・アセンブリ900は、一般的には、中央キャビティ904を画成するハウジング902を含み、中央キャビティは、ハウジング902の底部分上に配置された開口部906、およびハウジング902の垂直区間920に配置された少なくとも一つのポート908を持つ。ポート908は、基板422がロボット(図示せず)からキャビティ904内で水平に受け取られることを可能にする大きさである。
【0084】
[0098] 作動シャフト910がハウジング902内に配置され、支持プレート922をハウジング902の開口部906へ向けて、基板キャリアに配置されるかまたはそれへ接続されるモータ(図示せず)によって作動させてもよい。支持プレート922は、基板をロボットから受け取り、基板を水平に変位させる様式で表を下向きにして固定する。
【0085】
[0099] 支持プレート922は、支持プレート922の第1側面924上に配置された接触プレート916を含む。接触プレート916は導電材料で構成され、処理中に基板422をバイアスするために利用される。接触プレート916は、支持プレート922の第2側面928上に配置される端子926へ電気的に結合される。端子926は、接触プレート916を、へリード930を介して、基板422をバイアスするために使用する電源(図示せず)へ結合することを容易にする。接触プレート916は、一般的には、基板422の縁部に近接して位置される。接触プレート916は、電圧バイアス等のバイアスを基板422へ直接的に結合するか、または基板縁部を基板裏面の一部分まで包んで基板面上に配置された導電性層へ結合する。
【0086】
[0100] 開口部906を囲む接触リング912は基板支持体914を含み、この支持体は作動シャフト910が基板422を接触リング912に押し付ける際に基板422を支持する。基板支持体914は、基板面との連続的な接触のために環状リングを備えてもよいし、接触リング912の周りへ円周方向に配置して、その上に配置した基板422に接触するよう延在する一連の接触点を備えてもよい。接触リング912は、基板面と、処理セルに配置された平坦な研磨材との間の接触を低減する。そのため、基板キャリアーアセンブリを、電気化学的堆積処理、および研磨材の無い場合の電気化学的溶解処理のために、または基板の限定的研磨をユーザが望む場合のために使用できる。図示されてはいないが、基板支持体914を、リード930を介して電源(図示せず)へ連結されてもよく、それは基板422のバイアス時に使用され、そして接触リング912は絶縁材料で構成される。
【0087】
[0101] 図10Aは、別の実施形態の研磨ヘッド430の断面略図である。研磨ヘッド430は、導電性研磨材等の導電性浸透性ディスクが陽極溶解処理で使用されている場合の、本発明の実施形態で使用できる。研磨ヘッド430は、一般的には、キャリアプレート1002、カバー1004、および保持リング1006を含む。キャリアプレート1002は一実施形態において膨張可能なブラッダを備えるが、一般的には、基板1014を、例えば図1のステーション106、102に配置できる研磨材へ押し付ける。保持リング1006は、一般的には、キャリアプレート1002を囲み、処理中に基板1014が研磨ヘッド1030の下から横方向に動いて出ないようにする。
【0088】
[0102] キャリアプレート1002および保持リング1006は、一般的には、軸方向に相互に移動可能である。キャリアプレートの底部と保持リング1006との間の相対的距離1014は調整可能であり、従って、基板1014が保持リング1006を越えて延在する相対距離、または保持リング1006が浸透性ディスクまたは研磨材上に及ぼす圧力の量を設定できる。
【0089】
[0103] 図10Bに部分略図として示す研磨ヘッドの代替の実施形態において、保持リング1006は、可撓体によって研磨ヘッド430へ可動に結合される。可撓体1008は可撓性金属シートまたはポリマーであってもよく、保持リング1006とキャリアプレート1002との間に配置されて、その間の軸方向移動を許容する。カバー1004に配置されるピストン1010は保持リング1006へ結合される。流体はピストン1010へ供給され(またはそこから除去され)て、保持リング1006を軸方向に付勢することにより距離1014を決める。
【0090】
[0104] 本明細書に記載の処理を実施するために使用できる、そして図2および図3に示す処理システム200に使用できる、または使用するようにできる別の装置が、2001年1月26日出願の米国特許出願第09/770,559号で更に詳しく記載されており、それぞれは引用により本明細書に取り込まれる。
【0091】
[0105] 図11A〜図11Dは、基板1122上へ金属層を堆積し、これを平坦化するための装置1120の、実施形態の断面略図である。
【0092】
[0106] 図11Aは、研磨材料でできたローラ1128を使用して、基板1122の表面を研磨するようになされた装置1120を示す。研磨材料は、導電材料、絶縁材料、絶縁材料中の導電素子で製造され、および/または、本明細書に記載する砥材要素すなわち砥粒を含んでもよい。
【0093】
[0107] 装置1120は、部分的エンクロージャ1134の上方に配置可能なキャリア・ヘッド・アセンブリ1130を含む。部分的エンクロージャ1134は、一般的には、中に電解液または他の研磨/堆積流体を収容する容器すなわち電解セルを画成する。エンクロージャ1134は、典型的には、陽極/陰極1126、およびその中に配置された研磨材料製のローラ1128を含む。部分的エンクロージャ1134は、モータ、例えばステッパ・モータ等のアクチュエータ(図示せず)へ接続された搭載プラットフォームへ接続できる。アクチュエータは、部分的エンクロージャ1134を垂直軸x周りに回転させるようになされる。一実施形態において、シャフト1140が中央通路を画成し、そこを通って部分的エンクロージャ1134内へ流体が配送される。代替として、流体は、エンクロージャ1134に隣接して配置される取入口1140’経由で部分的エンクロージャ1134内へ配送される。
【0094】
[0108] 陽極/陰極1126は、エンクロージャ1134の壁1136に配置され、堆積および陽極溶解を遂行するために基板1122および/またはローラ1128と共にバイアスを持つようになされた陽極/陰極リングを備えてもよい。代替として、陽極/陰極1126’を、それを電解液に浸漬できるエンクロージャ1134の下側部分に位置決めできる。陽極/陰極1126は、印加される正のバイアス(陽極)または負のバイアス(陰極)に依存して、陽極または陰極として働くことができる。例えば、印加バイアスによる陽極溶解等により材料を基板面から除去する場合は、陽極/陰極1126が陰極として機能し、ウェーハ表面または浸透性ディスク1128が溶解処理用の陽極として働くことができる。堰1145をエンクロージャ1134の外面に配置して電解液を捕捉し、そして捕捉電解液は濾過され、シャフト1140を介して再利用するかまたは廃棄される。
【0095】
[0109] 基板キャリアまたはヘッド・アセンブリ1130は、ローラ1128の上方に移動可能に位置決めされる。基板キャリアーアセンブリ1130は、ローラ1128の上方を垂直方向に移動可能であるとともに、それに対して横方向に移動可能であり、例えば、キャリアーアセンブリ430は、垂直縦軸yを中心に回転可能である。部分的エンクロージャおよびヘッド・アセンブリのそれぞれxおよびy軸はオフセットされて、ローラ1128と基板キャリアーアセンブリ1130との間に軌道運動を提供する。
【0096】
[0110] 基板キャリアーアセンブリ1130は、一般的には、基板1122をローラ1128に向けて堆積面を下向きにして保持する。基板キャリアーアセンブリ1130は、基板がエンクロージャ1134に対して垂直位置をとるようにすることができる。ローラ1128は研磨材料でできた円筒面を備え、基板面を研磨するために基板面に対して平行に回転させることができる。ローラ1128は、基板を研磨するために、基板の表面を横切って「掃引する」すなわち相対平行運動、すなわち、並進相対運動または直線相対運動で動くことができる。ローラ1128は、更に、基板の表面を横切って水平に回転されて、追加材料を露出させて基板面と接触することができる。
【0097】
[0111] ローラ1128の1態様において、ローラの長手方向幅は、ほぼ基板直径に、基板直径の各側で約1/4インチ(1/4”)と約1インチ(1”)の間の追加幅を加えた長となるようにする。ローラ直径は、ユーザの要求事項および装置のサイズに依存していずれの量でもよい。例えば、直径が約3と約4インチの間であるローラを、本明細書に記載の電気化学的処理セルで使用できる。
【0098】
[0112] ローラは、研磨中に約7500rpm以下、例えば約10rpmと約200rpmの間の速度で軸方向すなわち垂直に回転できる。ローラは、基板面の効率的研磨の確保に十分な速度、例えば毎秒約1/2インチ(1/2”)で基板表面を横切って移動できる。図11Bは、図11Aの側面略図であり、研磨中に相互に接触する基板1122および研磨材料でできたローラ1128とを持つ装置1120を示す。基板は研磨される表面を下にして、水平に位置決めされ、エンクロージャ1134内へ降下され、研磨処理のために研磨材料のローラ1128と接触して位置決めされる。
【0099】
[0113] 図11Cは、装置1120の、別の実施形態の側面略図である。図11Cに示す実施形態において、基板1122は、エンクロージャ1134内で電解液中に表を上向きに配置される。次に、研磨材料でできたローラ1128は、基板面にわたって機械的作用を提供するよう基板面上にそれを横切って配置され、材料を基板面から除去する。
【0100】
[0114] 図11Dは、装置1120の、別の実施形態の側面略図である。図11Dに示す実施形態においては、基板1122は、エンクロージャ1134内で電解液中に表を下向きにして垂直に配置される。研磨材料でできた一つ以上のローラ1128が、導電性であってもよいが、基板面にわたり機械的作用を提供するよう直列に位置決めされて材料を基板面から除去する。
【0101】
[0115] 上で説明したシステムおよび装置の実施形態の制御を円滑にするために、コンピュータ・ベースのコントローラはCPU(図示せず)を含み、このCPUは種々のチャンバおよび下位プロセッサを制御するために工業的条件で使用できるコンピュータ・プロセッサのいずれの形態であってもよい。CPUへはメモリ(図示せず)が結合される。メモリまたはコンピュータ可読媒体は、CPUによって実行される情報および命令を格納するために、ローカルまたは遠隔の、随時書込読出メモリ(RAM)、読出専用メモリ(ROM)、フロッピディスク、ハードディスク、またはいずれか他の形態のデジタル記憶装置等、容易に利用可能な一つ以上のメモリであってよい。
【0102】
[0116] 支援回路が、従来の様式でプロセッサを支援するCPUへ結合される。これら回路は、キャッシュ、電源、クロック回路、入/出回路、およびサブシステムを含み、キーボード、トラックボール、マウス、およびコンピュータモニタ等の表示装置等、コントローラと共に使用される入力装置、プリンタ、およびプロッタを含むことができる。そのようなコントローラは、通例パーソナルコンピュータとして知られる。しかし本発明は、パーソナルコンピュータに限定されず、ワークステーション、ミニコンピュータ、メインフレーム、およびスーパーコンピュータ上で実施可能である。
【0103】
[0117] 処理、例えば以下説明する堆積および研磨処理は、普通にはソフトウエアのルーチンとして一般的には、メモリに格納される。ソフトウエアルーチンは、また、CPUによって制御されているハードウエアから遠隔に位置する第2CPU(図示せず)によって格納および/または実行されてもよい。
【0104】
[0118] 本発明の処理はソフトウエアのルーチンとしての実施を検討されているが、本明細書に開示される方法ステップの幾つかまたは全ては、ハードウエアでだけでなくソフトウエアコントローラによっても遂行できる。このような場合、本発明は、コンピュータ装置上で実行されるようなソフトウエアで、特定用途向け集積回路または他の種類のハードウエア実装のようなハードウエアで、またはソフトウエアとハードウエアの組合せで実施できる。
【0105】
平坦化処理
[0119] 本明細書に記載する方法の実施形態は、電気化学的除去技法および研磨技法を使用する基板面の平坦化を提供する。一態様において、この方法は基板を処理するために提供され、腐食阻止剤、均展剤、粘ちょう化剤、またはそれらの組合せを含む電解液中に基板を配置すること、陽極バイアスを基板へ印加すること、基板を電解液中で研磨すること、および材料を基板の表面から除去することを含む。本明細書では、処理はステップを含むとして言及されるが、ステップは、相互に別々である必要はなく、また時間的に切り離されている必要はない。
【0106】
[0120] 図12は、本発明の一実施形態に従う処理ステップを図解するフローチャートである。処理は、ステップ1300で、基板を基板キャリアーアセンブリ430に配置すること、および、次に電極および電解液を収容する部分的エンクロージャ434内へ基板を配置することによって開始する。基板は、電源(図示せず)へ電気的に接続されて、基板処理中に陽極または陰極として働き、電極がそれぞれ陰極または陽極として働くことができる。代替として、浸透性ディスク428が導電性研磨材料であってもよく、それが研磨技法中等の処理中に基板へ電力を導く。
【0107】
[0121] 基板はエンクロージャ内の電解液中に配置されて、基板の少なくとも表面を電解液に接触させ、そして一態様では、基板全体が電解液中に沈めてもよい。電解液は、図4に示すように流体配送配管440を通って、および/または浸透性ディスク428の下の流体取入口を通って部分的エンクロージャ434へ与えることができる。
【0108】
[0122] 部分的エンクロージャ434内の電解液は市販の電解質を含んでもよい。例えば、電解質は、銅の電解研磨技法およびECMP技法のために、硫酸ベースの電解質、またはリン酸カリウム(K3PO4)等のリン酸ベースの電解質、またはその組合せを含む。電解質は、また、硫酸銅等、硫酸ベースの電解質の誘導体、およびリン酸銅等、リン酸ベースの電解質の誘導体を含んでもよい。過塩素酸および/または酢酸溶液並びにそれらの誘導体を有する電解液も使用できる。更に、本発明は、とりわけ光沢剤等、従来から使用される電気メッキ添加剤を含め、電気メッキ処理で従来から使用される電解液配合物の使用も考慮している。電解液の一態様で、電解質濃度は、溶液の約0.2モル(M)と約1.2Mの間であってよい。電解液のpHは、一般的には、約3と約10の間である。
【0109】
[0123] 別の態様において、電解液は、また、溶液のpHを調節するために、例えば水酸化カリウム(KOH)等の塩基化合物を含んでいてもよく、これは、全溶液の最大約70重量パーセントで、および無水リン酸アンモニウム(NH4H2PO4)、リン酸二アンモニウム((NH4)2HPO4)、リン酸、またはそれらの混合物等、リン酸塩系を全溶液の約2と約30重量パーセントの間存在してもよい。二水素リン酸塩および/またはリン酸二アンモニウムは、全溶液の約15と約25重量パーセントの間存在してもよい。好適な電解液は、更に、2001年12月21日出願の同時係属中の米国特許出願第 号(Applied Materials, Inc. 登録整理番号 AMAT 5998)、発明の名称「電解ケミカルメカニカルポリッシング用電解液組成および処理」に開示されている。
【0110】
[0124] 電解液は、不働態化または抑制化剤を含んでもよい。不働態化または抑制化剤の例は、腐食阻止剤、均展剤、粘ちょう化剤、またはそれらの組合せを含む。電解液中の不働態化または抑制化剤は、ステップ1310で基板面上の不働態化または抑制化層の形成を可能にする。不働態化または抑制化層は、電解液と基板上に堆積された材料との間の化学的および電気的反応を絶縁または制限すると考えられる。不働態化または抑制化層は連続であっても不連続であってもよい。
【0111】
[0125] 腐食阻止剤は、基板面上に堆積された材料と周囲の電解液との間の化学的相互作用を低減または最小化する材料の層を形成することによって、金属表面の酸化すなわち腐食を阻止する。腐食阻止剤によって形成される材料層は、表面を周囲の電解液から絶縁し、従って基板面上の電流を抑制または最小化し、電気化学的堆積および溶解を制限する。本明細書で使用される腐食阻止剤の例は、ベンゾトリアゾール、メルカプトベンゾトリアゾール、または5−メチル−1−ベンゾトリアゾール等のアゾール基を持つ種々の有機化合物のいずれかであってよい。トリアゾール等のアゾール基は、窒素原子の不結合電子対が銅等の導電材料と配位共有結合を形成し、更なる化学的作用に対して抵抗性を持つので、効果的な阻止剤であると考えられる。腐食阻止剤は、酸化物を形成せずにパッシベーション層を形成するので、腐食阻止剤は電解液にとって好ましい添加剤である。
【0112】
[0126] 腐食阻止剤は、溶液の飽和点まで、すなわち、電解液内沈澱しない量が存在してもよい。電解液の約0.005体積%と約10体積%との間で腐食阻止剤濃度を使用できる。例えば、約0.05体積%と約2体積%との間の腐食阻止剤濃度を電解液で使用できる。
【0113】
[0127] 均展剤は、本明細書で広義に定義され、基板の表面上の溶解電流を抑制する添加剤をいう。均展剤の例は、ポリエチレングリコールおよびポリエチレングリコール誘導体を含むが、それに限定されない。本明細書に記載の処理に使用可能な他の均展剤には、ポリエチレエンイミン、ポリグリシン、2−アミノ−1−ナフタリンスルホン酸、3−アミノ−1−プロパンスルホン酸、4−アミノトルエン−2−スルホン酸を含め、ポリアミン、ポリアミド、およびポリイミド等、電気メッキ技術で用いられるいずれかを含む。
【0114】
[0128] 均展剤は導電材料に付着することによって電解液と導電材料との間の電気化学的反応を阻害することによって溶解電流を抑制し、および/または電気化学的反応を制限する減極剤薬を形成すると考えられる。本発明は、また、基板面の研磨に使用されるウェーハ面上の電流を抑制するために、電気メッキ堆積で普通に使用される他の従来から既知のまたは市販の腐食阻止剤および均展化合物の使用も考慮している。
【0115】
[0129] 均展剤は、溶液の飽和点まで、すなわち、電解液内へ沈澱しない量が存在してもよい。電解液の約0.005体積%と約10体積%との間で均展剤濃度を使用できる。例えば、約0.05体積%と約2体積%との間の均展剤濃度を電解液で使用できる。
【0116】
[0130] 基板の表面上に不働態化または抑制化堆積物を形成する粘ちょう化剤が、電解液に含まれてもよい。例えば、リン酸、リン酸銅、またはリン酸カリウム等の電解質を含むリン酸塩ベースの化合物または亜リン酸ベースの化合物、またはリンをドープした陽極は、基板面の少なくとも一部分に粘性堆積物すなわち層を形成できるイオンを生成する。粘性堆積物すなわち層は、基板面を通過する電流を不働態化または抑制し、基板面からの材料の陽極溶解等の電気化学的作用を制限する。上に用意した腐食阻止剤、均展剤、および粘ちょう化剤は解説用であり、本発明は、パッシベーション層または抑制を形成する追加の、しかし指定される材料の使用を考慮している。
【0117】
[0131] 電解液は、更に、基板面へ吸着する光沢剤、増強剤、および/または表面活性剤等の一つ以上の電解液添加剤を含んでもよい。これら添加剤は、全溶液の最大で約15%電解液中に存在してもよい。有用な添加剤は、アミン基、アミド基、カルボキシレート、ジカルボキシレート、トリカルボキシレート、またはそれらの組合せを持つ一種類以上のキレート剤を含む。例えば、キレート剤薬は、テトラエチレンペンタミン、トリエチレンテトラミン、ジエチレントリアミン、エチレンヂアミン、アミノ酸、シュウ酸アンモニウム、アンモニア、クエン酸アンモニウム、クエン酸、および琥珀酸アンモニウムを含む。
【0118】
[0132] 電解液の例は、電解質組成物220へ全溶液の約0.01から約2重量パーセント添加した腐食阻止剤のBTA、および電解液へ全溶液の約0.1から約15重量パーセント添加したキレート薬のクエン酸アンモニウムを含む。別の態様において、BTAが全溶液の約0.05から約0.5重量パーセント添加され、そしてクエン酸アンモニウムが全溶液の約7から約10重量パーセント添加される。さらに別の態様において、BTAが電解質配合物220へ全溶液の約0.01から約2重量パーセント添加され、そしてエチレンジアミン(EDA)が電解液へ全溶液の約2から約15重量パーセント添加される。
【0119】
[0133] 電解液は、砥粒を電解液の最大約35重量%以下含んでもよく、処理中に基板面の機械的研削を向上させる。例えば、約2重量%以下の濃度の砥粒が電解液中に含まれてもよい。電解液で使用できる砥粒は、シリカ、アルミナ、酸化ジルコニウム、酸化チタン、酸化セリウム、またはこの技術では周知の他の研削材を含むが、それらに限定されず、平均サイズは約20nmと約300nmとの間である。
【0120】
[0134] 操作において、ステップ1320で、基板は電解液中で浸透性ディスクにより研磨され、パッシベーション層の少なくとも一部分を基板面から除去する。基板面の少なくとも一部分は、処理の少なくとも一部分中に浸透性ディスク428へ接触され、基板面との機械的相互作用を与える。例えば、基板と浸透性ディスクは、相対軌道運動等の相互の相対運動で移動され、基板面に形成されたパッシベーション層の少なくとも一部分を機械的に除去し、下地の導電材料を露出する。研磨ステップは、浸透性ディスク428との接触で基板面上に配置された銅含有材料の一部分も除去することができる。
【0121】
[0135] 浸透性ディスク428と基板面との間で約6psi以下の研磨圧力を用いて、パッシベーション層および銅含有材料を基板面から除去する。一態様において、約2psi以下の研磨圧力を使用して、基板面を平坦化するエレクトロケミカルメカニカルポリッシング(ECMP)技法のためにパッシベーション層(および銅含有材料)除去に使用される。オキシカーバイトおよび低k多孔質材料等の低k材料を研磨するために、約0.5psi等、約1.5psi以下の研磨圧力が使用できる。一実施形態の処理では、約6psi以下の圧力で、腐食阻止剤、均展剤、またはそれらの組合せを約6psi以下の研磨圧力で使用できる。均展剤は、研磨圧力が約2psi以下である場合に使用できる。
【0122】
[0136] 代替として、基板と浸透性ディスクとの間にどんな圧力も加えず、すなわち、約0psiであり得る研磨圧力で、上に形成された不連続のパッシベーション層を持つ表面の陽極溶解等により、材料を基板面から除去できる。
【0123】
[0137] 基板は、処理中に約10rpm以上のキャリア・ヘッドすなわち研磨ヘッドの回転速度で回転できる。例えば、研磨ヘッドの回転速度は約10rpmと約500rpmの間であってよく、約10rpmと約100rpmの間の回転速度が最も広く使用される。本発明は、120rpmを超え、500rpm未満の回転速度を具備する研磨装置を考慮している。プラテンも、約10rpmと約500rpmの間で回転されてよい。約10rpmと約100rpmの間のプラテン回転速度が、本明細書に記載の処理で使用できる。
【0124】
[0138] ステップ1330で、バイアスを電解液中に配置された基板すなわち陽極と陰極との間に印加することによって導電材料の溶解が始動され、基板上に形成された銅含有材料等の導電材料1250の溶解を可能にする。バイアスは、基板面への約15ボルト以下の電圧印加を含むことができる。約0.1ボルトと約15ボルトの間の電圧を用いて、銅含有材料を基板面からそして電解液内へ溶解できる。このようなバイアスの下で、基板面は、上に形成された材料の溶解のために陽極として作用する。代替として、バイアスは200mm基板に対して約0.01と約40ミリアンペア/cm2の間の電流密度であってよい。代替として、バイアスは、浸透性ディスク428へ印加されてもよく、浸透性ディスク428は処理中に電流または電力を基板面へ導く導電性ポリマーパッドであってもよい。
【0125】
[0139] 陽極溶解処理を実行するように印加されるバイアスは、基板面からの材料除去におけるユーザの要求に依存して、電力および上記印加を変えることができる。例えば、時間的に変化する陽極電位を基板面へ与えてもよい。バイアスを電気的パルス変調技法によって印加してもよい。電気的パルス変調技法は、第1の時間定電流密度または定電圧を基板上に印加すること、次に第2の時間定逆電圧を基板上に印加すること、そして第1および第2ステップを繰返すことを含む。電気的パルス変調技法は、約−0.1ボルトと約−15ボルトの間から約0.1ボルトと約15ボルトの間まで変化する電位を使用できる。代替として、バイアスは、200mm基板に対して約0.01と約40ミリアンペア/cm2の間の電流密度であってもよい。電気的パルスは、3秒間未満、例えば約0.2秒と0.4秒の間、または5ミリ秒と100ミリ秒の間の間隔で変化してもよい。
【0126】
[0140] パルスメッキ技法は、処理中に電着だけでなく陽極溶解の両方を可能にする。本明細書に記載の電解ケミカルメカニカルポリッシング処理のために、パルスメッキ技法は、導電材料のどんな電着も最小限になるようにされる。パルスメッキ技法の例は、更に、2001年7月26日出願の同時係属中の米国特許出願第09/916,365号、発明の名称「高アスペクト比フィーチャのためのダイナミックパルスメッキ」、2000年5月11日出願の同時係属中の米国特許出願第09/569,833号、発明の名称「電気的パルス変調を使用する高アスペクト比構造のための電気化学的堆積」、および2000年6月22日出願の米国特許出願第09/602,644号、発明の名称「変調波形を使用する金属の電気化学的堆積のための方法」に開示されている。
【0127】
[0141] ステップ1340で、上に説明したように、材料は、基板面の少なくとも一部分から陽極溶解、機械的磨耗、またはそれらの組合せによって除去される。銅含有材料を約100Å/分と約15,000Å/分の間等、約15,000Å/分の速度で除去するバイアスが基板面へ印加される。除去対象銅材料の厚さが5,000Å未満である場合の本発明の一実施形態において、約100Å/分と約15,000Å/分の間の除去速度を提供する電圧を印加できる。
【0128】
[0142] 研磨処理の例は、基板上に配置された銅含有材料を有する基板を基板キャリアーアセンブリ430中に配置すること、および次に基板を部分的エンクロージャ434中に配置することを含む。エンクロージャ434は、0.85モル(M)の硫酸銅の電解液を含有し、腐食阻止剤としてベンゾトリアゾールを約0.01体積%を含む。約10rpmと約100rpmの間の研磨速度および基板と浸透性ディスク428との間に約1psiの接触圧力が与えられる。約1.5ボルトと約2ボルトの間のバイアスが、基板面または導電性研磨パッドへ印加される。銅含有材料は、約50Å/分と約5000Å/分の間の速度で移動される。
【0129】
[0143] 代替の実施形態においては、パッシベーション層は、電気化学的処理セルの外界で形成できる。パッシベーション層の外界形成では、基板は処理チャンバまたは浴へ移送され、不働態化材料の層が基板面上に堆積される。基板は、次に電解液中に配置されてもよく、バイアスが印加されてもよく、表面は本明細書に記載の処理に従って研磨される。不働態化材料は、二酸化ケイ素等の誘電材料、または半導体製造で使用されるパリレンベースのポリマー等、絶縁性ポリマー等の有機材料であってよい。約1psi以下の研磨圧力で研磨可能な材料が、本明細書に記載の処理で使用できる。不働態化材料は、約5Åと約100Åの間の厚さまで堆積される。
【0130】
[0144] 基板を平坦化する正確なメカニズムは不明であるが、平坦化処理は以下のようであると考えられる。基板の表面を化学的および/または電気的に絶縁化するパッシベーション層が、腐食阻止剤、均展剤、または粘ちょう化剤、またはそれらの組合せへの基板面曝露により、または誘電体層または有機材料の堆積によって形成される。バイアスが印加され、陽極溶解によって基板面から材料を除去するか、または銅含有材料等の導電材料の除去を向上させる。しかし、パッシベーション層が陽極溶解のための電流を絶縁または抑制するので、機械的磨耗が基板と浸透性ディスクとの間に提供され、過剰な堆積または下地層の形状により基板面上に形成される山等、浸透性ディスクと基板との間の接触の領域からパッシベーション層を除去し、下地の銅含有材料を露出させる。パッシベーション層は、基板面にある凹部または谷等の最少限の接触または無接触の領域に残留される。露出した銅含有材料は、それで電解液と電気的に接続され、陽極溶解によって除去できる。
【0131】
[0145] パッシベーション層を谷に残留する一方で、印加バイアスの下での浸透性ディスク428との接触によっての山からのパッシベーション層の選択的除去は、パッシベーション層の下にある導電材料の除去に対する、基板面の不働態化フリーの部分からの過剰な銅含有材料の溶解および/または除去の増大を可能にする。基板面上に形成されたパッシベーション層を持たない銅含有材料の溶解および除去の増大は、その上に形成された谷と比較して基板面上に形成された山の低減を増すことを可能にし、基板面の平坦化を向上させる結果となる。
【0132】
[0146] 加えて、研磨と陽極溶解による材料除去は、基板面が従来の研磨より低い研磨圧力(すなわち、約2psi以下)で平坦化されることを可能にする。より低い研磨圧力は、より低いせん断力および摩擦力に対応し、それは研磨による変形および欠陥形成の低減または最少化により、低k誘電材料を研磨すること等、基板と研磨パッドとの間の接触圧力に敏感な基板面を平坦化するこの処理を好適にする。更に、より低いせん断力および摩擦力が、研磨中に皿状化および掻き傷等の形状欠陥の形成を低減または最小化することが観測された。
【0133】
[0147] 上記の実施形態は銅合金およびドープされた銅を含む銅材料を基板から研磨することに向けられたが、本発明は、アルミニウム、タングステン、タンタル並びにチタン、タングステン、タンタル、並びにチタンの窒化物、アルミニウム、タングステン、タンタル、並びにチタンの合金、ドープされたアルミニウム、ドープされたタングステン、ドープされたタンタル並びにドープされたチタン、およびそれらの組合せ等の導電材料、および、白金、金、銀、ニッケル、並びにそれらの組合せ等の電気化学的処理によって堆積および/または除去可能な他の材料を含有できる表面を研磨するために本明細書に記載の処理の適用を考慮する。
【0134】
[0148] 図13A〜図13Fは、上記ステップ1300〜1340で説明した処理の、一実施形態中の基板面1200の断面略図である。図13Aを参照すると、基板面1200は、普通にはそこに形成されたフィーチャ1205を持つ誘電体層1220、フィーチャ1205の表面上に従形して配置されたバリア層1215、バリア層上に堆積された任意の銅シード層(図示せず)、およびその上に配置された導電材料を含む。銅等の導電材料1250は、この例ではフィーチャ1205中へ電気化学的に堆積される。
【0135】
[0149] 図13Bを参照すると、腐食阻止剤への基板面1200の曝露は、電解液1270中で基板面1200上にパッシベーション層1210の形成を可能にする。パッシベーション層1210は、一般的には、基板面1200上で露出された銅材料1250上に形成し、堆積された銅材料1250に形成できる山1230および谷1240を含む。
【0136】
[0150] 図13Cおよび図13Dを参照すると、基板と浸透性ディスク428は、互いに接触され、そして相対軌道運動等の互いの相対運動で移動され、基板面1200の露出された導電材料1250上に形成されたパッシベーション層1210を除去する。基板と浸透性ディスク428との間の接触は、パッシベーション層1210の機械的除去、および銅材料1250の少なくとも一部分のそれに続く除去を可能にする。基板と浸透性ディスク428との間の接触中に基板面へバイアスが印加され、銅材料1250の陽極溶解を可能にする。パッシベーション層によって覆われた銅材料1250の除去速度は、パッシベーション層が研磨で取去られた銅の除去速度より小さく、図13Dに示すように平坦な様式での研磨される材料の除去を可能にする。
【0137】
[0151] 図13Eを参照すると、基板間を研磨することおよび印加バイアスによっての陽極溶解は、バリア層1215に到達するまで続く。銅材料1250は、充填されたフィーチャ1205中に残る。バリア層は、次に図13Fに示すようにバリア研磨処理によって平坦化される。
【0138】
[0152] 堆積および平坦化処理に続いて、基板は、次に、基板の更なる平坦化のために研磨装置へ移送される。本発明の一態様において、上で説明したように堆積および研磨された基板は、第1プラテンへ移送され、そして残余のすなわち残存する銅等の堆積された材料は、基板面から除去される。残余の材料は、広義に定義され、一つ以上の研磨処理ステップが基板上で実行された後に残存する多くの材料をいう。残余の材料は、基板面から除去された、銅、銅合金、および/またはドープされた銅等の銅含有材料だけでなく、銅の酸化物等、銅研磨の副生成物も含む。残余物は、基板の表面を部分的にまたは完全に覆うことがあり、例えば、下にあるバリア層の一部分は、研磨ステップの後に残余の材料が残留されるときに露出されるか、またはその代わりに、研磨処理が実行された後に露出されるバリア層は無い。
【0139】
[0153] 一実施例では、基板は、固定砥材研磨パッドを含む第1プラテン上に配置され、普通には研磨ステーション(図示せず)で固定砥材研磨パッド上に基板を配置することを含む。研磨処理は、従来のまたは上に記載の固定砥材研磨パッド上で砥粒フリーまたは砥粒含有研磨配合物を使用できる。
【0140】
[0154] 基板は、次にバリア除去のために研磨パッドを含む第2プラテン上に配置され、これは普通には研磨ステーションにあるプラテン上に配置された砥粒フリー研磨パッド上に基板を配置することを含む。バリア除去研磨配合物が、研磨パッドへ供給され、バリア層材料が基板上への研磨処理によって基板面から除去される。バリア除去研磨配合物は、従来の、または固定砥材研磨パッド上への砥粒フリー配合物であるか、またはスピン・エッチングとして知られる高速化学的エッチングを含むことができる。
【0141】
[0155] 基板は、次に表面欠陥を最小限にするバフ程のために、第3研磨ステーションにある第3プラテン上に配置できる。バフ掛けは、柔らかい研磨パッドすなわちペンシルベニア州フィラデルフィアに本部を置く米国材料試験協会(ASTM)に記載されて測定されるショアD硬さが約40以下で、約2psi以下の低減した研磨圧力で実行できる。適切なバフ処理および配合物の例は、2000年5月11日出願の同時係属中の米国特許出願第09/569,968号に、開示され、本発明と矛盾しない範囲で引用して本明細書に取り込まれる。
【0142】
[0156] 任意であるが、洗浄溶液を、各々の研磨処理中またはそれに続いて研磨パッドへ与えてもよく、研磨処理による粒子状物質および消費した反応剤を除去するだけでなく、研磨パッド上の金属残余の堆積物および基板面上に形成された欠陥を最小限にするのを助ける。適切な洗浄液の例は、カリフォルニア州サンタクララのApplied Materials,Inc.が市販するElectraCleanTMである。
【0143】
[0157] 最後に、基板は研磨後の洗浄処理へ曝露でき、研磨中または基板ハンドリング中に形成された欠陥を低減する。そのような処理は、基板面上に形成された銅フィーチャでの望ましくない酸化または他の欠陥を最小化できる。そのような研磨後の洗浄の例は、カリフォルニア州サンタクララのApplied Materials,Inc.が市販するElectra CleanTM を適用することである。
【0144】
[0158] 以上の記載は本発明の種々の実施形態へ向けられたが、本発明の他の、そして更なる実施形態が、本発明の基本範囲から逸脱することなく考案でき、本発明の範囲は、先に記載の特許請求の範囲によって決定される。
【図面の簡単な説明】
【0145】
【図1】本発明の処理装置の実施形態を取り入れた処理装置の一実施形態の平面図である。
【図2】電気メッキ装置の一実施形態の上面略図である。
【図3】電気メッキ装置の、別の実施形態の上面略図である。
【図4】本発明の処理装置の、一実施形態の断面図であり、浸透性ディスクの上方に配置した基板を示す。
【図5】キャリア・ヘッド・アセンブリの一実施形態の部分断面図である。
【図6A】複数の基板クランプの部分斜視図である。
【図6B】図6Aの複数クランプの内の一つの6B−6B断面図である。
【図7A】キャリア・ヘッド・アセンブリへ固定されている基板を示す。
【図7B】キャリア・ヘッド・アセンブリへ固定されている基板を示す。
【図7C】キャリア・ヘッド・アセンブリへ固定されている基板を示す。
【図7D】キャリア・ヘッド・アセンブリへ固定されている基板を示す。
【図8】キャリア・ヘッド・アセンブリの、別の実施形態の部分図である。
【図9】キャリア・ヘッド・アセンブリの、別の実施形態の部分図である。
【図10A】キャリア・ヘッド・アセンブリの、複数の実施形態の部分図である。
【図10B】キャリア・ヘッド・アセンブリの、複数の実施形態の部分図である。
【図11A】基板上への金属層堆積およびその平坦化を行う装置の実施形態の断面略図である。
【図11B】基板上への金属層堆積およびその平坦化を行う装置の実施形態の断面略図である。
【図11C】基板上への金属層堆積およびその平坦化を行う装置の実施形態の断面略図である。
【図11D】基板上への金属層堆積およびその平坦化を行う装置の実施形態の断面略図である。
【図12】本発明の一実施形態に従う処理ステップを図解するフローチャートである。
【図13A】本明細書に記載の、基板面を平坦化するための一実施形態に従って基板を研磨する概略図である。
【図13B】本明細書に記載の、基板面を平坦化するための一実施形態に従って基板を研磨する概略図である。
【図13C】本明細書に記載の、基板面を平坦化するための一実施形態に従って基板を研磨する概略図である。
【図13D】本明細書に記載の、基板面を平坦化するための一実施形態に従って基板を研磨する概略図である。
【図13E】本明細書に記載の、基板面を平坦化するための一実施形態に従って基板を研磨する概略図である。
【図13F】本明細書に記載の、基板面を平坦化するための一実施形態に従って基板を研磨する概略図である。
【符号の説明】
【0146】
100…処理装置、102…電気化学的処理ステーション、106…研磨ステーション、 108…ファクトリインタフェース、110…ローディングロボット、111…グリッパ、 112…モジュール、処理モジュール、114…洗浄モジュール、116…基板カセット、 118…インタフェースロボット、120…投入モジュール、122…移送ステーション、 123…基板、124…ロードカップアセンブリ、126…ベース、128…投入バッファステーション、130…取出バッファステーション、131…、132…移送ロボット、 134…カラーセル、136…アーム、138…研磨ヘッド、140…コントローラ、142…メモリ、146…支援回路、200…処理装置、210…ローディングステーション、211…アニールチャンバ、212…ステーション、研磨ステーション、214…メインフレーム、215…各研磨ステーション、216…メインフレーム移送ステーション、 217…研磨プラテン、218…処理ステーション、220…電解液補給装置、221…電源ステーション、222…制御装置、224…基板カセット受入領域、228…ローディングステーション移送ロボット、230…ウェーハ配向装置、231…基板カセット、 232…基板カセット、234…基板、238…基板受渡カセット、240…処理セル、 242…メインフレーム移送ロボット、244…フリッパロボット、246…各ロボットアーム、260…電解液タンク、262…ソースタンク、264…濾過タンク、402…クランプ、404…クランプ、406…内側表面、410…瘤、412…外周面、416…デタントピン、419…壁、420…底面、422…基板、426…陰極、428…浸透性ディスク、430…キャリアーアセンブリ、ヘッド・アセンブリ、430…基板キャリアーアセンブリ、研磨ヘッド、432…シャフト、434…エンクロージャ、434…部分的エンクロージャ、436…ポート、438…ネジ、438…主メッキ容積部、440…流体配送配管、442…基板、444…拡散プレート、446…孔、450…座部、 454…アクチュエータ、456…パワーヘッド、460…アクチュエータ、462…接触フィンガ、464…延在部、466…ベース部材、468…駆動システム、470…コラム、472…グリッパプレート、474…グリッパフィンガ、475…ヘッド・アセンブリ、476…台座アセンブリ、478…ヘッド・アセンブリ、480…スタンチョン、 482…ベース、共通ベース、484…ベース支持体、486…リフト機構、駆動システム、488…直動アクチュエータ、490…ガイド、492…レール、494…ヒンジ、 502…ハウジング、504…ステム、位置、506…支持プレート、支持表面、508…フランジ、支持プレート、510…リップ、512…キャビティ、514…側面、516…側面、518…真空ポート、520…クランプ、520…基板クランプ、522…クランプ、リング、基板クランプ、導電性リング、524…端子、526…リード、528…中空シャフト、530…搭載プレート、534…スリーブ、536…直径部分、538…リング、540…直径部分、542…部分、544…チャンバ、544…ピストンチャンバ、546…外側部分、548…対応雄ネジ部分、550…ネジ、552…リング、592…位置、604…クランプ、610…瘤、620…底面、800…基板キャリア・ヘッド・アセンブリ、802…接触プレート、804…支持プレート、806…側面、808…リード、810…端子、812…側面、820…導電性シード層、900…基板キャリア・ヘッド・アセンブリ、902…ハウジング、904…キャビティ、906…開口部、 908…ポート、910…作動シャフト、912…接触リング、914…基板支持体、916…接触プレート、920…垂直区間、922…支持プレート、924…側面、926…端子、928…側面、930…リード、1002…キャリアプレート、1004…カバー、1006…保持リング、1008…可撓体、1010…ピストン、1014…基板、 1014…相対的距離、1030…研磨ヘッド、1120…装置、1122…基板、1126…陰極、1128…ローラ、1128…浸透性ディスク、1130…キャリア・ヘッド・アセンブリ、1134…エンクロージャ、部分的エンクロージャ、1136…壁、1140…シャフト、1145…堰、1200…基板面、1205…フィーチャ、1210…パッシベーション層、1215…バリア層、1220…誘電体層、1230…山、1240…谷、1250…導電材料、1250…銅材料、1270…電解液。
Claims (39)
- 基板を処理する方法であって:
パッシベーション層を基板面上に形成すること;
前記基板を電解液中で研磨すること;
陽極バイアスを前記基板面へ印加すること;および
前記基板面の少なくとも一部分から材料を除去すること;
を含む方法。 - 前記パッシベーション層は、基板面を腐食阻止剤、均展剤、またはそれらの組合せへ曝露することによって形成される電流抑制層である、請求項1の方法。
- 前記腐食阻止剤は、ベンゾトリアゾール、メルカプトベンゾトリアゾール、5−メチル−1−ベンゾトリアゾール、およびそれらの組合せから選ばれた、アゾール基を有する有機化合物を含む、請求項2の方法。
- 前記均展剤は、ポリエチレングリコール、ポリエチレングリコール誘導体、およびそれらの組合せから選ばれる、請求項2の方法。
- 前記パッシベーション層は、粘ちょう化剤を含む電解液中に前記基板を配置することによって形成される、請求項1の方法。
- 前記粘ちょう化剤は、リン酸塩ベースの化合物または亜リン酸ベースの化合物を含む、請求項5の方法。
- 前記粘ちょう化剤は、リン酸、リン酸銅、またはリン酸カリウムを含む、請求項6の方法。
- 前記パッシベーション層は、前記基板面上へ誘電材料または有機材料を堆積させることによって形成される、請求項1の方法。
- 前記パッシベーション層はケイ素酸化物を含む、請求項1の方法。
- 前記電解質は、硫酸ベースの電解質、リン酸ベースの電解質、硫酸ベースの電解質誘導体、リン酸ベースの電解質誘導体、およびそれらの組合せから選ばれる、請求項1の方法。
- 前記電解液は更に砥粒を含む、請求項10の方法。
- 前記基板へ前記バイアスを印加することは、約0.1ボルトと約15ボルトとの間の電圧を印加することを含む、請求項1の方法。
- 研磨材は、研磨中に約2psi以下の圧力を前記基板に及ぼす、請求項1の方法。
- 前記腐食阻止剤、均展剤、またはそれらの組合せは、前記電解液の約0.005体積%と約10体積%の間を占める、請求項2の方法。
- 基板を処理する方法であって:
前記基板を、電解液中に配置された研磨材に隣接させて、電解液中に位置決めすること;
前記基板を腐食阻止剤、均展剤、粘ちょう化剤、またはそれらの組合せへ曝露して、電流抑制層を基板面上に形成すること;
前記基板を前記電解液中で前記研磨材により研磨することにより前記電流抑制層の少なくとも一部分を除去すること;
前記電解液中に配置された陽極と陰極との間にバイアスを印加すること;および
前記基板面の少なくとも一部分から陽極溶解により材料を除去すること;
を含む方法。 - 前記バイアスを印加することは、時間的に変化する陽極電位を前記基板面へ制御可能に印加することを含む、請求項15の方法。
- 前記陽極と前記陰極との間に印加される前記バイアスは、約0.1ボルトと約15ボルトの間である、請求項15の方法。
- 前記電解質は、硫酸ベースの電解質、リン酸ベースの電解質、硫酸ベースの電解質誘導体、リン酸ベースの電解質誘導体、およびそれらの組合せから選ばれる、請求項15の方法。
- 前記腐食阻止剤は、ベンゾトリアゾール、メルカプトベンゾトリアゾール、5−メチル−1−ベンゾトリアゾール、およびそれらの組合せから選ばれる、アゾール基を有する有機化合物を含む、請求項15の方法。
- 前記均展剤は、ポリエチレングリコール、ポリエチレングリコール誘導体、およびそれらの組合せから選ばれる、請求項15の方法。
- 前記粘ちょう化剤は、リン酸塩ベースの化合物または亜リン酸ベースの化合物を含む、請求項15の方法。
- 前記粘ちょう化剤は、リン酸、リン酸銅、またはリン酸カリウムを含む、請求項21の方法。
- 前記腐食阻止剤、均展剤、またはそれらの組合せは、前記電解液の約0.005体積%と約10体積%の間を占める、請求項15の方法。
- 前記電解液は更に砥粒を含む、請求項15の方法。
- 基板を処理するための装置であって:
処理区域を画成し、流体取入口と流体取出口とを有する部分的エンクロージャと;
前記部分的エンクロージャ内に配置される陰極と;
前記部分的エンクロージャ内に配置される研磨材と;
基板装着面を有して、前記研磨材の上方に移動可能に配置される基板キャリアと;
前記部分的エンクロージャを介して基板へ、または前記エンクロージャ内へ配置された前記研磨材へ連結される電源と;
前記装置が電解液中に基板を位置決めして基板面上へパッシベーション層を形成し、前記研磨材を用いて前記基板を前記電解液中で研磨し、そして陽極バイアスを前記基板面または研磨材へ印加するすることにより前記基板面の少なくとも一部分から材料を除去するように構成される、コンピュータ・ベースのコントローラと;を有する、
基板を処理するための装置。 - 前記陰極は、前記部分的エンクロージャ内へ垂直に配置されるリングを備える、請求項25の装置。
- 前記陰極は、前記部分的エンクロージャ内へ水平に配置されるリングを備える、請求項25の装置。
- 前記研磨材は、研磨パッド、研磨材料の直線状ウェブもしくはベルト、または一つ以上の研磨材ローラである、請求項25の装置。
- 前記一つ以上の研磨材ローラは、水平に、または垂直に配置された基板を研磨するために直列に配置される、請求項28の装置。
- 前記研磨材は導電性である、請求項28の装置。
- 前記導電性研磨材は、ポリウレタン中に埋込まれた、またはポリウレタン中に形成された導電素子または導電材料を含み、前記導電素子は相互に電気的に接続され、基板が前記研磨材と接触状態にあるとき基板面に接触する、請求項30の装置。
- 更に、前記基板受け面の周辺の回りに配置される複数の電気接点を備える、請求項25の装置。
- 前記コンピュータ・ベースのコントローラは、時間的に変化する陽極電位を前記基板面へ印加するように構成される、請求項25の装置。
- 前記コンピュータ・ベースのコントローラは、約0.1ボルトと約15ボルトとの間の電圧を前記基板面または研磨材へ印加するように構成される、請求項25の装置。
- 電気化学的堆積装置であって:
メインフレームウェーハ移送ロボットを有するメインフレームと;
前記メインフレームに接続して配置されるローディングステーションと;
前記メインフレームに接続して配置される一つ以上の電気化学的処理セルと;
前記メインフレームに接続して配置される一つ以上の研磨プラテンと;
前記一つ以上の電気化学的処理セルへ流体接続される電解液供給装置と;
前記一つ以上の研磨プラテンへ接続される一つ以上の研磨流体供給装置と;
を備える電気化学的堆積装置。 - 更に、電気化学的堆積処理、電気化学的除去処理、研磨処理、またはそれらの組合せを制御する装置コントローラを備える、請求項35の装置。
- 更に、前記ローディングステーションと前記メインフレームとの間に配置されるスピン−リンス−ドライ(SRD)ステーションを備える、請求項36の装置。
- 更に、前記ローディングステーションに接続して配置される熱アニールチャンバを備える、請求項36の装置。
- 前記電気化学的処理セルは:
処理区域を画成し、流体取入口および流体取出口を有する部分的エンクロージャと;
前記部分的エンクロージャ内に配置される陰極と;
前記部分的エンクロージャ内に配置される研磨材と;
基板搭載面を有して、前記研磨材の上方に移動可能に配置される基板キャリアと;
前記部分的エンクロージャを通りそこに配置される基板へまたは前記研磨材へ連結される電源と;
前記装置が電解液中に基板を位置決めして基板面上へパッシベーション層を形成し、前記研磨材を用いて前記基板を前記電解液中で研磨し、そして陽極バイアスを前記基板面または研磨材へ印加するすることにより前記基板面の少なくとも一部分から材料を除去するように構成されるコンピュータ・ベースのコントローラと;を備える、請求項35の装置。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US27587401P | 2001-03-14 | 2001-03-14 | |
US28610701P | 2001-04-24 | 2001-04-24 | |
US32626301P | 2001-10-01 | 2001-10-01 | |
US10/038,066 US6811680B2 (en) | 2001-03-14 | 2002-01-03 | Planarization of substrates using electrochemical mechanical polishing |
PCT/US2002/004806 WO2002075804A2 (en) | 2001-03-14 | 2002-02-19 | Planarization of substrates using electrochemical mechanical polishing |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2005508074A true JP2005508074A (ja) | 2005-03-24 |
Family
ID=27488497
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002574121A Pending JP2005508074A (ja) | 2001-03-14 | 2002-02-19 | 電解ケミカルメカニカルポリッシングを用いる基板平坦化 |
Country Status (7)
Country | Link |
---|---|
US (2) | US6811680B2 (ja) |
EP (1) | EP1368826A2 (ja) |
JP (1) | JP2005508074A (ja) |
KR (1) | KR100849572B1 (ja) |
CN (1) | CN1276483C (ja) |
TW (1) | TW590846B (ja) |
WO (1) | WO2002075804A2 (ja) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100788156B1 (ko) * | 2005-08-26 | 2007-12-21 | (주)창일기계 | 스파이더 트리니언 타원 연삭기 |
JP2010509755A (ja) * | 2006-11-02 | 2010-03-25 | キャボット マイクロエレクトロニクス コーポレイション | 銅/ルテニウム/タンタル基板のcmp |
Families Citing this family (134)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7686935B2 (en) * | 1998-10-26 | 2010-03-30 | Novellus Systems, Inc. | Pad-assisted electropolishing |
US7678245B2 (en) | 2000-02-17 | 2010-03-16 | Applied Materials, Inc. | Method and apparatus for electrochemical mechanical processing |
US7670468B2 (en) | 2000-02-17 | 2010-03-02 | Applied Materials, Inc. | Contact assembly and method for electrochemical mechanical processing |
US6962524B2 (en) * | 2000-02-17 | 2005-11-08 | Applied Materials, Inc. | Conductive polishing article for electrochemical mechanical polishing |
US6884153B2 (en) | 2000-02-17 | 2005-04-26 | Applied Materials, Inc. | Apparatus for electrochemical processing |
US6848970B2 (en) * | 2002-09-16 | 2005-02-01 | Applied Materials, Inc. | Process control in electrochemically assisted planarization |
US20040182721A1 (en) * | 2003-03-18 | 2004-09-23 | Applied Materials, Inc. | Process control in electro-chemical mechanical polishing |
US6979248B2 (en) * | 2002-05-07 | 2005-12-27 | Applied Materials, Inc. | Conductive polishing article for electrochemical mechanical polishing |
US6991526B2 (en) * | 2002-09-16 | 2006-01-31 | Applied Materials, Inc. | Control of removal profile in electrochemically assisted CMP |
US7192335B2 (en) * | 2002-08-29 | 2007-03-20 | Micron Technology, Inc. | Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates |
US7129160B2 (en) * | 2002-08-29 | 2006-10-31 | Micron Technology, Inc. | Method for simultaneously removing multiple conductive materials from microelectronic substrates |
US7078308B2 (en) | 2002-08-29 | 2006-07-18 | Micron Technology, Inc. | Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate |
US7220166B2 (en) * | 2000-08-30 | 2007-05-22 | Micron Technology, Inc. | Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate |
TWI222154B (en) * | 2001-02-27 | 2004-10-11 | Asm Nutool Inc | Integrated system for processing semiconductor wafers |
US7201829B2 (en) * | 2001-03-01 | 2007-04-10 | Novellus Systems, Inc. | Mask plate design |
US20070290166A1 (en) * | 2001-03-14 | 2007-12-20 | Liu Feng Q | Method and composition for polishing a substrate |
US7128825B2 (en) * | 2001-03-14 | 2006-10-31 | Applied Materials, Inc. | Method and composition for polishing a substrate |
US6899804B2 (en) * | 2001-12-21 | 2005-05-31 | Applied Materials, Inc. | Electrolyte composition and treatment for electrolytic chemical mechanical polishing |
US7232514B2 (en) * | 2001-03-14 | 2007-06-19 | Applied Materials, Inc. | Method and composition for polishing a substrate |
US20060169597A1 (en) * | 2001-03-14 | 2006-08-03 | Applied Materials, Inc. | Method and composition for polishing a substrate |
US6811680B2 (en) | 2001-03-14 | 2004-11-02 | Applied Materials Inc. | Planarization of substrates using electrochemical mechanical polishing |
US7160432B2 (en) * | 2001-03-14 | 2007-01-09 | Applied Materials, Inc. | Method and composition for polishing a substrate |
US6821409B2 (en) * | 2001-04-06 | 2004-11-23 | Asm-Nutool, Inc. | Electroetching methods and systems using chemical and mechanical influence |
US20030072639A1 (en) * | 2001-10-17 | 2003-04-17 | Applied Materials, Inc. | Substrate support |
EP1310582A1 (en) * | 2001-11-07 | 2003-05-14 | Shipley Company LLC | Process for electrolytic copper plating |
US6837983B2 (en) * | 2002-01-22 | 2005-01-04 | Applied Materials, Inc. | Endpoint detection for electro chemical mechanical polishing and electropolishing processes |
US7101471B2 (en) * | 2002-03-06 | 2006-09-05 | Asm Nutool, Inc. | Method for planar material removal technique using multi-phase process environment |
JP2003311540A (ja) * | 2002-04-30 | 2003-11-05 | Sony Corp | 電解研磨液、電解研磨方法及び半導体装置の製造方法 |
US20030209523A1 (en) * | 2002-05-09 | 2003-11-13 | Applied Materials, Inc. | Planarization by chemical polishing for ULSI applications |
US6703272B2 (en) * | 2002-06-21 | 2004-03-09 | Micron Technology, Inc. | Methods of forming spaced conductive regions, and methods of forming capacitor constructions |
CN101281858B (zh) * | 2002-06-21 | 2011-02-02 | 株式会社荏原制作所 | 基片保持装置和电镀设备 |
US6783657B2 (en) * | 2002-08-29 | 2004-08-31 | Micron Technology, Inc. | Systems and methods for the electrolytic removal of metals from substrates |
US20040040863A1 (en) * | 2002-08-29 | 2004-03-04 | Micron Technology, Inc. | Systems for electrolytic removal of metals from substrates |
US7112270B2 (en) * | 2002-09-16 | 2006-09-26 | Applied Materials, Inc. | Algorithm for real-time process control of electro-polishing |
US20050061674A1 (en) * | 2002-09-16 | 2005-03-24 | Yan Wang | Endpoint compensation in electroprocessing |
US7964085B1 (en) * | 2002-11-25 | 2011-06-21 | Applied Materials, Inc. | Electrochemical removal of tantalum-containing materials |
US7442282B2 (en) * | 2002-12-02 | 2008-10-28 | Ebara Corporation | Electrolytic processing apparatus and method |
US20040226654A1 (en) * | 2002-12-17 | 2004-11-18 | Akihisa Hongo | Substrate processing apparatus and substrate processing method |
WO2004072332A1 (ja) * | 2003-02-12 | 2004-08-26 | Ebara Corporation | 研磨液、研磨方法及び研磨装置 |
US7105082B2 (en) * | 2003-02-27 | 2006-09-12 | Novellus Systems, Inc. | Composition and method for electrodeposition of metal on a work piece |
IL154783A0 (en) * | 2003-03-06 | 2003-10-31 | J G Systems Inc | Chemical-mechanical polishing composition based on cupric oxidizing compounds |
US7250103B2 (en) * | 2003-04-14 | 2007-07-31 | Novellus Systems, Inc. | Method and apparatus for eliminating defects and improving uniformity in electrochemically processed conductive layers |
US20040235297A1 (en) * | 2003-05-23 | 2004-11-25 | Bih-Tiao Lin | Reverse electroplating for damascene conductive region formation |
US7390429B2 (en) * | 2003-06-06 | 2008-06-24 | Applied Materials, Inc. | Method and composition for electrochemical mechanical polishing processing |
US20040259479A1 (en) * | 2003-06-23 | 2004-12-23 | Cabot Microelectronics Corporation | Polishing pad for electrochemical-mechanical polishing |
JP2005029830A (ja) * | 2003-07-10 | 2005-02-03 | Ebara Corp | めっき装置及びめっき方法 |
US20050016861A1 (en) * | 2003-07-24 | 2005-01-27 | Thomas Laursen | Method for planarizing a work piece |
US20050051437A1 (en) * | 2003-09-04 | 2005-03-10 | Keiichi Kurashina | Plating apparatus and plating method |
US7112122B2 (en) * | 2003-09-17 | 2006-09-26 | Micron Technology, Inc. | Methods and apparatus for removing conductive material from a microelectronic substrate |
US20050173259A1 (en) * | 2004-02-06 | 2005-08-11 | Applied Materials, Inc. | Endpoint system for electro-chemical mechanical polishing |
US8066552B2 (en) * | 2003-10-03 | 2011-11-29 | Applied Materials, Inc. | Multi-layer polishing pad for low-pressure polishing |
US20050087450A1 (en) * | 2003-10-24 | 2005-04-28 | Reder Steven E. | Electropolishing pad |
US20050150777A1 (en) * | 2003-11-21 | 2005-07-14 | Basol Bulent M. | Method and system for material removal and planarization |
US7186164B2 (en) * | 2003-12-03 | 2007-03-06 | Applied Materials, Inc. | Processing pad assembly with zone control |
KR100582837B1 (ko) * | 2003-12-23 | 2006-05-23 | 동부일렉트로닉스 주식회사 | 웨이퍼 평탄화 장치 및 방법 |
US7153411B2 (en) * | 2003-12-30 | 2006-12-26 | Boston Scientific Scimed, Inc. | Method for cleaning and polishing metallic alloys and articles cleaned or polished thereby |
US20050167266A1 (en) * | 2004-02-02 | 2005-08-04 | Cabot Microelectronics Corporation | ECMP system |
US7153777B2 (en) | 2004-02-20 | 2006-12-26 | Micron Technology, Inc. | Methods and apparatuses for electrochemical-mechanical polishing |
US7608173B2 (en) * | 2004-12-02 | 2009-10-27 | Applied Materials, Inc. | Biased retaining ring |
US7276743B2 (en) | 2004-05-13 | 2007-10-02 | Applied Materials, Inc. | Retaining ring with conductive portion |
US20050263407A1 (en) * | 2004-05-28 | 2005-12-01 | Cabot Microelectronics Corporation | Electrochemical-mechanical polishing composition and method for using the same |
US7566391B2 (en) | 2004-09-01 | 2009-07-28 | Micron Technology, Inc. | Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media |
US7084064B2 (en) * | 2004-09-14 | 2006-08-01 | Applied Materials, Inc. | Full sequence metal and barrier layer electrochemical mechanical processing |
KR100614773B1 (ko) * | 2004-12-28 | 2006-08-22 | 삼성전자주식회사 | 화학 기계적 연마 방법 |
US20060163083A1 (en) * | 2005-01-21 | 2006-07-27 | International Business Machines Corporation | Method and composition for electro-chemical-mechanical polishing |
US7655565B2 (en) * | 2005-01-26 | 2010-02-02 | Applied Materials, Inc. | Electroprocessing profile control |
US20060169674A1 (en) * | 2005-01-28 | 2006-08-03 | Daxin Mao | Method and composition for polishing a substrate |
US20060189131A1 (en) * | 2005-02-24 | 2006-08-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Composition and process for element displacement metal passivation |
US20060219663A1 (en) * | 2005-03-31 | 2006-10-05 | Applied Materials, Inc. | Metal CMP process on one or more polishing stations using slurries with oxidizers |
US20060227458A1 (en) * | 2005-04-08 | 2006-10-12 | Pace Technologies Corporation | Corrosion inhibitors and methods for magnetic media and magnetic head read-write device |
US7468322B1 (en) * | 2005-04-26 | 2008-12-23 | Novellus Systems, Inc. | Methods of multi-step electrochemical mechanical planarization of Cu |
US20060249395A1 (en) * | 2005-05-05 | 2006-11-09 | Applied Material, Inc. | Process and composition for electrochemical mechanical polishing |
US7416648B2 (en) * | 2005-05-12 | 2008-08-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Image sensor system for monitoring condition of electrode for electrochemical process tools |
US7998335B2 (en) * | 2005-06-13 | 2011-08-16 | Cabot Microelectronics Corporation | Controlled electrochemical polishing method |
US20090266707A1 (en) * | 2005-08-26 | 2009-10-29 | Novellus Systems, Inc. | Pad-assisted electropolishing |
US7407433B2 (en) * | 2005-11-03 | 2008-08-05 | Applied Materials, Inc. | Pad characterization tool |
US7879255B2 (en) | 2005-11-04 | 2011-02-01 | Applied Materials, Inc. | Method and composition for electrochemically polishing a conductive material on a substrate |
US20070144915A1 (en) * | 2005-12-22 | 2007-06-28 | Applied Materials, Inc. | Process and composition for passivating a substrate during electrochemical mechanical polishing |
US20070153453A1 (en) * | 2006-01-05 | 2007-07-05 | Applied Materials, Inc. | Fully conductive pad for electrochemical mechanical processing |
US20070151866A1 (en) * | 2006-01-05 | 2007-07-05 | Applied Materials, Inc. | Substrate polishing with surface pretreatment |
US7576007B2 (en) * | 2006-01-09 | 2009-08-18 | Applied Materials, Inc. | Method for electrochemically mechanically polishing a conductive material on a substrate |
JP5431736B2 (ja) * | 2006-02-14 | 2014-03-05 | キャボット マイクロエレクトロニクス コーポレイション | インジウム錫酸化物表面をcmpする方法 |
US20070221495A1 (en) * | 2006-03-23 | 2007-09-27 | Applied Materials, Inc. | Electropolish assisted electrochemical mechanical polishing apparatus |
US7396430B2 (en) * | 2006-03-31 | 2008-07-08 | Lam Research Corporation | Apparatus and method for confined area planarization |
US20070235344A1 (en) * | 2006-04-06 | 2007-10-11 | Applied Materials, Inc. | Process for high copper removal rate with good planarization and surface finish |
US20070235345A1 (en) * | 2006-04-07 | 2007-10-11 | Applied Materials, Inc. | Polishing method that suppresses hillock formation |
US20070243709A1 (en) * | 2006-04-14 | 2007-10-18 | Applied Materials, Inc. | Planarization of substrates at a high polishing rate using electrochemical mechanical polishing |
US20070251832A1 (en) * | 2006-04-27 | 2007-11-01 | Applied Materials, Inc. | Method and apparatus for electrochemical mechanical polishing of cu with higher liner velocity for better surface finish and higher removal rate during clearance |
US20070254485A1 (en) * | 2006-04-28 | 2007-11-01 | Daxin Mao | Abrasive composition for electrochemical mechanical polishing |
US7935230B2 (en) * | 2006-06-29 | 2011-05-03 | Semitool, Inc. | Electro-chemical processor |
US7422982B2 (en) * | 2006-07-07 | 2008-09-09 | Applied Materials, Inc. | Method and apparatus for electroprocessing a substrate with edge profile control |
US7935242B2 (en) * | 2006-08-21 | 2011-05-03 | Micron Technology, Inc. | Method of selectively removing conductive material |
US20080067077A1 (en) * | 2006-09-04 | 2008-03-20 | Akira Kodera | Electrolytic liquid for electrolytic polishing and electrolytic polishing method |
US8361290B2 (en) | 2006-09-05 | 2013-01-29 | Oerlikon Trading, Ag, Trubbach | Coating removal installation and method of operating it |
US20080142375A1 (en) * | 2006-12-13 | 2008-06-19 | Francois Doniat | Electrolyte formulation for electrochemical mechanical planarization |
US8012000B2 (en) * | 2007-04-02 | 2011-09-06 | Applied Materials, Inc. | Extended pad life for ECMP and barrier removal |
JP4746003B2 (ja) * | 2007-05-07 | 2011-08-10 | リンテック株式会社 | 移載装置及び移載方法 |
US7993498B2 (en) * | 2007-08-07 | 2011-08-09 | International Business Machines Corporation | Apparatus and method of electrolytic removal of metals from a wafer surface |
US20090061741A1 (en) * | 2007-09-04 | 2009-03-05 | Zhihong Wang | Ecmp polishing sequence to improve planarity and defect performance |
US7947604B2 (en) * | 2008-01-25 | 2011-05-24 | Chartered Semiconductor Manufacturing, Ltd. | Method for corrosion prevention during planarization |
US20100038584A1 (en) * | 2008-08-13 | 2010-02-18 | Fujimi Incorporated | Polishing Composition and Polishing Method Using the Same |
US8492009B1 (en) * | 2009-08-25 | 2013-07-23 | Wd Media, Inc. | Electrochemical etching of magnetic recording layer |
KR101692574B1 (ko) * | 2009-12-15 | 2017-01-03 | 고꾸리쯔 다이가꾸 호우징 오사까 다이가꾸 | 연마 방법 및 연마 장치 |
CN101797713B (zh) | 2010-04-08 | 2011-11-16 | 南京航空航天大学 | 硅片的磨削/电解复合多线切割加工方法 |
US8496511B2 (en) * | 2010-07-15 | 2013-07-30 | 3M Innovative Properties Company | Cathodically-protected pad conditioner and method of use |
CN102371533B (zh) * | 2010-08-24 | 2013-07-17 | 中芯国际集成电路制造(上海)有限公司 | 利用化学机械研磨设备对晶片返工的方法 |
JP6161863B2 (ja) * | 2010-12-28 | 2017-07-12 | 株式会社荏原製作所 | 電気めっき方法 |
JP5906254B2 (ja) * | 2010-12-28 | 2016-04-20 | サン−ゴバン セラミックス アンド プラスティクス,インコーポレイティド | ジルコニア粒子を含む研磨スラリーおよびその研磨スラリーを使用する方法 |
CN102412136B (zh) * | 2011-05-13 | 2014-03-12 | 上海华力微电子有限公司 | 一种消除金属表面突起物的化学机械抛光装置及方法 |
US8557682B2 (en) * | 2011-06-15 | 2013-10-15 | Applied Materials, Inc. | Multi-layer mask for substrate dicing by laser and plasma etch |
DE102011089362B4 (de) | 2011-12-21 | 2014-01-16 | Siltronic Ag | Verfahren zum Polieren eines Substrates aus Halbleitermaterial |
CN102744674B (zh) * | 2012-07-26 | 2016-10-26 | 上海华虹宏力半导体制造有限公司 | 化学机械研磨设备 |
CN103659569B (zh) * | 2012-09-25 | 2016-06-15 | 中芯国际集成电路制造(上海)有限公司 | 一种化学机械研磨方法、模块及装置 |
WO2016021648A1 (ja) | 2014-08-08 | 2016-02-11 | 三井化学株式会社 | シール組成物、及び半導体装置の製造方法 |
US9873180B2 (en) | 2014-10-17 | 2018-01-23 | Applied Materials, Inc. | CMP pad construction with composite material properties using additive manufacturing processes |
US10875153B2 (en) | 2014-10-17 | 2020-12-29 | Applied Materials, Inc. | Advanced polishing pad materials and formulations |
JP6545261B2 (ja) | 2014-10-17 | 2019-07-17 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 付加製造プロセスを使用する、複合材料特性を有するcmpパッド構造 |
US11745302B2 (en) | 2014-10-17 | 2023-09-05 | Applied Materials, Inc. | Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process |
KR20230169424A (ko) | 2015-10-30 | 2023-12-15 | 어플라이드 머티어리얼스, 인코포레이티드 | 원하는 제타 전위를 가진 연마 제품을 형성하는 장치 및 방법 |
US10593574B2 (en) | 2015-11-06 | 2020-03-17 | Applied Materials, Inc. | Techniques for combining CMP process tracking data with 3D printed CMP consumables |
US10391605B2 (en) | 2016-01-19 | 2019-08-27 | Applied Materials, Inc. | Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process |
JP2018134710A (ja) * | 2017-02-22 | 2018-08-30 | 株式会社荏原製作所 | 基板の研磨装置および研磨方法 |
US11471999B2 (en) | 2017-07-26 | 2022-10-18 | Applied Materials, Inc. | Integrated abrasive polishing pads and manufacturing methods |
WO2019032286A1 (en) | 2017-08-07 | 2019-02-14 | Applied Materials, Inc. | ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME |
US10734240B2 (en) * | 2017-11-30 | 2020-08-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and equipment for performing CMP process |
KR20210042171A (ko) | 2018-09-04 | 2021-04-16 | 어플라이드 머티어리얼스, 인코포레이티드 | 진보한 폴리싱 패드들을 위한 제형들 |
CN111341700A (zh) * | 2020-03-10 | 2020-06-26 | 北京烁科精微电子装备有限公司 | 一种共用清洗模块、抛光设备及集成电路制造*** |
CN111331504A (zh) * | 2020-04-13 | 2020-06-26 | 争丰半导体科技(苏州)有限公司 | 晶圆片全自动研磨清洗一体机 |
US11806829B2 (en) | 2020-06-19 | 2023-11-07 | Applied Materials, Inc. | Advanced polishing pads and related polishing pad manufacturing methods |
CN111843076A (zh) * | 2020-07-30 | 2020-10-30 | 扬州大学 | 一种微细超声复合电解加工*** |
CN112708926A (zh) * | 2020-12-16 | 2021-04-27 | 上海华力微电子有限公司 | 一种用于铜电镀机台的缓冲装置及铜电镀机台 |
CN112975014B (zh) * | 2021-02-04 | 2022-07-26 | 南京航空航天大学 | 凹弧形结构变参数式电解铣削加工方法 |
US11878389B2 (en) | 2021-02-10 | 2024-01-23 | Applied Materials, Inc. | Structures formed using an additive manufacturing process for regenerating surface texture in situ |
GB202107777D0 (en) * | 2021-06-01 | 2021-07-14 | Univ College Dublin Nat Univ Ireland Dublin | Hybrid polishing |
Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS523536A (en) * | 1975-06-26 | 1977-01-12 | Inoue Japax Res | Electrolytic grinding liquid |
JPS5669070A (en) * | 1979-11-12 | 1981-06-10 | Inoue Japax Res Inc | Electrolytic polishing apparatus |
JPH0679533A (ja) * | 1992-09-02 | 1994-03-22 | Nishiyama Stainless Chem Kk | 複合電解研磨方法 |
JPH07288253A (ja) * | 1994-04-18 | 1995-10-31 | Kawasaki Steel Corp | 絶縁膜の平坦化方法 |
JPH07312366A (ja) * | 1992-04-09 | 1995-11-28 | Micron Technol Inc | 化学的機械的平坦化 |
JPH09207029A (ja) * | 1996-02-02 | 1997-08-12 | Toyo Rikagaku Kenkyusho:Kk | チタン及びチタン合金の電解研磨方法 |
US5807165A (en) * | 1997-03-26 | 1998-09-15 | International Business Machines Corporation | Method of electrochemical mechanical planarization |
JPH10270412A (ja) * | 1997-03-26 | 1998-10-09 | Internatl Business Mach Corp <Ibm> | ワークピースを平坦化する方法および装置 |
JPH11277339A (ja) * | 1998-03-31 | 1999-10-12 | Kunio Kamura | 磁気記録媒体、磁気記録媒体用基板、その研磨方法、装置、および研磨テープ |
WO2000003426A1 (en) * | 1998-07-09 | 2000-01-20 | Acm Research, Inc. | Methods and apparatus for electropolishing metal interconnections on semiconductor devices |
Family Cites Families (158)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2582020A (en) | 1947-07-15 | 1952-01-08 | Gen Motors Corp | Electrolytic polishing |
GB962932A (en) * | 1961-06-09 | 1964-07-08 | Stephen Louis Marosi | Method and apparatus for electrolytic production of printed circuits |
US3873512A (en) | 1973-04-30 | 1975-03-25 | Martin Marietta Corp | Machining method |
US4263113A (en) | 1980-06-02 | 1981-04-21 | Sprague Electric Company | Electrochemical removal of surface copper from aluminum foil |
US4369099A (en) * | 1981-01-08 | 1983-01-18 | Bell Telephone Laboratories, Incorporated | Photoelectrochemical etching of semiconductors |
US4663005A (en) | 1985-11-15 | 1987-05-05 | Edson Gwynne I | Electropolishing process |
US4666683A (en) * | 1985-11-21 | 1987-05-19 | Eco-Tec Limited | Process for removal of copper from solutions of chelating agent and copper |
US4793895A (en) | 1988-01-25 | 1988-12-27 | Ibm Corporation | In situ conductivity monitoring technique for chemical/mechanical planarization endpoint detection |
SU1618538A1 (ru) | 1988-09-13 | 1991-01-07 | Предприятие П/Я А-1067 | Устройство дл электрохимикомеханического полировани и доводки деталей |
US4934102A (en) | 1988-10-04 | 1990-06-19 | International Business Machines Corporation | System for mechanical planarization |
US5256565A (en) | 1989-05-08 | 1993-10-26 | The United States Of America As Represented By The United States Department Of Energy | Electrochemical planarization |
US5002645A (en) * | 1989-07-27 | 1991-03-26 | Saginaw Valley State University | Process of separating and recovering metal values from a waste stream |
US4992135A (en) | 1990-07-24 | 1991-02-12 | Micron Technology, Inc. | Method of etching back of tungsten layers on semiconductor wafers, and solution therefore |
US5114548A (en) | 1990-08-09 | 1992-05-19 | Extrude Hone Corporation | Orbital electrochemical machining |
US5096550A (en) | 1990-10-15 | 1992-03-17 | The United States Of America As Represented By The United States Department Of Energy | Method and apparatus for spatially uniform electropolishing and electrolytic etching |
US5129981A (en) | 1991-03-14 | 1992-07-14 | General Motors Corporation | Method of selectively etching silicon |
US5262018A (en) | 1991-08-12 | 1993-11-16 | Fmc Corporation | Metals removal from aqueous peroxy acids or peroxy salts |
US5217586A (en) | 1992-01-09 | 1993-06-08 | International Business Machines Corporation | Electrochemical tool for uniform metal removal during electropolishing |
US5225034A (en) | 1992-06-04 | 1993-07-06 | Micron Technology, Inc. | Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing |
US5209816A (en) | 1992-06-04 | 1993-05-11 | Micron Technology, Inc. | Method of chemical mechanical polishing aluminum containing metal layers and slurry for chemical mechanical polishing |
JPH07111962B2 (ja) | 1992-11-27 | 1995-11-29 | 日本電気株式会社 | 選択平坦化ポリッシング方法 |
US5391258A (en) | 1993-05-26 | 1995-02-21 | Rodel, Inc. | Compositions and methods for polishing |
US5407526A (en) | 1993-06-30 | 1995-04-18 | Intel Corporation | Chemical mechanical polishing slurry delivery and mixing system |
US5340370A (en) | 1993-11-03 | 1994-08-23 | Intel Corporation | Slurries for chemical mechanical polishing |
JP3397501B2 (ja) | 1994-07-12 | 2003-04-14 | 株式会社東芝 | 研磨剤および研磨方法 |
US5534106A (en) | 1994-07-26 | 1996-07-09 | Kabushiki Kaisha Toshiba | Apparatus for processing semiconductor wafers |
US5567300A (en) | 1994-09-02 | 1996-10-22 | Ibm Corporation | Electrochemical metal removal technique for planarization of surfaces |
JP3053537B2 (ja) | 1994-11-08 | 2000-06-19 | 株式会社ヤクルト本社 | 脳機能改善剤 |
US5486282A (en) | 1994-11-30 | 1996-01-23 | Ibm Corporation | Electroetching process for seed layer removal in electrochemical fabrication of wafers |
US5575706A (en) | 1996-01-11 | 1996-11-19 | Taiwan Semiconductor Manufacturing Company Ltd. | Chemical/mechanical planarization (CMP) apparatus and polish method |
US6971829B2 (en) | 1996-05-03 | 2005-12-06 | Senco Products, Inc | Fastening device |
US5858813A (en) | 1996-05-10 | 1999-01-12 | Cabot Corporation | Chemical mechanical polishing slurry for metal layers and films |
DE59713039D1 (de) | 1996-05-20 | 2010-08-26 | Infineon Technologies Ag | Thyristor mit integriertem du/dt-schutz |
US5866031A (en) * | 1996-06-19 | 1999-02-02 | Sematech, Inc. | Slurry formulation for chemical mechanical polishing of metals |
DE69734868T2 (de) | 1996-07-25 | 2006-08-03 | Dupont Air Products Nanomaterials L.L.C., Tempe | Zusammensetzung und verfahren zum chemisch-mechanischen polieren |
US5783489A (en) | 1996-09-24 | 1998-07-21 | Cabot Corporation | Multi-oxidizer slurry for chemical mechanical polishing |
US6132637A (en) | 1996-09-27 | 2000-10-17 | Rodel Holdings, Inc. | Composition and method for polishing a composite of silica and silicon nitride |
US5846882A (en) | 1996-10-03 | 1998-12-08 | Applied Materials, Inc. | Endpoint detector for a chemical mechanical polishing system |
US5954997A (en) * | 1996-12-09 | 1999-09-21 | Cabot Corporation | Chemical mechanical polishing slurry useful for copper substrates |
US6309560B1 (en) * | 1996-12-09 | 2001-10-30 | Cabot Microelectronics Corporation | Chemical mechanical polishing slurry useful for copper substrates |
US6126853A (en) * | 1996-12-09 | 2000-10-03 | Cabot Microelectronics Corporation | Chemical mechanical polishing slurry useful for copper substrates |
DE19651042A1 (de) | 1996-12-09 | 1998-06-10 | Wolff Walsrode Ag | Hydrokolloide und Hydrokolloidabmischungen als Hilfsmittel für den Tunnelbau mit Schildvortriebssystemen |
US5888003A (en) * | 1997-02-05 | 1999-03-30 | Pierpont; Robert L. | Cosmetic container having an inner sleeve for creating torque |
KR100300898B1 (ko) * | 1997-03-26 | 2001-10-19 | 포만 제프리 엘 | 워크피스의평탄화장치및방법 |
US5866051A (en) | 1997-04-23 | 1999-02-02 | Industrial Technology Research Institute | Method of making continuous glass fiber-reinforced thermoplastic foam sandwich composites |
US6194317B1 (en) | 1998-04-30 | 2001-02-27 | 3M Innovative Properties Company | Method of planarizing the upper surface of a semiconductor wafer |
JPH1110540A (ja) * | 1997-06-23 | 1999-01-19 | Speedfam Co Ltd | Cmp装置のスラリリサイクルシステム及びその方法 |
US6099604A (en) * | 1997-08-21 | 2000-08-08 | Micron Technology, Inc. | Slurry with chelating agent for chemical-mechanical polishing of a semiconductor wafer and methods related thereto |
US6017437A (en) | 1997-08-22 | 2000-01-25 | Cutek Research, Inc. | Process chamber and method for depositing and/or removing material on a substrate |
US5897375A (en) | 1997-10-20 | 1999-04-27 | Motorola, Inc. | Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture |
US6001730A (en) | 1997-10-20 | 1999-12-14 | Motorola, Inc. | Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers |
US6303551B1 (en) | 1997-10-21 | 2001-10-16 | Lam Research Corporation | Cleaning solution and method for cleaning semiconductor substrates after polishing of cooper film |
JP3371775B2 (ja) * | 1997-10-31 | 2003-01-27 | 株式会社日立製作所 | 研磨方法 |
US6096652A (en) * | 1997-11-03 | 2000-08-01 | Motorola, Inc. | Method of chemical mechanical planarization using copper coordinating ligands |
US6190237B1 (en) | 1997-11-06 | 2001-02-20 | International Business Machines Corporation | pH-buffered slurry and use thereof for polishing |
US6110011A (en) * | 1997-11-10 | 2000-08-29 | Applied Materials, Inc. | Integrated electrodeposition and chemical-mechanical polishing tool |
US6103096A (en) | 1997-11-12 | 2000-08-15 | International Business Machines Corporation | Apparatus and method for the electrochemical etching of a wafer |
US6153043A (en) | 1998-02-06 | 2000-11-28 | International Business Machines Corporation | Elimination of photo-induced electrochemical dissolution in chemical mechanical polishing |
EP1055020A2 (en) | 1998-02-12 | 2000-11-29 | ACM Research, Inc. | Plating apparatus and method |
US6004880A (en) | 1998-02-20 | 1999-12-21 | Lsi Logic Corporation | Method of single step damascene process for deposition and global planarization |
WO1999046081A1 (en) | 1998-03-11 | 1999-09-16 | Strasbaugh | Multi-step chemical mechanical polishing process and device |
US6177026B1 (en) * | 1998-05-26 | 2001-01-23 | Cabot Microelectronics Corporation | CMP slurry containing a solid catalyst |
JP2002517593A (ja) * | 1998-06-10 | 2002-06-18 | ロデール ホールディングス インコーポレイテッド | 金属cmpにおける研磨用組成物および研磨方法 |
US6143155A (en) | 1998-06-11 | 2000-11-07 | Speedfam Ipec Corp. | Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly |
US6121152A (en) * | 1998-06-11 | 2000-09-19 | Integrated Process Equipment Corporation | Method and apparatus for planarization of metallized semiconductor wafers using a bipolar electrode assembly |
US6217416B1 (en) | 1998-06-26 | 2001-04-17 | Cabot Microelectronics Corporation | Chemical mechanical polishing slurry useful for copper/tantalum substrates |
US6063306A (en) | 1998-06-26 | 2000-05-16 | Cabot Corporation | Chemical mechanical polishing slurry useful for copper/tantalum substrate |
TW455626B (en) | 1998-07-23 | 2001-09-21 | Eternal Chemical Co Ltd | Chemical mechanical abrasive composition for use in semiconductor processing |
US6248222B1 (en) | 1998-09-08 | 2001-06-19 | Acm Research, Inc. | Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces |
US6241586B1 (en) * | 1998-10-06 | 2001-06-05 | Rodel Holdings Inc. | CMP polishing slurry dewatering and reconstitution |
US6056864A (en) | 1998-10-13 | 2000-05-02 | Advanced Micro Devices, Inc. | Electropolishing copper film to enhance CMP throughput |
US6143656A (en) * | 1998-10-22 | 2000-11-07 | Advanced Micro Devices, Inc. | Slurry for chemical mechanical polishing of copper |
US6709565B2 (en) * | 1998-10-26 | 2004-03-23 | Novellus Systems, Inc. | Method and apparatus for uniform electropolishing of damascene ic structures by selective agitation |
US6315883B1 (en) * | 1998-10-26 | 2001-11-13 | Novellus Systems, Inc. | Electroplanarization of large and small damascene features using diffusion barriers and electropolishing |
US6176992B1 (en) | 1998-11-03 | 2001-01-23 | Nutool, Inc. | Method and apparatus for electro-chemical mechanical deposition |
US6276996B1 (en) | 1998-11-10 | 2001-08-21 | Micron Technology, Inc. | Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad |
US6206756B1 (en) * | 1998-11-10 | 2001-03-27 | Micron Technology, Inc. | Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad |
US6083840A (en) | 1998-11-25 | 2000-07-04 | Arch Specialty Chemicals, Inc. | Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys |
US6902659B2 (en) * | 1998-12-01 | 2005-06-07 | Asm Nutool, Inc. | Method and apparatus for electro-chemical mechanical deposition |
JP4053165B2 (ja) | 1998-12-01 | 2008-02-27 | 株式会社フジミインコーポレーテッド | 研磨用組成物およびそれを用いた研磨方法 |
US6534116B2 (en) | 2000-08-10 | 2003-03-18 | Nutool, Inc. | Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence |
KR100447551B1 (ko) | 1999-01-18 | 2004-09-08 | 가부시끼가이샤 도시바 | 복합 입자 및 그의 제조 방법, 수계 분산체, 화학 기계연마용 수계 분산체 조성물 및 반도체 장치의 제조 방법 |
JP2000212754A (ja) * | 1999-01-22 | 2000-08-02 | Sony Corp | めっき方法及びその装置、並びにめっき構造 |
US6066030A (en) | 1999-03-04 | 2000-05-23 | International Business Machines Corporation | Electroetch and chemical mechanical polishing equipment |
US6238592B1 (en) | 1999-03-10 | 2001-05-29 | 3M Innovative Properties Company | Working liquids and methods for modifying structured wafers suited for semiconductor fabrication |
JP4683315B2 (ja) | 1999-03-17 | 2011-05-18 | 日本ケミコン株式会社 | 電解コンデンサ用電解液 |
US6258711B1 (en) | 1999-04-19 | 2001-07-10 | Speedfam-Ipec Corporation | Sacrificial deposit to improve damascene pattern planarization in semiconductor wafers |
US6375693B1 (en) | 1999-05-07 | 2002-04-23 | International Business Machines Corporation | Chemical-mechanical planarization of barriers or liners for copper metallurgy |
US6361422B1 (en) * | 1999-06-15 | 2002-03-26 | Applied Materials, Inc. | Method and apparatus for transferring semiconductor substrates using an input module |
TW486514B (en) | 1999-06-16 | 2002-05-11 | Eternal Chemical Co Ltd | Chemical mechanical abrasive composition for use in semiconductor processing |
US6419554B2 (en) | 1999-06-24 | 2002-07-16 | Micron Technology, Inc. | Fixed abrasive chemical-mechanical planarization of titanium nitride |
US20010054706A1 (en) * | 1999-07-19 | 2001-12-27 | Joseph A. Levert | Compositions and processes for spin etch planarization |
US6234870B1 (en) | 1999-08-24 | 2001-05-22 | International Business Machines Corporation | Serial intelligent electro-chemical-mechanical wafer processor |
US6429133B1 (en) | 1999-08-31 | 2002-08-06 | Micron Technology, Inc. | Composition compatible with aluminum planarization and methods therefore |
TW499471B (en) | 1999-09-01 | 2002-08-21 | Eternal Chemical Co Ltd | Chemical mechanical/abrasive composition for semiconductor processing |
JP4513145B2 (ja) | 1999-09-07 | 2010-07-28 | ソニー株式会社 | 半導体装置の製造方法および研磨方法 |
US6355153B1 (en) * | 1999-09-17 | 2002-03-12 | Nutool, Inc. | Chip interconnect and packaging deposition methods and structures |
JP4264781B2 (ja) | 1999-09-20 | 2009-05-20 | 株式会社フジミインコーポレーテッド | 研磨用組成物および研磨方法 |
US6348076B1 (en) | 1999-10-08 | 2002-02-19 | International Business Machines Corporation | Slurry for mechanical polishing (CMP) of metals and use thereof |
US6379223B1 (en) | 1999-11-29 | 2002-04-30 | Applied Materials, Inc. | Method and apparatus for electrochemical-mechanical planarization |
US6258721B1 (en) * | 1999-12-27 | 2001-07-10 | General Electric Company | Diamond slurry for chemical-mechanical planarization of semiconductor wafers |
TW572980B (en) | 2000-01-12 | 2004-01-21 | Jsr Corp | Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process |
WO2001053039A1 (en) | 2000-01-18 | 2001-07-26 | Rodel Holdings, Inc. | Dissolution of metal particles produced by polishing |
US7112721B2 (en) | 2000-02-08 | 2006-09-26 | Sakata Seed Corporation | Methods and constructs for plant transformation |
US6355075B1 (en) * | 2000-02-11 | 2002-03-12 | Fujimi Incorporated | Polishing composition |
US6354916B1 (en) * | 2000-02-11 | 2002-03-12 | Nu Tool Inc. | Modified plating solution for plating and planarization and process utilizing same |
US6797623B2 (en) | 2000-03-09 | 2004-09-28 | Sony Corporation | Methods of producing and polishing semiconductor device and polishing apparatus |
AU2001241190A1 (en) | 2000-03-21 | 2001-10-03 | Wako Pure Chemical Industries, Ltd. | Semiconductor wafer cleaning agent and cleaning method |
US6582579B1 (en) * | 2000-03-24 | 2003-06-24 | Nutool, Inc. | Methods for repairing defects on a semiconductor substrate |
JP2001269862A (ja) | 2000-03-27 | 2001-10-02 | Toshiba Corp | 研磨パッド、研磨装置及び研磨方法 |
US6416685B1 (en) * | 2000-04-11 | 2002-07-09 | Honeywell International Inc. | Chemical mechanical planarization of low dielectric constant materials |
JP2001338926A (ja) * | 2000-05-29 | 2001-12-07 | Sony Corp | 半導体装置の製造方法 |
TW571005B (en) | 2000-06-29 | 2004-01-11 | Ebara Corp | Method and apparatus for forming copper interconnects, and polishing liquid and polishing method |
US6310019B1 (en) | 2000-07-05 | 2001-10-30 | Wako Pure Chemical Industries, Ltd. | Cleaning agent for a semi-conductor substrate |
SG89407A1 (en) | 2000-07-13 | 2002-06-18 | Sumitomo Chemical Co | Low temperature heat-sealable polypropylene-based film |
US6741478B2 (en) | 2000-07-14 | 2004-05-25 | Alps Electric Co., Ltd. | Compact electronic circuit unit having circulator, manufactured with high productivity |
US6455479B1 (en) | 2000-08-03 | 2002-09-24 | Shipley Company, L.L.C. | Stripping composition |
JP2002050595A (ja) | 2000-08-04 | 2002-02-15 | Hitachi Ltd | 研磨方法、配線形成方法及び半導体装置の製造方法 |
JP2002075927A (ja) | 2000-08-24 | 2002-03-15 | Fujimi Inc | 研磨用組成物およびそれを用いた研磨方法 |
US6551935B1 (en) | 2000-08-31 | 2003-04-22 | Micron Technology, Inc. | Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods |
US6548222B2 (en) * | 2000-09-06 | 2003-04-15 | Gary Ganghui Teng | On-press developable thermosensitive lithographic printing plates |
US6541384B1 (en) * | 2000-09-08 | 2003-04-01 | Applied Materials, Inc. | Method of initiating cooper CMP process |
AU2001292762A1 (en) | 2000-09-18 | 2002-03-26 | Acm Research, Inc. | Integrating metal with ultra low-k dielectrics |
JP2002093761A (ja) | 2000-09-19 | 2002-03-29 | Sony Corp | 研磨方法、研磨装置、メッキ方法およびメッキ装置 |
US6605537B2 (en) | 2000-10-27 | 2003-08-12 | Rodel Holdings, Inc. | Polishing of metal substrates |
JP2002110592A (ja) | 2000-09-27 | 2002-04-12 | Sony Corp | 研磨方法および研磨装置 |
JP4644926B2 (ja) | 2000-10-13 | 2011-03-09 | ソニー株式会社 | 半導体製造装置および半導体装置の製造方法 |
JP2002164307A (ja) | 2000-11-24 | 2002-06-07 | Fujimi Inc | 研磨用組成物およびそれを用いた研磨方法 |
EP1209253A3 (en) | 2000-11-28 | 2004-02-25 | Shipley Co. L.L.C. | Process for treating adhesion promoted metal surfaces with epoxy resins |
US6946066B2 (en) * | 2001-07-20 | 2005-09-20 | Asm Nutool, Inc. | Multi step electrodeposition process for reducing defects and minimizing film thickness |
US6943112B2 (en) * | 2002-07-22 | 2005-09-13 | Asm Nutool, Inc. | Defect-free thin and planar film processing |
US6676718B2 (en) * | 2001-01-12 | 2004-01-13 | Rodel Holdings, Inc. | Polishing of semiconductor substrates |
JP2002231666A (ja) | 2001-01-31 | 2002-08-16 | Fujimi Inc | 研磨用組成物およびそれを用いた研磨方法 |
US6736952B2 (en) | 2001-02-12 | 2004-05-18 | Speedfam-Ipec Corporation | Method and apparatus for electrochemical planarization of a workpiece |
JP2002254248A (ja) | 2001-02-28 | 2002-09-10 | Sony Corp | 電解加工装置 |
US6811680B2 (en) | 2001-03-14 | 2004-11-02 | Applied Materials Inc. | Planarization of substrates using electrochemical mechanical polishing |
US7232514B2 (en) * | 2001-03-14 | 2007-06-19 | Applied Materials, Inc. | Method and composition for polishing a substrate |
US7323416B2 (en) * | 2001-03-14 | 2008-01-29 | Applied Materials, Inc. | Method and composition for polishing a substrate |
US6899804B2 (en) | 2001-12-21 | 2005-05-31 | Applied Materials, Inc. | Electrolyte composition and treatment for electrolytic chemical mechanical polishing |
US7160432B2 (en) * | 2001-03-14 | 2007-01-09 | Applied Materials, Inc. | Method and composition for polishing a substrate |
JP2004533115A (ja) | 2001-04-12 | 2004-10-28 | ロデール ホールディングス インコーポレイテッド | 界面活性剤を有する研磨用組成物 |
US6852630B2 (en) * | 2001-04-23 | 2005-02-08 | Asm Nutool, Inc. | Electroetching process and system |
WO2002088229A1 (en) | 2001-04-25 | 2002-11-07 | Dow Global Technologies, Inc. | Dielectric heating of thermoplastic compositions |
US7002483B2 (en) * | 2001-07-11 | 2006-02-21 | Trw Inc. | Configurable arrangement of multiple transmitters and multiple receivers for the performance of remote convenience functions |
WO2003007127A2 (en) * | 2001-07-12 | 2003-01-23 | Atrua Technologies, Inc. | Method and system for biometric image assembly from multiple partial biometric frame scans |
TW584899B (en) * | 2001-07-20 | 2004-04-21 | Nutool Inc | Planar metal electroprocessing |
US6881318B2 (en) * | 2001-07-26 | 2005-04-19 | Applied Materials, Inc. | Dynamic pulse plating for high aspect ratio features |
US7029373B2 (en) | 2001-08-14 | 2006-04-18 | Advanced Technology Materials, Inc. | Chemical mechanical polishing compositions for metal and associated materials and method of using same |
US6692546B2 (en) * | 2001-08-14 | 2004-02-17 | Advanced Technology Materials, Inc. | Chemical mechanical polishing compositions for metal and associated materials and method of using same |
GB0122153D0 (en) | 2001-09-13 | 2001-10-31 | 3M Innovative Properties Co | Abrasive articles |
JP3899456B2 (ja) | 2001-10-19 | 2007-03-28 | 株式会社フジミインコーポレーテッド | 研磨用組成物およびそれを用いた研磨方法 |
JP3807295B2 (ja) | 2001-11-30 | 2006-08-09 | ソニー株式会社 | 研磨方法 |
US6620215B2 (en) | 2001-12-21 | 2003-09-16 | Dynea Canada, Ltd. | Abrasive composition containing organic particles for chemical mechanical planarization |
US6893476B2 (en) * | 2002-12-09 | 2005-05-17 | Dupont Air Products Nanomaterials Llc | Composition and associated methods for chemical mechanical planarization having high selectivity for metal removal |
US20050076579A1 (en) * | 2003-10-10 | 2005-04-14 | Siddiqui Junaid Ahmed | Bicine/tricine containing composition and method for chemical-mechanical planarization |
US7022255B2 (en) * | 2003-10-10 | 2006-04-04 | Dupont Air Products Nanomaterials Llc | Chemical-mechanical planarization composition with nitrogen containing polymer and method for use |
US20050079803A1 (en) * | 2003-10-10 | 2005-04-14 | Siddiqui Junaid Ahmed | Chemical-mechanical planarization composition having PVNO and associated method for use |
US7153335B2 (en) * | 2003-10-10 | 2006-12-26 | Dupont Air Products Nanomaterials Llc | Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole |
-
2002
- 2002-01-03 US US10/038,066 patent/US6811680B2/en not_active Expired - Fee Related
- 2002-02-19 KR KR1020037009383A patent/KR100849572B1/ko not_active IP Right Cessation
- 2002-02-19 JP JP2002574121A patent/JP2005508074A/ja active Pending
- 2002-02-19 EP EP02717453A patent/EP1368826A2/en not_active Withdrawn
- 2002-02-19 CN CNB028035054A patent/CN1276483C/zh not_active Expired - Fee Related
- 2002-02-19 WO PCT/US2002/004806 patent/WO2002075804A2/en active Application Filing
- 2002-02-26 TW TW091103495A patent/TW590846B/zh not_active IP Right Cessation
-
2004
- 2004-10-25 US US10/972,884 patent/US20050056537A1/en not_active Abandoned
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS523536A (en) * | 1975-06-26 | 1977-01-12 | Inoue Japax Res | Electrolytic grinding liquid |
JPS5669070A (en) * | 1979-11-12 | 1981-06-10 | Inoue Japax Res Inc | Electrolytic polishing apparatus |
JPH07312366A (ja) * | 1992-04-09 | 1995-11-28 | Micron Technol Inc | 化学的機械的平坦化 |
JPH0679533A (ja) * | 1992-09-02 | 1994-03-22 | Nishiyama Stainless Chem Kk | 複合電解研磨方法 |
JPH07288253A (ja) * | 1994-04-18 | 1995-10-31 | Kawasaki Steel Corp | 絶縁膜の平坦化方法 |
JPH09207029A (ja) * | 1996-02-02 | 1997-08-12 | Toyo Rikagaku Kenkyusho:Kk | チタン及びチタン合金の電解研磨方法 |
US5807165A (en) * | 1997-03-26 | 1998-09-15 | International Business Machines Corporation | Method of electrochemical mechanical planarization |
JPH10270412A (ja) * | 1997-03-26 | 1998-10-09 | Internatl Business Mach Corp <Ibm> | ワークピースを平坦化する方法および装置 |
JPH11277339A (ja) * | 1998-03-31 | 1999-10-12 | Kunio Kamura | 磁気記録媒体、磁気記録媒体用基板、その研磨方法、装置、および研磨テープ |
WO2000003426A1 (en) * | 1998-07-09 | 2000-01-20 | Acm Research, Inc. | Methods and apparatus for electropolishing metal interconnections on semiconductor devices |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100788156B1 (ko) * | 2005-08-26 | 2007-12-21 | (주)창일기계 | 스파이더 트리니언 타원 연삭기 |
JP2010509755A (ja) * | 2006-11-02 | 2010-03-25 | キャボット マイクロエレクトロニクス コーポレイション | 銅/ルテニウム/タンタル基板のcmp |
Also Published As
Publication number | Publication date |
---|---|
KR20030082566A (ko) | 2003-10-22 |
TW590846B (en) | 2004-06-11 |
CN1276483C (zh) | 2006-09-20 |
US6811680B2 (en) | 2004-11-02 |
WO2002075804A2 (en) | 2002-09-26 |
EP1368826A2 (en) | 2003-12-10 |
KR100849572B1 (ko) | 2008-07-31 |
WO2002075804A3 (en) | 2003-06-26 |
US20020130049A1 (en) | 2002-09-19 |
CN1531747A (zh) | 2004-09-22 |
US20050056537A1 (en) | 2005-03-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6811680B2 (en) | Planarization of substrates using electrochemical mechanical polishing | |
US7160432B2 (en) | Method and composition for polishing a substrate | |
US8470191B2 (en) | Topography reduction and control by selective accelerator removal | |
US6884724B2 (en) | Method for dishing reduction and feature passivation in polishing processes | |
US7229535B2 (en) | Hydrogen bubble reduction on the cathode using double-cell designs | |
US7449098B1 (en) | Method for planar electroplating | |
US7077725B2 (en) | Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus | |
US7323416B2 (en) | Method and composition for polishing a substrate | |
US7128825B2 (en) | Method and composition for polishing a substrate | |
US8795482B1 (en) | Selective electrochemical accelerator removal | |
US20060021974A1 (en) | Method and composition for polishing a substrate | |
EP1478708A1 (en) | Method and composition for polishing a substrate | |
JP2009527129A (ja) | 基板上の導電性材料を電気化学的に研磨する方法 | |
KR20070104479A (ko) | 전도성 물질을 폴리싱하기 위한 폴리싱 조성물 및 방법 | |
US20080277787A1 (en) | Method and pad design for the removal of barrier material by electrochemical mechanical processing | |
US20070243709A1 (en) | Planarization of substrates at a high polishing rate using electrochemical mechanical polishing |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050131 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20071204 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080304 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080311 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080404 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080411 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080416 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20080701 |