JP2005269635A - プログラム可能な論理用の高い構成能力を有するpllアーキテクチャ - Google Patents

プログラム可能な論理用の高い構成能力を有するpllアーキテクチャ Download PDF

Info

Publication number
JP2005269635A
JP2005269635A JP2005064686A JP2005064686A JP2005269635A JP 2005269635 A JP2005269635 A JP 2005269635A JP 2005064686 A JP2005064686 A JP 2005064686A JP 2005064686 A JP2005064686 A JP 2005064686A JP 2005269635 A JP2005269635 A JP 2005269635A
Authority
JP
Japan
Prior art keywords
circuit
signals
output
signal
clock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005064686A
Other languages
English (en)
Inventor
Gregory W Starr
ダブリュー スター グレゴリー
Wanli Chang
チャン ワンリ
Lai Kang Wei
ウェイ ライ カン
Mian Z Smith
ズィー スミス ミアン
Richard Chang
チャン リチャード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Altera Corp
Original Assignee
Altera Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Altera Corp filed Critical Altera Corp
Publication of JP2005269635A publication Critical patent/JP2005269635A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/16Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop
    • H03L7/18Indirect frequency synthesis, i.e. generating a desired one of a number of predetermined frequencies using a frequency- or phase-locked loop using a frequency divider or counter in the loop
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/081Details of the phase-locked loop provided with an additional controlled phase shifter
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/099Details of the phase-locked loop concerning mainly the controlled oscillator of the loop
    • H03L7/0995Details of the phase-locked loop concerning mainly the controlled oscillator of the loop the oscillator comprising a ring oscillator
    • H03L7/0996Selecting a signal among the plurality of phase-shifted signals produced by the ring oscillator

Landscapes

  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)
  • Logic Circuits (AREA)

Abstract

【課題】複数のクロックを生成し、プログラムで選択して出力することにより柔軟度の高いクロック分配回路を提供する。
【解決手段】基準信号を入力し、PLL(位相同期ループ)回路で周波数、位相の異なる複数の出力クロックを生成し、前記出力クロックをプログラムによりマルチプレクサ228で任意に選択し多重化して外部クロックとする。
【選択図】図2

Description

本発明は、プログラム可能な論理集積回路デバイスに関し、より具体的には、プログラム可能な論理デバイスのための構成能力を有するPLL(位相同期ループ)回路に関する。
プログラム可能な論理集積回路デバイスは周知であり、多くの場合、多数のプログラム可能な論理ブロック、メモリブロックおよびプログラム可能相互接続リソースを備えている。論理ブロックは、ユーザの所望する様々な論理機能を実行するために、ユーザによってプログラム可能である。メモリブロックは、データを保存しその後出力するためにユーザによって使用してもよい。相互接続リソースは、プログラム可能な論理デバイスの入力と論理ブロックおよびメモリブロックの入力との間の接続、論理ブロックおよびメモリブロックの出力とデバイスの出力との間の接続、および論理ブロックおよびメモリブロックの出力と入力との間の接続からなる、広範な範囲の接続のうちいずれか一つを行うために、ユーザによってプログラム可能である。
プログラム可能な論理デバイス上にPLL回路を提供することは周知である。PLL回路は、一定の周波数および入力基準信号との位相関係を維持するよう絶えず調整される出力信号を生成する。(こうしてPLL回路は、周波数および位相関係上に「固定される」。)PLL回路は、プログラム可能な論理デバイス上のクロック信号伝播遅延に反作用し、あるクロック信号周波数(例:入力クロック信号周波数)を別の異なるクロック信号周波数へと(例:デバイスから出力されるよう)変換し、さらにより一般的には一つまたは複数の外部クロック信号、内部グローバルクロック信号または内部ローカル/リージョナルクロック信号を供給するよう使用してもよい。
しかし、既知のPLL回路の構成は、典型的には限定されている。例えば、既知のPLL回路から生成される出力信号の周波数域は、プログラム可能な論理デバイスが使用可能な多くのアプリケーションにとって狭すぎることもある。さらに、PLL出力の数および構成能力は大きく限定される可能性もある。例えば、既知のPLL回路は、オフチップ・クロッキング・アプリケーション用のI/Oピンへの接続のために利用可能な出力が十分でないかもしれない。さらに、既知のPLL回路は、オンチップ・グローバル・クロッキング・ネットワークまたはローカル・クロッキング・ネットワーク用に利用可能な十分な出力を有していないかもしれない。このように、プログラム可能な論理デバイス上の既知のPLL回路の構成能力は、デバイス上で実行可能な設計の数、さらには、プログラム可能な論理デバイスが違った方法で利用されうるアプリケーション数を制限するかもしれない。
上記に鑑みて、プログラム可能な論理デバイスが利用可能な設計およびアプリケーションの数を増加させるために、高い構成能力を有するPLL回路を提供できることが望ましい。
発明の概要
本発明によると、プログラム可能な論理デバイスは高い構成能力を有する位相同期ループ(PLL)回路を備えている。本発明のPLL回路は複数の信号を出力し、各信号は、外部(例:オフチップ)クロックとして使用される一つまたは複数のI/Oピン、一つまたは複数の内部(例:オンチップ)グローバル・クロック・ネットワーク、一つまたは複数のローカル/リージョナル・クロック・ネットワークおよびそれらの組合せのいずれかまたはすべてにプログラム可能に接続されている。PLL回路は、各出力信号が所望に応じ異なる位相を持つことができるよう、入力基準信号に対して位相シフトを行う。さらに、各出力信号の周波数は個別にプログラム可能である。本発明の他の実施例では、PLL出力は選択可能なステージ数でプログラム可能に転送可能であり、何桁かの幅のある出力信号周波数範囲を提供する。本発明の別の実施例では、PLL回路は(例:オフチップおよび/またはオンチップソースから)複数の入力信号を受信可能であり、その複数の信号の中から基準信号をプログラム可能に選択可能である。
このようなクロック信号出力の供給方法も、本発明によって提供されている。
有利には、本発明のPLL回路および方法は、例えば、周波数シンセサイザおよびゼロ遅延バッファ等広範な設計を実施するために使用可能である。
本発明の前述の利点およびその他利点は、以下の詳細な説明を添付図面と合わせて考慮すれば明らかである。図面全体を通して類似の参照文字は類似の部品を示している。
発明の詳細な説明
図1は、本発明による例示的なプログラム可能な論理集積回路(PLD)100を示す。PLD100は、回路外部からデバイスへの一つまたは複数のクロック信号を受信する一つまたは複数の入力ピン102を有する。PLD100は、外部回路からデータおよび/または制御信号を受信する複数の入力/出力(I/O)ピン104も備えている。(本明細書では便宜上、クロック信号以外のすべてのデータおよび制御信号を単にデータ信号と呼ぶ。)ピン104からのデータ信号をI/Oレジスタ106に加えて、一時的に保存し、これらレジスタから出力してもよい。ピン102に加えられる入力クロック信号をI/Oレジスタ106に加えて、これらレジスタの動作(特にタイミング)を制御してもよい。レジスタ106から出力されるデータ信号は、PLD100のプログラム可能な論理108に加えられる。(レジスタ106を使用する代わりに、ピン104からのデータを論理108により直接的に、すなわち、最初にレジスタ106に入力せずに加えることができる。)またプログラム可能な論理108は、ピン102からの入力クロック信号を受信してもよく、一般には、受信したクロック信号の周波数に基づいて決定した速度で、ピン104および/またはレジスタ106からの入力データに対して少なくともいくつかの動作を実行する。言い換えれば、ピン104に加えられたデータの一部またはすべては、ピン102から受信したクロック信号と同期してもよく、プログラム可能な論理108は前記クロック信号に同期して前記データを部分的に処理してもよい。
ピン102に加えられる複数の入力クロック信号を、本発明の位相同期ループ(PLL)回路110に加えてもよい。PLL回路110はプログラム可能な論理108からの内部クロック信号を受信してもよく、前記クロック信号は、PLD100上で生成されたものであっても、および/またはクロックピン102の一つから受信する別のクロック信号から派生したものであってもよい。PLL回路110は、入力クロック信号のうち一つを入力基準信号として使用するために、プログラム可能に選択し、前記入力基準信号と所望の周波数関係を有する複数の修正済クロック出力信号を供給する。例えば、PLL回路110によって生成された修正済クロック出力信号の周波数は、入力基準信号の周波数よりも高くてもおよび/または低くてもよい。PLL回路110によって生成された修正済クロック信号は、有利には、クロック信号出力ピン112、プログラム可能な論理108、I/Oレジスタ114のいずれかまたはすべてに対し、プログラム可能に加えられてもよい。
プログラム可能な論理108は、PLL回路110によって生成された一つまたは複数の修正済クロック信号によって決定された一つまたは複数の速度で、少なくともいくつかのデータ処理を行うよう構成されている。例えばプログラム可能な論理108は、PLL回路110によって生成された修正済クロック信号と同期してデータ処理を行ってもよい。プログラム可能な論理108からの出力データは、I/Oレジスタ114を経由してI/Oピン116に加えられてもよい。I/Oレジスタ114は、別の修正済クロック信号速度でピン116へと送られる前記データ信号を登録することもある。さらに、さらに、PLD100は、修正済クロック信号周波数でピン116を経由してデータを出力してもよい。前記クロック信号周波数は、出力クロックピン112の加えられる修正済クロック信号のうちいずれかと、同じであってもなくてもよく、および/または同期していてもよい。
なお、本発明の他の実施例(例えば、図10および付随する以下の説明を参照)では、ピン102、112は、クロックまたはデータI/Oピンとして動的に使用してもよい。
図1は様々な回路要素間の固定された相互接続を示しているようであるが、PLD100等のプログラム可能な論理デバイス上では、一般的にはプログラマビリティが高く、したがって提供される相互接続リソースにおいて信号経路の自由度が得られることに留意すべきである。この相互接続リソースのプログラマビリティは当業では周知であり、図が不必要に複雑になることを避けるため図示していない。このため、図1(またはそれに続く任意の図)に示す相互接続はPLD100のすべての用途において見られるとは限らず、および/または図1(または他の図)に示されていない他の相互接続がPLD100のいくつかの用途において見られる可能性もある。また当業者であれば、図1に示す回路要素および相互接続リソースが、PLD100上に加えられるより広範な回路要素および相互接続リソースの一部にすぎない可能性もあることを理解するであろう。本発明が適用されるプログラム可能な論理装置の事例は、Cliffらによる米国特許第5,689,195号、Cliffらによる米国特許第5,909,126号、Jeffersonらによる米国特許第6,215,326号等に見られ、これらすべての文献の全体が、この参照により本発明に含まれる。
図2は、本発明によるPLL回路の実施例を示す。PLL回路210は、入力218を介して入力基準信号を受信する(PLL回路110とは異なり、この実施例では一つの入力信号のみが受信される)。この入力信号はプレスケール分周器220へと加えられる。分周器220は、入力基準信号の周波数を因子Nで分周する。因子Nは好適には、例えばPLD100のプログラム可能な機能制御要素中に保存された、PLD100のプログラム可能なパラメータである。分周器220の出力は、位相/周波数比較器(PFD)回路222の出力の一つに対し、駆動クロック信号として加えられる。従来技術とも言えるPFD回路222も、帰還周波数分周器424の出力信号も受信する。PFD回路222は、該PFD回路に加えられる二つの信号における位相/周波数の差を示す出力信号を生成する。(PFD回路222のより詳細、完全な記載は、図3および以下の記載に示す。)PFD回路222の出力信号は、制御信号として電圧制御発振器(VCO)226に加えられる。VCO226はk1出力信号(K1は整数)を生成し、各k1出力信号は、好適には増加する360°/k1の倍数によって位相シフトされる。ある実施例では、例えば、VCO226は6つの信号を出力してもよく(すなわちk1=6)、この場合、各出力信号は好適には、60°の間隔(60°、120°、180°、240°、300°、360°)で入力基準信号に対して位相シフトされる。別の実施例では、例えば、8つの信号が出力されてもよい。(その結果45°の位相シフト増分となる。)VCO226の出力信号は、マルチプレクサ回路228およびフィードバックマルチプレクサ230へと加えられる。
マルチプレクサ230はVCO226出力信号の一つを帰還周波数分周器224へと供給する。分周器224に供給された特定のVCO226出力信号は、ユーザによってプログラムされた設計によって固定可能である。あるいは、VCO226出力信号は、設計ないしユーザによるプログラムによって決定された制御論理によって、VCO226出力信号の中で回転ないし交替してもよい。分周器224は分周器に加えられる信号の周波数を因子Mで割り、PFD回路222への上記第2(帰還)入力を生成する。因子Mは好適には、例えばPLD100のプログラム可能な機能制御要素に保存された、PLD100のプログラム可能なパラメータである。
マルチプレクサ回路228はすべてのk1 VCO226出力信号を受信し、これら信号の中からスケール処理後周波数分周器回路232へと供給する信号をプログラム可能に選択する。分周器回路232は、好適には、複数のカウンタ/周波数分周器回路を備え、図2の実施例ではその数は6回路である。なお、個々のカウンタまたは周波数分周器回路の数は、VCO出力信号の数と同じである必要はない。マルチプレクサ回路228は、好適にはユーザによってプログラム可能である。しかし、マルチプレクサ回路は交互に固定され、分周器回路の数がVCO出力信号と同じであると仮定すると、例えば各VCO出力信号を周波数分周器回路の一つにそれぞれ出力してもよい。各分周器回路は、該回路に加えられる信号の周波数を、対応する因子C0−Cn1で分周する(n1は整数であり、図2では5個である)。各因子C0−Cn1は好適には、例えばPLD100の一つまたは複数のプログラム可能な機能制御要素に保存された、独立してプログラム可能なパラメータである。このように、各因子C0−Cn1は、異なっていても、同じでも、またはその組合せでもよい。
スケール処理後周波数分周器回路232から得られる出力信号は、マルチプレクサ234、236、238へと加えられる。各マルチプレクサ234、236、238は、動的にプログラム可能に制御され、その入力のうち任意の一つを、出力のうち任意の一つに出力する。マルチプレクサ234は、k2までの選択された信号をクロックI/Oピンと組み合わせる(CLKOUT、例:図1のピン112)。定数k2は整数であり、一般にはk1以下である。例えば、k1が8ならば、k2が6であってよい。マルチプレクサ236は、選択したk3までの信号をグローバルクロック(GCLK)ネットワークと組み合わせる。定数k3も整数であり、一般にはk1以下である。こうして、例えば、k1が8ならば、k3が4であってもよい。最後に、マルチプレクサ238は、選択したk4までの信号をローカルクロック(LCLK)ネットワークと組み合わせる。定数k4も同様に整数であり、一般にはk1以下である。例えば、k1が8ならば、k4も8であってもよい。さらに、この8は、同一のクロッキングを持つよう設計された二つのローカルな領域で使用されるために、同一の4つの信号から成る二つのグループであってもよい。
図2aは、本発明による各マルチプレクサ234、236、238のために使用可能な、動的構成可能なマルチプレクサの実施例を示している。マルチプレクサ235は、ユーザによって動的に選択可能な入力のグループ237を含んでいる。有利には、マルチプレクサ235によって、PLL出力、クロックピンまたはコア信号のうち任意の一つを、例えばグローバル(gclk)またはローカル(kclk)クロックネットワーク上で、選択可能に駆動することができる。信号GR_GCLKMUXCTRLおよびCR_GCLKMUXSELは、マルチプレクサ235を動的再構成可能に、あるいは固定的に(すなわち動的再構成不可能に)構成して使用されるプログラミングビットである。有効化回路239の実施例を図11に示し、以下記述する。
有利には、PLL回路210は高い構成能力を提供する。例えば、マルチプレクサ回路228および分周器回路232を適切にプログラミングすることによって、回路232によって生成される6つの修正済クロック信号は、異なる位相と異なる周波数、異なる位相と同一の周波数、同一の位相と異なる周波数、またはそれらの組合せを持つことが可能である。さらに、6つの修正済クロック信号のそれぞれは、必要に応じてプログラム可能に経路設定可能である。どの信号も、特定の回路、I/Oピン、用途へと限定されたり、区画されたりしない。
図3は位相/周波数比較器(PFD)回路222の実施例を示す。PFD回路322は一般には、入力および帰還クロック信号を受信する位相/周波数比較器回路323を備えている。比較器回路323は、入力クロック信号の位相が帰還クロック信号よりも進んでいるか遅れているかに応じて、「上向き」または「下向き」の出力信号パルスを生成する。「上向き」または「下向き」の信号パルスの幅は、一般的には比較器回路323によって、入力クロック信号と帰還クロック信号との間の位相差に比例するよう制御される。前記「上向き」または「下向き」の信号は、チャージポンプ回路325へと供給され、チャージポンプ回路325は、これら信号の伝達関数をPLD100の電力供給電圧と設地電圧との間のレベルにある出力信号電圧で供給する。「上向き」および「下向き」信号は、内部電流源を切り替えて電荷を送り、各クロックサイクルにおいてチャージポンプ出力信号電圧を上向きまたは下向きに動かす。チャージポンプ回路325の出力信号は、ローパスフィルター回路327へと加えられ、ローパスフィルター回路327は、関連あるVCO(例:VCO226)に制御信号として適用される信号を平滑化する。要するに、入力クロック信号の位相が帰還クロック信号の位相よりも先に進んでいる場合、比較器回路323によって「上向き」信号が生成される。この結果、帰還クロック信号の周波数が増加する。反対に、入力クロック信号の位相が帰還クロック信号の位相よりも遅れている場合、比較器回路323は「下向き」信号を生成し、この結果、帰還クロック信号の周波数が減少する。
図4は、本発明によるPLL回路の別の実施例を示す。PLL回路410は、プレスケール分周器420、位相/周波数比較器(PFD)回路422、電圧制御発振器(VCO)426、マルチプレクサ回路428、フィードバックマルチプレクサ430、スケール処理後周波数分周器回路432およびマルチプレクサ434、436、438を備える。これら要素は、PLL回路210の対応要素に対応して、同じでないとしても、同様に動作する。なお図4に示す、VCO426(8出力)、マルチプレクサ回路428(6出力)、マルチプレクサ回路434(6出力)、マルチプレクサ436(4出力)、マルチプレクサ438(8出力)は単に例示的なものであって、これら要素は出力の数がより多くまたは少なくなるよう、構成したり、あるいは他の要素に置き換えることも可能である。
PLL回路410は、有利には、入力信号選択および同期化能力を高める。PLL回路410は、マルチプレクサ440、442、448、同期化回路446、スイッチオーバー回路450およびANDゲート452を備える。マルチプレクサ440、442はともに、多数のクロック入力ピン(この実施例では4個であるが、クロックピンからの他の数量の入力ピンを使用してもよい)から複数の入力信号を受信する。このクロック入力ピンは、好適にはPLL回路410に近接した位置にあり、当該回路に対する照合基準として入手可能である。これらのクロック入力ピンのいずれも、I/O遅延補完およびクロックネットワーク遅延補完として使用してもよい。例えば、これらのピンは、低レイテンシーDRAM等のメモリインタフェースとして使用してもよい。
マルチプレクサ440、442はともに、コア入力クロック信号を受信する。コア入力クロック信号は、チップ上のクロックピンから発生する内部クロック信号であってもよく、あるいはチップ上の別のPLLから生成してもよい。有利には、この入力はもし選択されれば、PLL基準クロック信号を、別のチップ上の別のPLLから例えばPLLカスケードを経て発生させることが可能である。したがって、単一の基準クロックは、別々の(一般に別々のI/Oクロックピンを必要とする)クロックに個々のPLLを駆動させるのではなく、むしろ複数のPLLを駆動するために使用することができる。この特徴は、複数のPCIインタフェース、複数のメモリインタフェース、および共通の基準クロックを使用する複数の通信路を必要とする既知のソース同期プロトコルを順守するインタフェースに、特に有益である。
図5は、本発明によるPLDの実施例を示し、この実施例では、コアクロック信号がソース同期プロトコルを順守する複数のPLL回路を駆動するために使用される。PLD500は、コア・クロック・ネットワーク554およびPLL回路510a−hを備え、両回路は好適にはLVDS PLL回路である。低電圧差動伝送(LVDS)は、非常に低い電圧および差動伝送を採用する伝送プロトコルであり、並列に伝わる信号対の通信を伴っている。それぞれの信号は、通常は他の信号の論理補数である。すなわち、ある信号が高い電圧(例えば論理1)にあると、もう一つの信号は低い電圧(例えば論理0)にあり、逆の場合も同様である。LVDS PLL回路510a−dは、通信モード(TX)で動作するが、一方LVDS PLL回路510e−hは受信モード(RX)で動作する。(なお本発明では、PLL回路510a−hは両側または片側モードで動作可能である。)RX PLL回路510e−hは、クロックピン502から外部クロック信号を受信し、オンチップ、オフチップ、または両方において使用可能な修正済クロック信号を生成する。TX PLL回路510a−dはそれぞれ、ノード556においてクロック・ネットワーク554に入ることが可能なコアクロック信号を受信する。このコアクロック信号は有利にはLVDS PLL回路510a−dの基準信号としての役割を果たすことができ、この回路は引き続きオンチップ、オフチップ、またはその両方を使用可能な修正済クロック信号を生成する。
図5aはさらに、受信モードで動作するPLL回路を例示的に示している。PLL回路510jは、クロックピン502から外部クロックを受信する。この外部クロックは、I/Oピン504で受信中のデータと特別な位相関係にあることに特徴を持つ。PLL回路510jはいくつかのクロックを生成する。そのうち一つは、I/Oピンに最も近接したレジスタ506用に出力558で使用される高速クロックである。出力560での第2のクロックの速度はより低い。この速度は、デシリアライゼーション因数によって分周された高速クロック周波数と等しい。共通のデシリアライゼーション因数は8であり、したがって、出力558でのクロック周波数の1/8であるクロック周波数が得られる。この第2のクロックは、第2の組のレジスタへと送られる。出力562における第3のクロックは一般に、第2のクロックと同じ周波数を持ち、プログラム可能な論理508中のレジスタへと送られる。各データ経路に対し複数のレジスタが使用され、レジスタの数は好適にはデシリアライゼーション因数と等しい。PLL回路510jは、有利には、出力558、560、562において、外部クロックに対する位相関係およびクロック周波数を確立し、維持している。なお受信モードでは、PLL回路510jは、データとともに送信される基準クロックのみを使用している。こうして、このようなインタフェースは周波数関係の異なる位相を持つことができるので、各PLL回路はインタフェースごとに使用される。
図5bはさらに、送信モードで動作するPLL回路を例示している。送信モードでは、ソース同期経路は両方のデータ(I/Oピン516で)およびTXクロック(クロックピン512で)を送る。したがって、この基準クロック・TXデータとクロックとの間には位相関係が要求されていないので、PLL回路510kは任意のピンからまたは内部生成コアクロックからの基準クロックを受信する。複数の経路が必要な場合、図5に示すように、有利には、単一のコアクロックを使用して、複数のTX PLL回路を駆動することができる。
図4に戻り、マルチプレクサ440、442は、好適にはユーザによって、同期回路446に供給する二つの信号を複数の入力信号から選択するよう、プログラム可能である。同期回路446は、PLL回路410の始動が同期的に発生することを保証する。回路446は特に、PLL回路410に対し誤ったタイミングを引き起こしうる、基準クロック信号上の問題を防ぐことを目的としている。
図6は、本発明による同期回路の実施例を示す。同期回路646は、ラッチ647、649およびANDゲート651、653を備えている。ラッチ647は、マルチプレクサ440によって選択された入力信号を入力655において受信し、一方、ラッチ649はマルチプレクサ442によって選択された入力信号を入力657において受信する。PLLのスタート信号は、立ち下がりエッジ上の基準クロックを有効にし、クロックの次の立ち上がりエッジの前に十分な時間が与えられることを保証する。対応する波長を図6aに示す。
追加的なレジスタを挿入して、基準クロックの有効化を遅延させることによって、二つの出力信号CLKIN0およびCLKLIN1がトグリングを開始する前に、PLL回路の一部を有効化することができる。この代替実施例は図6bに例示されており、この実施例ではPLLスタート信号を使用して、最初にカウンタ/周波数分周器を有効化し、その後VCOを有効化する、段階的な始動シーケンスを生成する。
図4に戻り、二つの同期回路出力はマルチプレクサ448およびスイッチオーバー回路450へと供給される。マルチプレクサ448はユーザによってプログラム可能であり、したがって、ユーザによって選択された二つの信号のうち、入力基準信号として機能する一つを出力する。この選択された入力基準信号はANDゲート452に供給され、ANDゲート452はスイッチオーバー回路450からの入力信号も受信する。通常の動作モードでは、スイッチオーバー回路450によって、選択した基準信号をANDゲートを経てプレスケール周波数分周器420へと伝播させることが可能である。スイッチオーバー回路450は、同期回路446から受信した二つの出力信号を監視する。選択されたクロック信号が何らかの理由によって移動を中止した場合、スイッチオーバー回路450は自動的に、同期回路446からの他の出力信号を入力基準信号として使用する。この特徴は、クロック冗長または二重クロックドメインのアプリケーションのために、使用可能である。さらに、スイッチオーバー回路450は、好適にはユーザ制御信号に基づいて手動で制御可能である。これによって、ユーザは、例えば、異なる周波数の二つの入力基準信号間で切り替え可能である。
PLL回路410はさらに、帰還能力を高め、帰還周波数分周器424、スペクトル拡散カウンタ458、マルチプレクサ460を備えている。マルチプレクサ460はプログラム可能であり、マルチプレクサ430からの出力信号および外部帰還信号を受信する。マルチプレクサ460を外部帰還信号を出力するようプログラムすることにより、外部クロック信号を入力基準クロック信号と揃えることができる。これによって、ユーザは有利には、クロック遅延およびデバイス/チップ間のスキューを取り除くことができる。周波数分周器420、424に接続されたスペクトル拡散カウンタ458は、狭い範囲でクロック周波数を変調することによって上記を達成する。
PLL回路210、410はともに、有利には、電源投入時およびユーザモード(すなわち、動的に)の両方で完全にプログラム可能であり、このため高い柔軟度を提供する。前述のように、周波数分周器回路232、432のカウンタ/周波数分周器回路それぞれは、グローバル・クロック・ネットワーク、ローカル・クロック・ネットワーク、外部クロックバッファ等いくつかの異なる出力ソースに接続可能である。分周器回路の出力上に柔軟度の高い多重化領域を提供することによって、ユーザは有利にはシステムを非常に柔軟度の高い態様で構成することができる。こうしてPLL回路210、410は、複数の内部クロック基準を生成するとともに、オフチップ基準クロックを供給するよう使用可能である。その他の利点として、(PLL回路410内の)複数の入力基準信号のうち任意のいずれかに、および任意のグローバルまたはローカルクロックに、動的に切り替え可能であることが含まれる。ユーザを、本発明によるPLL回路を動的に構成できるようにしたため、PLL全体を再プログラムする必要はなくなり、このため有利には、システム費用全体が低減される。
図7は、本発明のPLL回路とともに使用可能なクロック・マルチプレクサ・パターンの例を示している。パターン700は、例えば、PLL回路210または410のいずれかである、二つの汎用PLL回路710を備えている。各縦線は単一のマルチプレクサとして考えることができ、それぞれの円は、マルチプレクサに接続可能な信号を表している。CLKPIN#は、標準クロックピンを代表しているが、一方、nCLKPIN#は入力クロックが差分信号でない場合に利用可能な追加のクロックピンを表している。GCKDRV#1(グローバル・クロック・ドライバ)信号およびLCKDRV#1(ローカル・クロック・ドライバ)信号は、一般ロジックが、クロックネットワークへと送出する方法を提供し、この方法では、まずI/Oピンを経由して駆動送出した後別のI/Oピンを経由してクロックネットワークへと戻る必要がない。このマルチプレクサ接続は、ファンアウトの高い信号のために使用することもできる。
図8は、本発明によるPLL回路とともに使用可能な外部クロック出力のための、マルチプレクサパターンを示している。パターン800は、出力ピン812および汎用PLL回路810を備えている。汎用PLL回路810は、例えばPLL回路210または410のいずれかであってもよい。PLL回路810からの任意の出力信号は、任意の出力ピン812へと送出することができる。extclken#(外部クロック有効)信号は、有利には、ユーザはクロックピンを同期的に動的に有効および無効にすることができる。これは、システム電源遮断能力を実行し、電力消費量を低減するために使用可能である。なお、差分送信目的として、偶数の出力(すなわち、ECK0、ECK2、...)は隣接する奇数の出力(すなわち、ECK1、ECK3、…)とともに使用可能である。
図9は、マルチプレクサ回路228/428および周波数分周器回路232/432の代替的な構成を示している。カスケードPLL出力段階900によって、有利には、本発明のPLL回路は、信号周波数を桁違いの程度までプログラム可能に分周することができる。最初からn−1番目の(nは周波数分周器の全数)任意の周波数分周器932からの出力は、マルチプレクサ回路928の適切なマルチプレクサによってプログラム可能に選択され、次の周波数分周器932の入力となる。こうして、例えば、周波数分周器C0の出力はオンチップ・ローカル・クロック、および周波数分周器C1の入力として使用することができる。さらに、このプログラム可能なカスケードは周波数分周器C0の出力から始める必要はなく、またn番目の周波数分周器(この実施例では分周器C5)まで続ける必要もない。例えば、分周器C2の出力は分周器C3へとカスケード可能であり、分周器C3の出力は分周器C4へとカスケードされる。一方、分周器C0、C1、C5は独立して使用可能である。なお、各周波数分周器へのVCO/テストclkの入力は、複数のVCO出力信号を表している。
図10は、本発明による高機能クロックバッファ回路の実施例を示す。有利には、クロックバッファー回路1000は、汎用のI/O機能ならびにI/Oクロック関数、および入力・出力能力を支援する。バッファー回路1000は、マルチプレクサ1062、1064、バッファ/ドライバ1066、1068、1070、1072、1074、および差動バッファ1076を備える。バッファ回路1000は、I/Oクロックピン1078に接続され、ピン1078がPLL回路によって駆動される(その結果、前記ピンがクロックピンとなる)よう、あるいはI/Oインタフェースによって駆動される(その結果、前記ピンが汎用I/Oピンとなる)よう構成することができる。バッファ回路1000はさらに、ピン1078のうち一本がPLL外部フィードバックピンとして使用可能となるよう(その結果、遅延補完バッファとなる)構成可能である。バッファ回路1000が双方向性に(入力と出力の両方が可能となるよう)構成される場合、PLL回路はゼロ遅延バッファとして構成可能である。この構成では補完されているバッファだけを使用するので、遅延セルを使用する既知の方法を使用することが好適である。
好適には、本発明のPLDと関連付けられたすべてのクロックソース(グローバルクロック、ローカルクロック、外部クロック)は、同期的に有効化および無効化することができる。このことによって、ユーザは電源管理のための設計の様々な部分に関して、動的に電源を入切することができる。図11は、本発明による同期PLL有効化回路を示す。有効化回路1100は、ラッチ1182、ANDゲート1184、クロックドライバ1186を備える。信号ENOUTは、クロックの有効化および無効化を動的制御するために使用されるユーザ制御されるコア信号である。信号ENOUTCTRLは、ユーザが無効化機能を使用しない場合、クロックを常に有効にするプログラミングビットである。NPSTはアクティブローのレジスタプリセットであり、入力時の低電圧信号(例えばロジカル0信号)によって出力を増加させることを意味する。
なお、マルチプレクサはすべての図にわたって示されているが、マルチプレクサを他の種類のPLC(プログラム可能な論理コネクタ)に置き換えて使用してもよい。例えば、PLCはいくつかの入力のうちの任意の一つの入力を出力へと接続するための一つのまたは複数のスイッチ等、比較的単純なプログラム可能なコネクタであってもよい。また、その代わりに、各PLCは(例えば、入力のうちいつくかを論理的に組み合わせることによって)論理を実行するとともに接続を行う能力を持つ、幾分複雑な要素であってもよい。後者の場合、例えば、各PLCは、AND、NAND、OR、NOR等の関数を実行する積項論理であってもよい。PLCの実行に適したコンポーネントの例として、EPROM、EEPROM、パストランジスタ、トランスミッションゲート、アンチヒューズ、レーザヒューズ、メタルオプショナルリンク等がある。
なお、本発明のPLL回路を備えたPLDは一つの技術に限定されることはなく、有利には様々な技術において実施される。
上述のように、本発明のPLC(例:マルチプレクサ)および分周器回路はプログラム可能であり、そのプログラム可能なパラメータは様々な種類のプログラム可能な、機能制御要素(FCE)に保存されてもよい。(もっとも、ある実装(例:ヒューズとメタルのオプショナルリンク)では、別々のFCEデバイスは要求されていない)FCEはいくつかの異なる方法のうち任意の一つの方法で実装することができる。例えば、FCEはSRAM、DRAM、先入れ先出し(FIFO)メモリ、EPROM、EEPROM、機能制御レジスタ(例:Wanlstromらの米国特許第3,473,160号)、強誘電体メモリ、ヒューズ、アンチヒューズ等がある。本発明のPLCおよび分周器回路を制御するFCEは、好適には、図1のプログラム可能な論理108のプログラム化と同時におよび同一の方法でプログラムされる。
本発明の回路には多くのアプリケーションが可能であるが、一つの例示的な用途を図12に示す。データ処理システム1200は、プログラム可能な論理デバイス100を備える。前記デバイスは、本発明によるPLL回路を含む集積回路であり、あるいは集積回路チップであってもよい。PLD100は、フィールドプログラム、マスクプログラム、またはその他任意の方法でプログラム可能である。PLD100は、一度限りでプログラム可能であってもよく、あるいは再プログラム可能であってもよい。システム1200は、以下のコンポーネントプロセッサ1203、メモリ1205、I/O回路1207および周辺装置1209のうち一つまたは複数を備えていてもよい。これらコンポーネントは、システムバス1211によって互いに接続され、エンドユーザシステム1215中に備えられる回路基板1213上に密集している。図12に示す様々なコンポーネント間の、および/または外部回路との通信は、所望の任意の程度で任意の種類のものを用いてもよい。
システム1200は、コンピュータネットワーキング、データネットワーキング、実装、ビデオ処理、デジタル信号処理、またはその他任意のアプリケーション等、広範なアプリケーションに使用可能である。これらのアプリケーションでは、プログラムまたは再プログラム可能な論理利用による望ましい利点がある。PLD100は、様々な異なる論理機能を実行するために使用することができる。例えば、PLD100は、プロセッサ1203と協働して動作するプロセッサまたはコントローラとして構成可能である。またPLD100は、システム1200中の共有リソースへのアクセスを調停するアービターとして使用することも可能である。また別の例では、PLD100は、プロセッサ1203と他のシステム1200中コンポーネントの一つとのインタフェースとしても構成可能である。なお、システム1200は単に典型的なものであり、いかなる態様によっても、本発明の真の範囲と精神を限定するよう解釈すべきではない。
このように、プログラム可能な用途のために、外部または内部クロックとして、プログラム可能な位相および周波数を持つ複数の信号を出力する高い構成能力を有するPLL回路が提供されることがわかった。当業者であれば、本発明が、限定でなく例示を目的に提示された記載の実施例以外によっても実施可能であり、さらに本発明が特許請求の範囲によってのみ限定されることを、理解するであろう。
本発明によるプログラム可能な論理集積回路デバイスの代表部を示す、例示的な実施例の簡略化したブロック図である。 本発明によるPLL回路の第1の実施例の簡略化したブロック図である。 本発明による動的構成可能なマルチプレクサの簡略化したブロック図である。 図2のPLL回路の代表的な部分をより詳細に示す、別の簡略化したブロック図である。 本発明によるPLL回路の別の実施例の、簡略化したブロック図である。 本発明の送信および受信モードにおいて構成されたPLLを用いるプログラム可能な論理集積回路デバイスを示す、簡略化したブロック図である。 本発明の送信および受信モードにおいて構成されたPLLを用いるプログラム可能な論理集積回路デバイスを示す、簡略化したブロック図である。 本発明の送信および受信モードにおいて構成されたPLLを用いるプログラム可能な論理集積回路デバイスを示す、簡略化したブロック図である。 本発明によるPLL回路の同期回路の、簡略化したブロック図である。 図6からの信号のタイミング図である。 図6に示す本発明による、同期回路の代替部の簡略化したブロック図である。 本発明によるクロック多重化パターンの簡略化したブロック図である。 本発明による外部クロック多重化パターンの簡略化したブロック図である。 本発明によるPLL回路のカスケード部の簡略化したブロック図である。 本発明による構成可能なクロックバッファ回路の簡略化したブロック図である。 本発明によるPLL有効化回路の簡略化したブロック図である。 本発明を用いた例示的なシステムの簡略化したブロック図である。

Claims (30)

  1. 基準信号から派生した複数のクロック信号を同時に生成する方法であって、前記方法は、
    前記基準信号を受信することと、
    それぞれが周波数および異なる位相をもつ複数の信号を生成することと、
    各前記生成された信号の前記周波数を、周波数の約数のプログラム可能な選択にしたがって同時に分周し、それぞれが周波数および位相を有する出力信号を生成することと、
    前記出力信号をプログラム可能な選択にしたがって多重化して、各クロック信号がオフチップクロック信号、オンチップクロック信号またはその両方として使用可能となることと、
    を含む方法。
  2. 請求項1に記載の方法であって、各前記出力信号の前記周波数が、前記出力信号の一つまたは複数の周波数と異なっているか、または同一である方法。
  3. 請求項1に記載の方法であって、前記多重化が、オフチップクロック信号として使用するために、前記出力信号のうち一つを出力ピンにプログラム可能に接続することを含む方法。
  4. 請求項1に記載の方法であって、前記多重化は、オンチップ・グローバル・クロック信号として使用するために、前記出力信号のうち一つをグローバル・クロック・ネットワークにプログラム可能に接続することを含み、前記グローバル・クロック・ネットワークは、前記生成および前記分周が実施される集積回路チップと同一のチップ上にある方法。
  5. 請求項1に記載の方法であって、前記多重化が、オンチップ・ローカル・クロック信号として使用するために、前記出力信号のうち一つをクロックネットワークにプログラム可能に接続することを含み、前記クロックネットワークは集積回路チップ上の回路の一部とのみ接続され、前記集積回路チップは前記生成および前記分周が実施される集積回路チップと同一である方法。
  6. 請求項1に記載の方法であって、
    複数の入力信号を受信することと、
    前記複数の入力信号を有効化信号と同期させることと、
    前記複数の入力信号のうち一つを前記基準信号として選択することと
    をさらに含む方法。
  7. 請求項5に記載の方法であって、前記受信は、
    前記生成および前記分周が実行される集積回路上に前記複数の入力信号を生成させることと、
    前記複数の入力信号のうち別の一つを、別の集積回路チップから入力ピンを介して受信することと
    を含む方法。
  8. 複数のクロック信号を同時に生成する方法であって、前記方法は
    基準信号を受信する第1の分周器に、第1の約数をプログラミングすることと、
    前記第1の分周器によって処理された信号を略同時に受信する複数の分周器のそれぞれに、複数の約数をプログラミングすることと、
    少なくとも一つのマルチプレクサを、前記複数の分周器から受信する複数の出力信号のうち一つを、集積回路出力ピン、グローバル・クロック・ネットワークまたはローカル・クロック・ネットワークのうち任意の一つに接続するよう、プログラミングすることと
    を含む方法。
  9. 請求項8に記載の方法であって、複数の約数を前記プログラミングした後、
    前記複数の分周器のうち一つの出力を、前記複数の分周器のうち別の一つへと供給するよう、プログラミングすることをさらに含む方法。
  10. 請求項9に記載の方法であって、出力を前記プログラミングすることを少なくとも1回繰り返すことを含む方法。
  11. 入力クロック信号を複数の出力クロック信号に変換する方法であって、前記方法は、
    入力周波数を有する前記入力クロック信号を、第1の周波数を有する第1の信号を生成するよう修正することと、
    前記第1の信号を、それぞれが位相と前記第1の周波数とを有する複数の第2の周波数を生成するよう位相シフトし、前記第2の信号のそれぞれは前記第2の信号の他の位相とは異なる位相を有することと、
    前記第2の信号を、位相および出力周波数を有する出力信号を生成するよう、略同時に修正し、前記出力信号のそれぞれは個別に選択可能な出力周波数を有することと、
    前記出力信号のうち任意の一つを、集積回路チップ出力ピンに、選択可能に接続することと、
    前記出力信号のうち任意の一つをグローバル・クロック・ネットワークに、選択可能に接続し、前記グローバル・クロック・ネットワークは、クロック信号を集積回路チップ上のすべてのクロック可能な回路に供給することと、
    前記出力信号のうち任意の一つを少なくとも一つのローカル・クロック・ネットワークに選択可能に接続し、前記ローカル・クロック・ネットワークは、クロック信号を集積回路チップ上のクロック可能な回路の一部のみに供給することと
    を含む方法。
  12. 複数のクロック信号を基準信号に基づいて生成する方法であって、前記方法は、
    前記基準信号の受信に応答して、第1の複数のクロック信号を生成し、前記複数のクロック信号のそれぞれは異なる位相を有することと、
    それぞれが位相および選択可能な周波数を有する第2の複数のクロック信号を同時に生成することと、
    前記第2の複数のクロック信号を、同一の複数のクロッキングアプリケーションに対して利用可能にする方法。
  13. 請求項12に記載の方法であって、前記クロッキングアプリケーションが、オフチップクロッキング、オンチップ・グローバル・クロッキング、オンチップ・ローカル・クロッキング、周波数合成、ゼロ遅延バッファリングを含む方法。
  14. プログラム可能な位相および周波数を有する複数のクロック信号を出力するよう動作するプログラム可能な論理デバイス上の回路であって、
    入力信号を受信するよう動作する第1の分周器回路と、
    前記分周器の出力を受信するよう接続され、第2の入力を有する位相/周波数比較器回路と、
    前記位相/周波数比較器回路の出力を受信するよう接続され、それぞれが異なる位相を有する複数の信号を出力するよう動作する電圧制御発振器(VCO)と、
    前記複数のVCO出力信号を受信するよう接続され、分周信号を前記位相/周波数比較器の前記第2の入力に出力するよう動作するフィードバック分周器回路と、
    前記複数のVCI出力信号を受信するよう接続され、前記複数のVCO出力信号から選択された複数の信号を出力するよう動作する第1の多重化回路と、
    それぞれが前記第1の多重化回路からの前記出力信号の一つを受信するよう前記多重化回路に接続され、分周信号を出力するよう動作する複数の分周器回路と、
    前記複数の分周器からの前記分周信号のそれぞれを受信するよう接続される第2の多重化回路であって、前記第2の多重化回路は、前記第2の多重化回路に接続された複数の信号線のうち任意の一つに、受信した各分周信号をプログラム可能に出力するよう動作する第2の多重化回路と
    を含む回路。
  15. 請求項14に記載の回路であって、前記複数の信号線がクロック出力ピン、グローバル・クロック・ネットワーク、および少なくとも一つのローカル・クロック・ネットワークに接続される回路。
  16. 請求項14に記載の回路であって、複数の入力信号を受信するよう接続され、前記信号のうち一つを前記第1の分周器回路にプログラム可能に出力するよう動作する第3のマルチプレクサ回路をさらに含む回路。
  17. 請求項16に記載の回路であって、前記第3の多重化回路は、有効化信号を受信するよう接続され、前記複数の入力信号のうち二つを選択可能な同期化回路を含み、前記同期回路は前記有効化信号によってクロックされる二つのラッチを含み、各ラッチは、前記選択可能な二つの信号のそれぞれを受信するよう接続され、同期信号を出力するよう動作する回路。
  18. 請求項17に記載の回路であって、前記二つのラッチから前記二つの同期信号を受信するよう接続され、前記二つの同期信号のうち一つが受信されない場合は前記二つの同期信号のうち他方を自動的に出力するよう動作するスイッチオーバー回路をさらに含む回路。
  19. 請求項14に記載の回路であって、前記フィードバック分周器回路が、マルチプレクサとプログラム可能な分周器回路とを含み、前記マルチプレクサは前記複数のVCO出力信号を受信するよう接続され前記VCO出力信号のうち一つを前記分周器回路に出力するよう動作可能であり、前記分周器回路は前記分周信号を前記位相/周波数比較器の前記第2の入力に出力するよう動作する回路。
  20. 前記回路が低電圧差動伝送(LVDS)位相同期ループ回路である請求項14に記載の回路。
  21. 前記回路が汎用位相同期ループ回路である請求項14に記載の回路。
  22. 請求項14に記載の回路を含む、集積回路。
  23. 請求項14に記載の回路を含む、プログラム可能な論理デバイス。
  24. プリント基板であって、該プリント基板上に請求項14に記載の回路を実装するプリント基板。
  25. 請求項24に記載のプリント基板であって、該プリント基板上にメモリを実装するプリント基板。
  26. 請求項24に記載のプリント基板であって、該プリント基板上に処理回路を実装するプリント基板。
  27. プロセッサと、
    前記プロセッサに接続されたメモリと、
    前記プロセッサおよび前記メモリのうち少なくとも一つに接続された請求項14に記載の回路と
    を含むシステム。
  28. プロセッサと、
    メモリと、
    請求項13に記載の回路を含むプログラム可能な論理デバイスと、
    入力/出力回路と、
    前記プロセッサと、前記メモリと、前記プログラム可能な論理デバイスと、前記入力/出力回路とを接続するシステムバスと
    を含むデジタル処理システム。
  29. 位相同期ループ回路であって、
    受信した信号を位相シフトして複数の位相シフト信号を生成する手段であって、各位相シフト手段は周波数を有し、異なる量だけシフトされる手段と、
    前記位相シフト信号の少なくとも略複数の周波数を修正する手段と、
    前記周波数修正信号のそれぞれを、いくつかのクロッキングネットワークのうち任意の一つに選択的に加える手段と
    を含む位相同期ループ回路。
  30. 請求項29に記載の位相同期ループ回路であって、前記クロッキングネットワークが、オフチップネットワークとオンチップネットワークとを含み、前記チップは請求項29に記載の位相同期ループ回路を含む、位相同期ループ回路。
JP2005064686A 2004-03-09 2005-03-08 プログラム可能な論理用の高い構成能力を有するpllアーキテクチャ Pending JP2005269635A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/797,836 US7098707B2 (en) 2004-03-09 2004-03-09 Highly configurable PLL architecture for programmable logic

Publications (1)

Publication Number Publication Date
JP2005269635A true JP2005269635A (ja) 2005-09-29

Family

ID=34827644

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005064686A Pending JP2005269635A (ja) 2004-03-09 2005-03-08 プログラム可能な論理用の高い構成能力を有するpllアーキテクチャ

Country Status (5)

Country Link
US (2) US7098707B2 (ja)
EP (1) EP1575170B1 (ja)
JP (1) JP2005269635A (ja)
CN (2) CN1667957B (ja)
AT (1) ATE554530T1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007159110A (ja) * 2005-12-02 2007-06-21 Altera Corp 広い周波数範囲で動作可能なプログラマブルトランシーバ
JP2008108172A (ja) * 2006-10-27 2008-05-08 Matsushita Electric Ind Co Ltd Lvds受信方法および受信装置
JP2017188881A (ja) * 2016-04-01 2017-10-12 株式会社半導体エネルギー研究所 半導体装置、電子部品、および電子機器

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7200769B1 (en) 2001-08-29 2007-04-03 Altera Corporation Self-compensating delay chain for multiple-date-rate interfaces
US7167025B1 (en) 2004-02-14 2007-01-23 Herman Schmit Non-sequentially configurable IC
US7425841B2 (en) 2004-02-14 2008-09-16 Tabula Inc. Configurable circuits, IC's, and systems
US20050186920A1 (en) * 2004-02-19 2005-08-25 Texas Instruments Incorporated Apparatus for and method of noise suppression and dithering to improve resolution quality in a digital RF processor
US7234069B1 (en) 2004-03-12 2007-06-19 Altera Corporation Precise phase shifting using a DLL controlled, multi-stage delay chain
US7126399B1 (en) 2004-05-27 2006-10-24 Altera Corporation Memory interface phase-shift circuitry to support multiple frequency ranges
US7224181B1 (en) * 2004-11-08 2007-05-29 Herman Schmit Clock distribution in a configurable IC
US7330050B2 (en) * 2004-11-08 2008-02-12 Tabula, Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US7317331B2 (en) 2004-11-08 2008-01-08 Tabula, Inc. Reconfigurable IC that has sections running at different reconfiguration rates
US20060109940A1 (en) * 2004-11-22 2006-05-25 Troy Beukema Timing bias compensation for a data receiver with decision-feedback equalizer
US7236009B1 (en) 2004-12-01 2007-06-26 Andre Rohe Operational time extension
US7199607B2 (en) * 2004-12-22 2007-04-03 Infineon Technologies Ag Pin multiplexing
US7826579B2 (en) * 2005-02-11 2010-11-02 International Business Machines Corporation Method and apparatus for generating synchronization signals for synchronizing multiple chips in a system
US7230869B1 (en) 2005-03-15 2007-06-12 Jason Redgrave Method and apparatus for accessing contents of memory cells
US7826519B1 (en) * 2005-05-23 2010-11-02 Marvell International, Ltd Method and apparatus for providing coherent phase noise in transceivers or similar systems
TWI300653B (en) * 2005-06-22 2008-09-01 Ind Tech Res Inst Clock generator and phase locked loop and clock generation method using the same
US7414429B1 (en) 2005-07-19 2008-08-19 Altera Corporation Integration of high-speed serial interface circuitry into programmable logic device architectures
US7304498B2 (en) * 2005-07-20 2007-12-04 Altera Corporation Clock circuitry for programmable logic devices
US8189729B2 (en) * 2005-08-03 2012-05-29 Altera Corporation Wide range and dynamically reconfigurable clock data recovery architecture
US7812659B1 (en) 2005-08-03 2010-10-12 Altera Corporation Clock signal circuitry for multi-channel data signaling
CN1953332B (zh) * 2005-10-17 2011-01-12 联芯科技有限公司 时钟发生器和使用该时钟发生器的通信终端
US7372297B1 (en) 2005-11-07 2008-05-13 Tabula Inc. Hybrid interconnect/logic circuits enabling efficient replication of a function in several sub-cycles to save logic and routing resources
US7679401B1 (en) 2005-12-01 2010-03-16 Tabula, Inc. User registers implemented with routing circuits in a configurable IC
GB2435725A (en) * 2006-03-03 2007-09-05 Toumaz Technology Ltd Frequency generation circuit
US7669097B1 (en) 2006-03-27 2010-02-23 Tabula, Inc. Configurable IC with error detection and correction circuitry
TW200805373A (en) * 2006-05-19 2008-01-16 Samsung Electronics Co Ltd A multi-port semiconductor device and method thereof
JP2008147499A (ja) * 2006-12-12 2008-06-26 Fujitsu Ltd プリント基板
US7495517B1 (en) 2006-12-14 2009-02-24 Altera Corporation Techniques for dynamically adjusting the frequency range of phase-locked loops
US7619451B1 (en) * 2007-02-03 2009-11-17 Altera Corporation Techniques for compensating delays in clock signals on integrated circuits
EP2597776A3 (en) 2007-03-20 2014-08-20 Tabula, Inc. Configurable IC having a routing fabric with storage elements
US7535252B1 (en) 2007-03-22 2009-05-19 Tabula, Inc. Configurable ICs that conditionally transition through configuration data sets
US7814301B2 (en) * 2007-04-11 2010-10-12 Hewlett-Packard Development Company, L.P. Clock architecture for multi-processor systems
US7532029B1 (en) 2007-04-18 2009-05-12 Altera Corporation Techniques for reconfiguring programmable circuit blocks
US7821312B1 (en) 2007-04-18 2010-10-26 Altera Corporation Techniques for selecting phases of clock signals
US8344755B2 (en) 2007-09-06 2013-01-01 Tabula, Inc. Configuration context switcher
US7940132B2 (en) * 2007-09-27 2011-05-10 Freescale Semiconductor, Inc. Clock system and applications thereof
US8863067B1 (en) 2008-02-06 2014-10-14 Tabula, Inc. Sequential delay analysis by placement engines
US8130044B2 (en) * 2008-06-19 2012-03-06 Altera Corporation Phase-locked loop circuitry with multiple voltage-controlled oscillators
US8166435B2 (en) 2008-06-26 2012-04-24 Tabula, Inc. Timing operations in an IC with configurable circuits
US7928782B2 (en) * 2009-01-28 2011-04-19 Micron Technology, Inc. Digital locked loops and methods with configurable operating parameters
US8228102B1 (en) 2010-03-03 2012-07-24 Altera Corporation Phase-locked loop architecture and clock distribution system
US8406258B1 (en) * 2010-04-01 2013-03-26 Altera Corporation Apparatus and methods for low-jitter transceiver clocking
US8996906B1 (en) 2010-05-13 2015-03-31 Tabula, Inc. Clock management block
US8279761B2 (en) * 2010-05-28 2012-10-02 Altera Corporation Input/output interface for periodic signals
US8686776B2 (en) * 2012-07-24 2014-04-01 International Business Machines Corporation Phase rotator based on voltage referencing
JP2015534332A (ja) 2012-09-07 2015-11-26 ユニバーシティ オブ ヴァージニア パテント ファウンデーション 低電力クロック源
CN103077694B (zh) * 2012-12-20 2014-12-24 广州视源电子科技股份有限公司 用于去除lvds信号的展频的***及方法
US9000801B1 (en) 2013-02-27 2015-04-07 Tabula, Inc. Implementation of related clocks
US9450589B2 (en) 2013-06-28 2016-09-20 Intel Corporation Clock generation system with dynamic distribution bypass mode
US9225322B2 (en) 2013-12-17 2015-12-29 Micron Technology, Inc. Apparatuses and methods for providing clock signals
US9413364B2 (en) * 2014-07-09 2016-08-09 Intel Corporation Apparatus and method for clock synchronization for inter-die synchronized data transfer
US9819345B2 (en) * 2014-10-02 2017-11-14 Altera Corporation Scalable 2.5D interface architecture
US20160105274A1 (en) * 2014-10-14 2016-04-14 Gain Ics Llc Wireless network throughput system and method
CN104518789A (zh) * 2014-12-30 2015-04-15 西安奇维科技股份有限公司 一种高精度数字频率脉冲输出的方法
CN106294224B (zh) * 2015-05-13 2019-10-25 瑞昱半导体股份有限公司 存储器***及其存储器实体接口电路
CN106356021B (zh) * 2015-07-14 2020-02-14 西安诺瓦星云科技股份有限公司 降低led显示屏电磁干扰的方法和led显示控制卡
DE102016006361A1 (de) 2016-05-30 2017-11-30 Dspace Digital Signal Processing And Control Engineering Gmbh Überprüfungsvorrichtung
US11255909B2 (en) 2016-05-30 2022-02-22 Dspace Digital Signal Processing And Control Engineering Gmbh Method for synchronizing a checking apparatus, and a checking apparatus and a composite system comprising at least two checking apparatuses
US10305495B2 (en) * 2016-10-06 2019-05-28 Analog Devices, Inc. Phase control of clock signal based on feedback
CN106444964A (zh) * 2016-10-08 2017-02-22 郑州云海信息技术有限公司 一种用于fpga的时钟***及服务器
CN107222207A (zh) * 2017-06-05 2017-09-29 中国电子科技集团公司第四十研究所 一种1Hz‑1GHz时钟产生电路及方法
US10832716B2 (en) * 2018-12-19 2020-11-10 Marvell Asia Pte, Ltd. Zone self servo writing with synchronized parallel clocks
CN111371455B (zh) * 2019-12-31 2024-04-12 京微齐力(北京)科技有限公司 一种用于pll输出频率动态切换的***
CN114647598A (zh) * 2020-12-18 2022-06-21 马来西亚瑞天芯私人有限公司 一种时钟***和时钟同步的方法
CN112799329B (zh) * 2021-01-15 2022-03-04 珠海一微半导体股份有限公司 分时钟访问sram的控制***及异构soc芯片

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3473160A (en) 1966-10-10 1969-10-14 Stanford Research Inst Electronically controlled microelectronic cellular logic array
US4789996A (en) * 1988-01-28 1988-12-06 Siemens Transmission Systems, Inc. Center frequency high resolution digital phase-lock loop circuit
US5212723A (en) * 1991-08-08 1993-05-18 Macrovision Corp. Burst phase correction system for video descrambling
US5689195A (en) 1995-05-17 1997-11-18 Altera Corporation Programmable logic array integrated circuit devices
US5539351A (en) * 1994-11-03 1996-07-23 Gilsdorf; Ben Circuit and method for reducing a gate volage of a transmission gate within a charge pump circuit
US5550515A (en) * 1995-01-27 1996-08-27 Opti, Inc. Multiphase clock synthesizer having a plurality of phase shifted inputs to a plurality of phase comparators in a phase locked loop
US5909126A (en) 1995-05-17 1999-06-01 Altera Corporation Programmable logic array integrated circuit devices with interleaved logic array blocks
JPH10124167A (ja) 1996-10-17 1998-05-15 Miyagi Oki Denki Kk システムクロック切り換え装置
US6081141A (en) * 1997-11-26 2000-06-27 Intel Corporation Hierarchical clock frequency domains for a semiconductor device
US5986512A (en) * 1997-12-12 1999-11-16 Telefonaktiebolaget L M Ericsson (Publ) Σ-Δ modulator-controlled phase-locked-loop circuit
TW406219B (en) * 1998-08-26 2000-09-21 Via Tech Inc PLL clock generation circuit that is capable of programming frequency and skew
US6114915A (en) 1998-11-05 2000-09-05 Altera Corporation Programmable wide-range frequency synthesizer
US6215326B1 (en) 1998-11-18 2001-04-10 Altera Corporation Programmable logic device architecture with super-regions having logic regions and a memory region
JP4077979B2 (ja) 1999-05-27 2008-04-23 株式会社日立製作所 半導体集積回路装置
US6580288B1 (en) * 1999-09-09 2003-06-17 International Business Machines Corporation Multi-property microprocessor with no additional logic overhead to shared pins
US6392462B2 (en) 2000-04-04 2002-05-21 Matsushita Electric Industrial Co., Ltd. Multiphase clock generator and selector circuit
US6665762B2 (en) * 2001-01-03 2003-12-16 Force Computers, Inc. Computer having a plurality of plug-in cards
JP3532861B2 (ja) * 2001-02-06 2004-05-31 松下電器産業株式会社 Pll回路
US6856180B1 (en) 2001-05-06 2005-02-15 Altera Corporation Programmable loop bandwidth in phase locked loop (PLL) circuit
US6686805B2 (en) 2001-05-25 2004-02-03 Infineon Technologies Ag Ultra low jitter clock generation device and method for storage drive and radio frequency systems
US6720810B1 (en) * 2002-06-14 2004-04-13 Xilinx, Inc. Dual-edge-correcting clock synchronization circuit
US6864752B2 (en) * 2002-11-01 2005-03-08 Broadcom Corporation Configurable voltage controlled oscillator system and method
US7242740B2 (en) * 2003-04-16 2007-07-10 Zarlink Semiconductor Inc. Digital phase-locked loop with master-slave modes
JP4064338B2 (ja) * 2003-12-10 2008-03-19 松下電器産業株式会社 デルタシグマ型分数分周pllシンセサイザ

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007159110A (ja) * 2005-12-02 2007-06-21 Altera Corp 広い周波数範囲で動作可能なプログラマブルトランシーバ
JP4521390B2 (ja) * 2005-12-02 2010-08-11 アルテラ コーポレイション 広い周波数範囲で動作可能なプログラマブルトランシーバ
JP2008108172A (ja) * 2006-10-27 2008-05-08 Matsushita Electric Ind Co Ltd Lvds受信方法および受信装置
JP2017188881A (ja) * 2016-04-01 2017-10-12 株式会社半導体エネルギー研究所 半導体装置、電子部品、および電子機器

Also Published As

Publication number Publication date
US7098707B2 (en) 2006-08-29
US20050200390A1 (en) 2005-09-15
CN1667957B (zh) 2010-12-08
CN101860366A (zh) 2010-10-13
US20060250168A1 (en) 2006-11-09
EP1575170A1 (en) 2005-09-14
CN1667957A (zh) 2005-09-14
EP1575170B1 (en) 2012-04-18
ATE554530T1 (de) 2012-05-15
US7276943B2 (en) 2007-10-02

Similar Documents

Publication Publication Date Title
JP2005269635A (ja) プログラム可能な論理用の高い構成能力を有するpllアーキテクチャ
US7499513B1 (en) Method and apparatus for providing frequency synthesis and phase alignment in an integrated circuit
US6483886B1 (en) Phase-locked loop circuitry for programmable logic devices
US7590211B1 (en) Programmable logic device integrated circuit with communications channels having sharing phase-locked-loop circuitry
US6373278B1 (en) LVDS interface incorporating phase-locked loop circuitry for use in programmable logic device
EP2118902B1 (en) Methods and apparatus for clock signal synchronization in a configuration of series-connected semiconductor devices
US6842399B2 (en) Delay lock loop circuit useful in a synchronous system and associated methods
US7590207B1 (en) Modular serial interface in programmable logic device
US5317601A (en) Clock distribution system for an integrated circuit device
US7619451B1 (en) Techniques for compensating delays in clock signals on integrated circuits
US7245240B1 (en) Integrated circuit serializers with two-phase global master clocks
US8866520B1 (en) Phase-locked loop architecture and clock distribution system
US7555667B1 (en) Programmable logic device integrated circuit with dynamic phase alignment capabilities and shared phase-locked-loop circuitry
US8248110B1 (en) Clock switch-over circuits and methods
US9843332B1 (en) Clock grid for integrated circuit
US6084447A (en) Pulse discriminating clock synchronizer for logic derived clock signals with synchronous clock suspension capability for a programmable device
US7812659B1 (en) Clock signal circuitry for multi-channel data signaling
US7729415B1 (en) High-speed interface for a programmable device
US6477657B1 (en) Circuit for I/O clock generation
US5917350A (en) Asynchronous pulse discriminating synchronizing clock pulse generator with synchronous clock suspension capability for logic derived clock signals for a programmable device
US6977539B1 (en) Clock signal generators having programmable full-period clock skew control and methods of generating clock signals having programmable skews
US7898296B1 (en) Distribution and synchronization of a divided clock signal
US6373302B1 (en) Phase alignment system
CN103677077A (zh) 强化时钟管理的复杂可编程逻辑器件
US7288972B1 (en) Circuitry for synthesizing an arbitrary clock signal and methods for the synthesis thereof