JP2004519090A - 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術 - Google Patents

歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術 Download PDF

Info

Publication number
JP2004519090A
JP2004519090A JP2002518522A JP2002518522A JP2004519090A JP 2004519090 A JP2004519090 A JP 2004519090A JP 2002518522 A JP2002518522 A JP 2002518522A JP 2002518522 A JP2002518522 A JP 2002518522A JP 2004519090 A JP2004519090 A JP 2004519090A
Authority
JP
Japan
Prior art keywords
layer
sacrificial
substrate
channel
strained
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002518522A
Other languages
English (en)
Other versions
JP2004519090A5 (ja
Inventor
ユージン エイ フィッツジェラルド
リチャード ハモンド
マシュー キュリー
Original Assignee
アンバーウェーブ システムズ コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アンバーウェーブ システムズ コーポレイション filed Critical アンバーウェーブ システムズ コーポレイション
Publication of JP2004519090A publication Critical patent/JP2004519090A/ja
Publication of JP2004519090A5 publication Critical patent/JP2004519090A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7838Field effect transistors with field effect produced by an insulated gate without inversion channel, e.g. buried channel lateral MISFETs, normally-on lateral MISFETs, depletion-mode lateral MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

半導体構造であって、基板上の緩和Si1−xGe層と、その緩和Si1−xGe層上の歪みチャネル層と、犠牲Si1−yGe層とを含む。犠牲Si1−yGe層は、誘電体層を設ける前に除去される。誘電体層が、MOSFETのゲート誘電体を含む。これに代わる実施形態では、この構造は、Si1−yGeスペーサ層とSi層とを含む。本発明の他の実施形態では、半導体素子の製造方法が提供され、半導体へテロ構造を設けるステップであって、このへテロ構造が、基板上の緩和Si1−xGe層と、この緩和Si1−xGe層上の歪みチャネル層と、Si1−yGe層とを有する、半導体へテロ構造を設けるステップと、Si1−yGe層を除去するステップと、誘電体層を設けるステップとを含む。

Description

【0001】
(優先権情報)
本願は、2000年8月7日に仮出願した米国特許出願第60/223,595号の優先権を主張する。
【0002】
(技術分野)
本発明は、歪み表面チャネル及び歪み埋め込みチャネルMOSFET素子のゲート技術に関する。
【0003】
(背景技術)
Si上の高品質緩和SiGe層の出現は、歪みにより強化されたキャリア・チャネルを備えた電解効果トランジスタ(FET)を実証した。この歪みはチャネルに組み込むことができるが、それは、チャネル層と緩和SiGe層との間のGe濃度の変化により発生する、チャネルと緩和SiGeとの間の格子不整合によるものである。例えば、緩和バッファ内の20%GeというGe濃度は十分に高く、薄い歪みSi層は、1000〜2900cm/V−secもの高い電子移動度を示すことができる。また、チャネルにおけるGe濃度が、バッファにおけるGe濃度より高い場合は、正孔チャネル移動度を増強することができる。例えば、60〜70%Geという緩和バッファ濃度は、Geチャネル層を圧縮して歪ませることが可能であり、それにより極めて高い正孔移動度を生み出す場合もある。
【0004】
キャリア散乱の正確な物理的現象は、短チャネルFETにおいてはあまりよく分かっていないが、1つ明らかなことは、ゲート長が非常に短くても、これらの増強された移動度が素子性能の向上につながる、ということである。高速および異なる電力遅延積に加えて、歪みチャネルを用いることにより、新しいFET構造をSi系回路に組み込むことが可能になる。従って、Si系プラットフォームを用いることで、高性能、素子設計における新たな自由度、経済性が、多数の新しい回路および製品につながることが予想される。
【0005】
これらの新しい回路及び製品に関しては、金属−絶縁体−半導体(MIS)または、金属−酸化物−半導体(MOS)ゲート技術に基づく素子が最も興味深い。これらの素子が、SiVLSI製造においてすでに利用されているプロセスを非常に忠実に踏襲することできるからである。主に2種類の素子が特に興味深い。表面チャネル素子と埋め込みチャネル素子である。これらの例を、図1AおよびBに示す。
【0006】
図1Aは、歪みSi表面チャネル素子100の模式図の断面である。ここで、薄い歪みSi層102が、緩和SiGe仮想基板上に成長している。SiGe仮想基板は、SiGe傾斜バッファ105(図1Aに示すように)上の緩和SiGe104でも、Si基板106直上の緩和SiGeでも、SiOなどの絶縁体上の緩和SiGeでもよい。素子も、SiO層108とゲート材料110とを含んでいる。
【0007】
図1Bは、歪みSi埋め込みチャネル素子112の模式図の断面である。ここで、SiGe層116と第2の歪みSi層120(ゲート酸化に用いられる)とが、歪みSiチャネル層114を覆っている。この構造も、傾斜SiGeバッファ層125と第2の緩和SiGe層126とを含んでいる。両素子構造において、ゲート酸化物122を成長または堆積するとともに、ゲート材料124を堆積し、(MOS)構造を形成している。歪みSiチャネルを備えた素子のみを、図1Aおよび1Bに示しているが、本発明は、緩和SiGeプラットフォーム上に製造された任意のヘテロ構造素子に適用可能である。例えば、ヘテロ構造歪みチャネルは、Geまたは下地SiGe仮想基板とは異なるGe含有のSiGeであってもよい。しかし、以下では、本発明を図1Aおよび1Bに図示した歪みSi素子の変形へ適用することを中心に説明する。
【0008】
ヘテロ構造素子のMOSゲートを形成するために、SiGeを埋め込みチャネル素子において直接酸化することが理想的であり、歪みSiを表面チャネル素子において直接酸化することが理想的である。残念なことに、両方の場合ともSi/SiGeヘテロ構造の性質のため、直接酸化プロセスが不十分になるという問題がある。
【0009】
まず、表面チャネル素子を検討する。Siが酸化されているため、得られたSiO/Si界面における界面状態密度は低く、電気的に高品質界面が生じる。しかし、素子および回路製造の際の酸化および洗浄各プロセスはどれも、Si材料を消費する。従来のSi加工の際、Si消費に関しては、通常はあまり心配はない。というのは、製造プロセスの初期段階では任意の限界垂直寸法に比べて極僅かな材料しか消費しないからである。しかし、ここに説明する歪み表面チャネルFETの場合は、上部歪みSi層は通例、厚さ300Å未満であるため、洗浄及び酸化各ステップの際に過剰なSiを消費すると高移動度チャネルを除去してしまう。
【0010】
確かな解決方法の1つは、単に余分なSiを表面に堆積して、加工の際に行われるSiの除去に備えておくことである。しかし、チャネル歪みは、チャネルに高キャリア移動度をもたらし、Si層の厚さを制限する。極めて十分な厚さがあると、Si層は緩和し始め、Si/SiGe界面にミスフィット転位を生じる。この転位生成プロセスは、素子性能に対して2つの悪影響を及ぼす。第1には、Siの歪みが一部又は全部解除され、キャリア移動度の増強を低下させてしまう場合がある。第2には、転位がキャリアを散乱させて、キャリア移動度を低下させてしまう恐れがある。転位はまた、素子の歩留まり、信頼性、性能に影響する恐れもある。
【0011】
埋め込みチャネルの場合は、Si層厚さが埋め込まれているため、最初はより良い状況に見える。しかし、この場合、SiGeの直接酸化により、酸化物/SiGe界面で極めて高い界面状態密度となり、素子の性能が良くない。この分野での周知の解決方法は、埋め込みチャネル構造の表面に、薄いSi層を生成することである。この構造において、表面層を慎重に酸化して、上部Si層をほぼすべて消費する。しかし、未酸化Siの薄い層が残るため、酸化物に対する界面は、問題となる酸化物/SiGe界面ではなく、優れたSiO/Si界面となる。この犠牲表面Si層が界面電子特性問題を解決するが、ここで構造には、上述と同様の限界がある。すなわち、犠牲Si層はSi加工の際に徐々にエッチングされることになるため、SiGeを露出し、上述したように界面の電気的性質の劣化を招いてしまう場合がある。
【0012】
(発明の開示)
本発明の一実施形態によれば、半導体構造が提供され、基板上の緩和Si1−xGe層と、その緩和Si1−xGe層上の歪みチャネル層と、犠牲Si1−yGe層とを含む。一態様において、犠牲Si1−yGe層が、誘電体層を設ける前に除去される。誘電体層が、MISFETのゲート誘電体を含む。これに代わる実施形態では、この構造は、Si1−yGeスペーサ層とSi層とを含む。
【0013】
本発明の他の実施形態によれば、半導体素子の製造方法が提供され、半導体へテロ構造を設けるステップであって、このへテロ構造が、基板上の緩和Si1−xGe層と、この緩和Si1−xGe層上の歪みチャネル層と、Si1−yGe層とを有する、半導体へテロ構造を設けるステップと、Si1−yGe層を除去するステップと、誘電体層を設けるステップとを含む。誘電体層が、MISFETのゲート誘電体を含む。これに代わる実施形態では、このヘテロ構造は、SiGeスペーサ層とSi層とを含む。
【0014】
(発明を実施するための最良の形態)
貴重な表面Siの損失問題を解消するため、以前には考えられなかった革新的工程を採用することが可能である。事実、この分野の関心はすべて、素子と回路プロセスをどのように変えてSiの浪費を抑えるか、という考察が中心となっている。これらは確かに可能ではあるが、このような制約は、プロセスの自由度を極度に限定してしまい、従来のSiプロセスをさらに変更して、製造プロセスのコストを上昇させてしまうことになる。
【0015】
埋め込みチャネルと表面チャネル構造のための解決法は、所望の素子構造に倣って実際にもう1つのSiGe層を堆積することである(埋め込みチャネルへテロ構造において、酸化用犠牲Siを含んでいる)。この構造を図2Aおよび2Bに示す。
【0016】
図2Aは、本発明による、表面チャネル歪みMOS用出発ヘテロ構造200の模式図の断面である。この構造200は、Si基板202と、SiGe傾斜バッファ204と、緩和SiGe層206と、歪みSiチャネル層208とを含んでいる。図2Bは、埋め込みチャネル歪みSiMOS用出発ヘテロ構造214の模式図の断面である。この構造214は、Si基板216と、SiGe傾斜バッファ218と、緩和SiGe層220,230と、第1の歪みSiチャネル層222と、ゲート酸化物用第2の歪みSi層224とを含んでいる。
【0017】
これらの構造は、SiGeキャップ層210,226と任意のSiキャップ層212,228を付加した以外は、ゲートスタック形成前の図1Aおよび1Bに図示したものと同一である。SiGe層210,226は、素子層の下方にある緩和SiGe層に密接に格子整合しているため、基本的にはSiGe層の厚さには制限がない。このSiGe層厚さが、ゲート酸化前に除去される材料の厚さになり、酸化直前に歪みSi層が露出できるようになっている。あるいは、SiGeは、除去厚さよりも厚いため、選択除去できる。事実、後述するように、SiGeは、種々の従来Si系プロセスを用いて、Siに対して選択的に除去できる。そのため、Si素子及び回路製造プロセスの際に、貴重な歪みSiおよび/または犠牲歪みSiを消費する心配なく、洗浄と酸化の各工程を行うことができる。ただ必要なことは、重要なゲート酸化工程以前に完全に消費されてしまわないように、SiGeを十分に厚く作成することである。
【0018】
その他の選択肢は、さらに別のSi層212,228を、付加SiGe層210,226の上部に配置することである。加工設備の中には、表面上に、SiではなくSiGe、という考案が懸念要因となる場合もある。この場合は、上述のように、付加SiGe層の上部に別のSi層を堆積することが可能である。付加SiGe層のGe濃度を、仮想バッファの濃度よりも大きくなるように選択することにより、圧縮層を作成することができる。これにより、この付加した任意のSi層が臨界厚さよりも厚ければ、転位が素子層に移動することはない。この現象は、Si層が引張性であるために起きる。そのため、上部任意Si層に生じた転位は、バーガースベクトルを有し、転位は下方の圧縮層内に自由に移動することができない。上部任意Si層(Si層臨界厚さを超える場合)内での転位は、その下方にある層に貫通することはなく、そのため、所望する多くのSiを堆積することができる。事実、この任意のSiキャップ層は、この場合、まったく歪ませる必要はなく、完全に緩和させても、保護犠牲層として機能することができる。
【0019】
図3A〜3Dは、上述のゲート構造を利用した歪み表面チャネルMOS素子のためのプロセス手順を示す模式図である(任意の歪み表面層のない構造のためにプロセスを示している)。図3Aは、図2Aに示した初期Si/SiGeヘテロ構造200を示す。図3Bは、SiVLSIプロセスの初期工程を完了した後の構造を示し、そのSiVLSIプロセスは、湿式化学洗浄と酸化の各工程を含む場合もある。従って、図3Bにおいて、保護SiGeキャップ層210は、厚さが薄くなっている。これは層の一部が加工の際に消費されたためである。次に、保護SiGeキャップ層210の残りを選択的に除去して、下地Si層208を無傷の状態で露出させる。犠牲酸化工程と酸化物剥離をこの時点で行い、露出したSi表面の品質を向上させる。
【0020】
その結果得られた構造を図3Cに示す。図3Dは、ゲート酸化してゲート酸化物300を形成した後の最終的な素子構造を示しており、ゲート酸化工程の前に極微量のSiが消費された構造である。あるいは、この時点で、露出したSi表面上に、代替ゲート誘導体を堆積することも可能である。新しいSi表面は、多数の堆積ゲート誘電体を備えた高品質界面にとって重要であり、熱的成長SiOゲート誘電体にとっても同様に重要である。
【0021】
図4A〜4Dは、埋め込みチャネル素子用ゲート構造を利用したプロセス手順を示す模式図であり(任意の歪み表面層のない構造のためにプロセスを示している)、図2Bに示した初期Si/SiGeヘテロ構造214を用いている。このプロセス工程は、図3A〜3Dに示したものと同一であるが、最終的なヘテロ構造において、Siチャネル層222が、SiGeスペーサ層220によりゲート誘電体400から分離して、埋め込みチャネルを形成している。選択的プロセスを用いて埋め込みSiチャネルまたは上部Si層までエッチングすると、図4Aの出発へテロ構造214を用いて表面チャネル素子を形成することができる。そのようなプロセスにより、エンハンスメント・モードおよびデプレッション・モード素子が実現し、これらの素子を用いてE/Dロジック回路も多数のアナログ回路も作成することができる。
【0022】
両手順において、工程の典型的手順は、1.ゲート酸化前洗浄工程と酸化、2.選択的エッチングまたは酸化による残存保護SiGe層の除去、3.Si上に犠牲酸化物形成、4.犠牲酸化物剥離、5.ゲート酸化、である。
【0023】
SiGe保護層の選択的除去の後に表面上に少量のGeが残っているかどうかにより、工程3および4が任意であることは理解できよう。元のヘテロ構造が成長すると、SiGe/Si界面があまり急峻にはならない。そのため、最適に純粋なSi層に少量のGeを含むことも可能である。犠牲酸化工程を採用して、付加された少量のSi層を除去し、純粋なSiをゲート酸化工程で確実に酸化することができ、高品質のゲート酸化物が確保できる。
【0024】
第2の工程、残存SiGe保護材料の選択的除去は、さまざまな方法で行うことができる。便利な方法の1つは、湿式酸化工程であり、750℃以下であることが好ましい。この温度での湿式酸化では、SiGeが酸化される速度は、同一条件下でのSiの酸化速度の100倍になる場合がある。従って、ゲート酸化用Siを露出させるために、単にSiGe層の湿式酸化とSi層での選択的停止をするだけでよい。酸化されたSiGeを剥離することにより、Siを露出させることができる。ここで記すべき重要なことは、低温は酸化プロセスにおける選択のために重要なばかりでなく、低温は、酸化前線の前面のGeの雪かき(snow−plowing)、SiGeの直接酸化における周知の問題、を最小限にまたは防止するために重要である。
【0025】
図5は、700℃の環境での湿式酸化における、Ge含有量が0.28および0.36であるSiGe合金の酸化速度のグラフであり、バルクシリコンの酸化速度と比較している。このグラフから、このような条件下では、膜のGe含有が増加するにつれてSiGeの酸化速度が増すことが明らかである。
【0026】
図6は、同様なグラフであり、Si0.7Ge0.3合金とSi/Si0.7Ge0.3ヘテロ構造の両方の酸化物厚さを示している。また、酸化条件は、湿環境において700℃であったが、図6は、図5に比べて酸化継続時間が非常に短いことを示している。Si/Si0.7Ge0.3ヘテロ構造は、50Å歪みSi埋め込み層、続く30ÅSi0.7Ge0.3、20Å歪みSi層、最後に50ÅSi0.7Ge0.3キャップ層からなる。
【0027】
Si/Si0.7Ge0.3ヘテロ構造の断面透過電子顕微鏡写真(XTEM)を図7に示す。なお、図6によると、ヘテロ構造には歪みSi層があるため、均一なSi0.7Ge0.3の酸化速度に比べて、酸化速度が劇的に遅くなっている。この酸化速度の遅延が、歪みSiエピタキシャル層を覆うSiGe合金の選択的除去の根拠になっている。
【0028】
図8は、700℃で2分間湿式酸化後にウエットエッチングにより酸化物除去した後の、同様なSi/Si0.7Ge0.3ヘテロ構造のXTEM画像である。薄い歪みSi層が選択的酸化の影響を受けず、完全に無傷で残っていることが分かる。図5に示したデータに基づくと、2分という酸化継続時間は、ヘテロ構造の50ÅSi0.7Ge0.3キャップ層を完全に酸化するのに必要な時間を遥かに超える。非常に細く暗い帯域は、歪みSi層の表面に見えるが、酸化の際に発生する、雪かきされた状態(snow−plowed)の高Ge含有層である。そのような層は、単純化学洗浄または犠牲酸化工程を用いて除去してもよい。そのどちらかまたは両方とも、通例ゲート酸化物の形成の前に行われる。
【0029】
あるいは、保護SiGeキャップ層は、選択ドライまたはウエット化学エッチング手法により除去することが可能である。例えば、高圧(>200mT)、小電力で、CFドライエッチング化学反応により、Siに対して高選択度で緩和SiGe膜をエッチングする。フッ化水素酸(HF)、過酸化水素水(H)、酢酸(CHCOOH)の混合液も、300:1以上の選択度でSiを覆う緩和SiGe層を選択的にエッチングする。他にも選択湿式化合液として、HF、水(HO)、Hか硫酸(HNO)のどちらか、が挙げられる。
【0030】
また、構造全体の安定性は、中間SiGe層のGe濃度、また必要な場合は上部SiGe層のGe濃度も上げることにより、高めることができる。以下、エネルギ計算を用いて、ミスフィット転位生成に対して安定性を増す半導体層構造作成の指針を示す。
【0031】
緩和SiGeと歪みSiとを用いた埋め込みチャネルMOSFETの臨界厚さは、エネルギ・バランス式を用いて決められている。この構造の1つとして、図9に示したものが考えられる。構造900は、30%SiGe仮想基板902の上部が、80Å歪みSi層904と、Ge濃度x2、厚さh2を有するSiGe層906と、付加30Å歪みSi908とに覆われている。付加安定性は、前述したように、付加SiGeキャップ層の付加によるものである。つまり、図9の例は、SiGe中間層のGe濃度(x2)または厚さ(h2)を増加することにより安定性を増すことのみを考慮している。また、SiGeキャップ層が加工の際に除去されるため、SiGeキャップを除去したヘテロ構造の安定性は最も重要である。
【0032】
素子加工の際、緩和仮想基板に対する構造全体の臨界厚さを考慮に入れなければならない。それぞれの臨界厚さを超える各層が明らかに除外されるということではなく、当技術に従事する者が、所望の構造に挿入する層がどれもそれぞれの層の臨界厚さを超えないことを確認しなければならないことになる。言い換えれば、以下の計算では、この構造内の各層は緩和バッファに対して臨界厚さ未満であることを前提としている。
【0033】
数式の1つのかぎは、この計算が、層複合物の塑性変形δに対してなされるべきであることを理解することである。そして、転位配列エネルギは、層構造に関係なく、同一式である。それぞれの層内の弾性エネルギは、δにより変化する。引張層では、δにより歪みが低下する。圧縮層では、δによりエネルギが上昇する。
【0034】
従って、複合物の基部に生じた転位配列に対するエネルギ(単位面積当り)は、
【数1】
Figure 2004519090
ここで、hは、複合物の厚さの合計(h+h+h)、αは、転位線とバーガースベクトルbとの間の角度、νは、ポアソン比、Dは、仮想基板と複合物構造との間の界面にある転位に対する平均剪断弾性係数である。
【0035】
全層における合計弾性エネルギ(単位面積当り)は、
【数2】
Figure 2004519090
ここで、Yは、ヤング係数である。従って、システムの全エネルギは、
【数3】
Figure 2004519090
である。
【0036】
エネルギは、ここで、δに対して最小にできる(エネルギが、転位がなく最低の場合、δは0値以下になる)。そして、塑性変形の値は(3層の例で)、
【数4】
Figure 2004519090
【0037】
この解決法の考察で以下のことが明らかになった。任意の構造の一般式は(n層の任意の構造で)、
【数5】
Figure 2004519090
ここで、fは、圧縮層には負の値、引張層には正の値を代入し、hは、構造の厚さ合計である。
【数6】
Figure 2004519090
【0038】
塑性変形と得られたミスフィット転位スペーシングSの量を図9に示した構造に対して計算すると次のようになった。
下部歪みSi層厚さh1=80Å
上部歪みSi層厚さh3=30Å
中間SiGe層厚さh2可変
中間SiGe層Ge濃度x2可変
仮想基板Ge濃度、30%
【0039】
図10は、中間SiGe層厚さ(h2)と得られたミスフィット転位スペーシングのプロットを示すグラフである。プロットにおける急峻な上昇は、複合物構造全体が不安定になり、チャネル/仮想バッファ界面に転位を生じる時の、中間SiGe層の臨界厚さh2を表している。他の曲線は、第2の層h2における他の組成に対するものである。Geの極僅かな上昇が、素子層の安定性の大幅な急上昇につながっている。これは、著しく層を安定させることができるが、バンド構造をそれ程変更する必要はないということを示唆している。余剰5〜10%Geをh2層に付加すると、安定性が劇的に向上する。例えば、図10が示すように、45%Ge含有20Å層の安定性を与えるには、100Åを超える30%Geが必要である。
【0040】
h2を増加させることにより、h2層が仮想バッファに対して格子整合であっても、多層構造の安定性が向上する。上記の式において、その効果は、h2において圧縮歪みが生成された時よりも、かなり弱いことが分かる。仮想バッファに対する格子整合によりf2が0の場合、増加h2により向上した安定性は、hが増加するためδを減少させる(とともにSを増加させる)、ということから生じている。
【0041】
これらの計算はすべて平衡計算であり、当然、これらの数字が控えめであるということは理解できよう。一方、これらの層が、臨界厚さにおいて曲がる貫通転位を多数有し、そのためミスフィット転位発生の供給源が多数あるということも理解できよう。
【0042】
犠牲SiGeキャップ層は、加工の際に薄い歪み素子層を保護する革新的な方法を提供する。そのような層はこの非常に大切な歪みチャネル層を、表面材料を消費する湿式化学洗浄および酸化などのプロセス工程から保護する。ゲート誘電体を成長または堆積する前に、これらの保護SiGe層を、酸化またはウエットエッチングなどの標準プロセスにより選択的に除去し、無傷の歪み素子層を出現させることができる。また、ミスフィット転位による緩和を防止するような歪み層スタックの設計指針も提示する。圧縮歪み中間層は、引張チャネル層の安定性を向上させるとともに、下地層へのミスフィット転位の進出に対するバリアとしても機能する。
【0043】
本発明は、いくつかの好適な実施形態について図示、説明してきたが、その技術的思想および範囲を逸脱しない限り、種々の変更、態様および詳細の省略および付加が可能である。
【図面の簡単な説明】
【図1A】それぞれ、歪みSi表面および埋め込みチャネル素子の模式図の断面である。
【図1B】それぞれ、歪みSi表面および埋め込みチャネル素子の模式図の断面である。
【図2A】本発明による、それぞれ、表面チャネルおよび埋め込みチャネル歪みMOS用出発ヘテロ構造の模式図の断面である。
【図2B】本発明による、それぞれ、表面チャネルおよび埋め込みチャネル歪みMOS用出発ヘテロ構造の模式図の断面である。
【図3A】歪み表面チャネルMOS素子のためのプロセス手順を示す模式図である。
【図3B】歪み表面チャネルMOS素子のためのプロセス手順を示す模式図である。
【図3C】歪み表面チャネルMOS素子のためのプロセス手順を示す模式図である。
【図3D】歪み表面チャネルMOS素子のためのプロセス手順を示す模式図である。
【図4A】埋め込みチャネル素子用ゲート構造を利用したプロセス手順を示す模式図である。
【図4B】埋め込みチャネル素子用ゲート構造を利用したプロセス手順を示す模式図である。
【図4C】埋め込みチャネル素子用ゲート構造を利用したプロセス手順を示す模式図である。
【図4D】埋め込みチャネル素子用ゲート構造を利用したプロセス手順を示す模式図である。
【図5】700℃の環境での湿式酸化における、Ge含有量が0.28および0.36であるSiGe合金の酸化速度のグラフであり、バルクシリコンの酸化速度と比較している。
【図6】Si0.7Ge0.3合金とSi/Si0.7Ge0.3ヘテロ構造の両方の酸化物厚さを示すグラフである。
【図7】Si/Si0.7Ge0.3ヘテロ構造の断面透過電子顕微鏡写真(XTEM)である。
【図8】湿式酸化後にウエットエッチングにより酸化物除去した後の、同じSi/Si0.7Ge0.3ヘテロ構造のXTEM画像である。
【図9】本発明による緩和SiGeと歪みSiを用いた、埋め込みチャネルMOSFET用構造である。
【図10】中間SiGe層厚さ(h2)と得られたミスフィット転位スペーシングのプロットを示すグラフである。

Claims (75)

  1. 半導体構造であって、
    基板上の緩和Si1−xGe層と、
    該緩和Si1−xGe層上の歪みチャネル層と、
    犠牲Si1−yGe層と、
    を備えることを特徴とする半導体構造。
  2. 請求項1に記載の構造において、前記犠牲Si1−yGe層が、誘電体層を設ける前に除去されることを特徴とする構造。
  3. 請求項2に記載の構造において、前記誘電体層が、MISFETのゲート誘電体からなることを特徴とする構造。
  4. 請求項3に記載の構造において、ゲート誘電体が、酸化物からなることを特徴とする構造。
  5. 請求項3に記載の構造において、ゲート誘電体が、堆積されていることを特徴とする構造。
  6. 請求項3に記載の構造において、MISFETが、表面チャネル素子からなることを特徴とする構造。
  7. 請求項3に記載の構造において、MISFETが、埋め込みチャネル素子からなることを特徴とする構造。
  8. 請求項1に記載の構造において、歪みチャネルが、Siからなることを特徴とする構造。
  9. 請求項1に記載の構造において、xがほぼyに等しいことを特徴とする構造。
  10. 請求項9に記載の構造であって、さらに、前記犠牲Si1−yGe層上の犠牲Si層を備えることを特徴とする構造。
  11. 請求項1に記載の構造において、y>xであることを特徴とする構造。
  12. 請求項11に記載の構造であって、さらに、前記犠牲Si1−yGe層上の犠牲Si層を備えることを特徴とする構造。
  13. 請求項12に記載の構造において、犠牲Si層の厚さが、臨界厚さより厚いことを特徴とする構造。
  14. 請求項1に記載の構造において、基板が、Siからなることを特徴とする構造。
  15. 請求項1に記載の構造において、基板が、SiOの層を備えたSiからなることを特徴とする構造。
  16. 請求項1に記載の構造において、基板が、Si上のSiGe傾斜バッファ層からなることを特徴とする構造。
  17. 請求項1に記載の構造であって、さらに、Si1−wGeスペーサ層を備えることを特徴とする構造。
  18. 請求項17に記載の構造において、前記犠牲Si1−yGe層が、誘電体層を設ける前に除去されることを特徴とする構造。
  19. 請求項18に記載の構造において、前記誘電体層が、MISFETのゲート誘電体からなることを特徴とする構造。
  20. 請求項19に記載の構造において、ゲート誘電体が、酸化物からなることを特徴とする構造。
  21. 請求項19に記載の構造において、ゲート誘電体が、堆積されていることを特徴とする構造。
  22. 請求項19に記載の構造において、MISFETが、埋め込みチャネル素子からなることを特徴とする構造。
  23. 請求項17に記載の構造において、歪みチャネルが、Siからなることを特徴とする構造。
  24. 請求項17に記載の構造において、wがほぼyに等しいことを特徴とする構造。
  25. 請求項24に記載の構造であって、さらに、前記犠牲Si1−yGe層上の犠牲Si層を備えることを特徴とする構造。
  26. 請求項17に記載の構造において、y>wであることを特徴とする構造。
  27. 請求項26に記載の構造であって、さらに、前記犠牲Si1−yGe層上の犠牲Si層を備えることを特徴とする構造。
  28. 請求項27に記載の構造において、犠牲Si層の厚さが、臨界厚さより厚いことを特徴とする構造。
  29. 請求項17に記載の構造において、基板が、Siからなることを特徴とする構造。
  30. 請求項17に記載の構造において、基板が、SiOの層を備えたSiからなることを特徴とする構造。
  31. 請求項17に記載の構造において、基板が、Si上のSiGe傾斜バッファ層からなることを特徴とする構造。
  32. 請求項1に記載の構造であって、さらに、Si1−wGeスペーサ層とSi層とを備えることを特徴とする構造。
  33. 請求項32に記載の構造において、前記犠牲Si1−yGe層が、誘電体層を設ける前に除去されることを特徴とする構造。
  34. 請求項33に記載の構造において、前記誘電体層が、MISFETのゲート誘電体からなることを特徴とする構造。
  35. 請求項34に記載の構造において、ゲート誘電体が、前記Si層を酸化して設けた酸化物からなることを特徴とする構造。
  36. 請求項1に記載の構造において、前記半導体構造の安定性を高めるために、yが、xより大きく構成されていることを特徴とする構造。
  37. 半導体素子の製造方法であって、
    半導体へテロ構造を設けるステップであって、前記へテロ構造が、基板上の緩和Si1−xGe層と、該緩和Si1−xGe層上の歪みチャネル層と、Si1−yGe層とからなる、半導体へテロ構造を設けるステップと、
    前記Si1−yGe層を除去するステップと、
    誘電体層を設けるステップと、
    を含むことを特徴とする半導体素子の製造方法。
  38. 請求項37に記載の方法において、前記Si1−yGe層を選択的手法により除去することを特徴とする方法。
  39. 請求項38に記載の方法において、前記選択的手法が、750℃未満の湿式酸化であることを特徴とする方法。
  40. 請求項38に記載の方法において、前記選択的手法が、ウエットまたはドライ化学エッチングであることを特徴とする方法。
  41. 請求項37に記載の方法において、前記誘電体層が、MISFETのゲート誘電体からなることを特徴とする方法。
  42. 請求項41に記載の方法において、ゲート誘電体が、酸化物からなることを特徴とする方法。
  43. 請求項41に記載の方法において、ゲート誘電体が、堆積されていることを特徴とする方法。
  44. 請求項41に記載の方法において、MISFETが、表面チャネル素子からなることを特徴とする方法。
  45. 請求項41に記載の方法において、MISFETが、埋め込みチャネル素子からなることを特徴とする方法。
  46. 請求項37に記載の方法において、歪みチャネルが、Siからなることを特徴とする方法。
  47. 請求項37に記載の方法において、xがほぼyに等しいことことを特徴とする方法。
  48. 請求項47に記載の方法であって、さらに、前記犠牲Si1−yGe層上の犠牲Si層を備えることを特徴とする方法。
  49. 請求項37に記載の方法において、y>xであることを特徴とする方法。
  50. 請求項49に記載の方法であって、さらに、前記犠牲Si1−yGe層上の犠牲Si層を備えることを特徴とする方法。
  51. 請求項50に記載の方法において、犠牲Si層の厚さが、臨界厚さより厚いことを特徴とする方法。
  52. 請求項37に記載の方法において、基板が、Siからなることを特徴とする方法。
  53. 請求項37に記載の方法において、基板が、SiOの層を備えたSiからなることを特徴とする方法。
  54. 請求項37に記載の方法において、基板が、Si上のSiGe傾斜バッファ層からなることを特徴とする方法。
  55. 請求項37に記載の方法において、半導体素子が、MISFETからなることを特徴とする方法。
  56. 請求項37に記載の方法において、前記Si1−yGe層を除去して前記歪みチャネル層を露出することを特徴とする方法。
  57. 請求項37に記載の方法において、前記ヘテロ構造が、さらに、Si1−wGeスペーサ層を備えることを特徴とする方法。
  58. 請求項57に記載の方法において、前記誘電体層が、MISFETのゲート誘電体からなることを特徴とする方法。
  59. 請求項58に記載の方法において、ゲート誘電体が、酸化物からなることを特徴とする方法。
  60. 請求項58に記載の方法において、ゲート誘電体が、堆積されていることを特徴とする方法。
  61. 請求項58に記載の方法において、MISFETが、埋め込みチャネル素子からなることを特徴とする方法。
  62. 請求項57に記載の方法において、歪みチャネルが、Siからなることを特徴とする方法。
  63. 請求項57に記載の方法において、yがほぼwに等しいことを特徴とする方法。
  64. 請求項63に記載の方法であって、さらに、前記犠牲Si1−yGe層上の犠牲Si層を備えることを特徴とする方法。
  65. 請求項57に記載の方法において、w>yであることを特徴とする方法。
  66. 請求項65に記載の方法であって、さらに、前記犠牲Si1−yGe層上の犠牲Si層を備えることを特徴とする方法。
  67. 請求項66に記載の方法において、犠牲Si層の厚さが、臨界厚さより厚いことを特徴とする方法。
  68. 請求項57に記載の方法において、基板が、Siからなることを特徴とする方法。
  69. 請求項57に記載の方法において、基板が、SiOの層を備えたSiからなることを特徴とする方法。
  70. 請求項57に記載の方法において、基板が、Si上のSiGe傾斜バッファ層からなることを特徴とする方法。
  71. 請求項57に記載の方法において、半導体素子が、MISFETからなることを特徴とする方法。
  72. 半導体素子の製造方法であって、
    半導体へテロ構造を設けるステップであって、前記へテロ構造が、基板上の緩和Si1−xGe層と、該緩和Si1−xGe層上の歪みチャネル層と、Si1−yGeスペーサ層と、Si層と、Si1−wGe層とからなる、半導体へテロ構造を設けるステップと、
    前記Si1−wGe層を除去して前記Si層を露出するステップと、
    誘電体層を設けるステップと、
    を含むことを特徴とする半導体素子の製造方法。
  73. 半導体素子の製造方法であって、
    半導体へテロ構造を設けるステップであって、前記へテロ構造が、基板上の緩和Si1−xGe層と、該緩和Si1−xGe層上の歪みチャネル層と、Si1−yGeスペーサ層と、Si層と、Si1−wGe層とからなる、半導体へテロ構造を設けるステップと、
    前記Si1−wGe層を除去して前記Si層を露出するステップと、
    前記Si層を酸化するステップと、
    を含むことを特徴とする半導体素子の製造方法。
  74. 請求項73に記載の方法において、半導体素子が、MOSFETからなることを特徴とする方法。
  75. 請求項73に記載の方法において、半導体素子が、埋め込みチャネルMOSFETからなることを特徴とする方法。
JP2002518522A 2000-08-07 2001-08-06 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術 Pending JP2004519090A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22359500P 2000-08-07 2000-08-07
PCT/US2001/024614 WO2002013262A2 (en) 2000-08-07 2001-08-06 Gate technology for strained surface channel and strained buried channel mosfet devices

Publications (2)

Publication Number Publication Date
JP2004519090A true JP2004519090A (ja) 2004-06-24
JP2004519090A5 JP2004519090A5 (ja) 2005-12-22

Family

ID=22837179

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002518522A Pending JP2004519090A (ja) 2000-08-07 2001-08-06 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術

Country Status (5)

Country Link
US (5) US20020104993A1 (ja)
EP (1) EP1307917A2 (ja)
JP (1) JP2004519090A (ja)
AU (1) AU2001283138A1 (ja)
WO (1) WO2002013262A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006511096A (ja) * 2002-10-30 2006-03-30 アンバーウェーブ システムズ コーポレイション Cmosプロセス中に歪み半導基板層を保護する方法
JP2006512766A (ja) * 2002-12-31 2006-04-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 厚い歪みシリコン層を形成する方法、および厚い歪みシリコン層を組み込んだ半導体構造
JP2007516606A (ja) * 2003-05-30 2007-06-21 インターナショナル・ビジネス・マシーンズ・コーポレーション 選択されたパラメータを有するSiGeオン・インシュレータ(SGOI)基板を製作する方法(酸化、薄型化、およびエピタキシャル再成長の組み合わせを使用するSiGe格子工学)
KR20190122832A (ko) * 2017-04-11 2019-10-30 엔테그리스, 아이엔씨. 실리콘에 비해 실리콘-게르마늄을 선택적으로 에칭하기 위한 배합물

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
ATE283549T1 (de) * 1997-06-24 2004-12-15 Massachusetts Inst Technology Kontrolle der verspannungsdichte durch verwendung von gradientenschichten und durch planarisierung
US7227176B2 (en) * 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
US6503773B2 (en) * 2000-01-20 2003-01-07 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
WO2002013262A2 (en) * 2000-08-07 2002-02-14 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
DE60125952T2 (de) * 2000-08-16 2007-08-02 Massachusetts Institute Of Technology, Cambridge Verfahren für die herstellung eines halbleiterartikels mittels graduellem epitaktischen wachsen
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
WO2002071488A1 (en) * 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog circuits
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6900103B2 (en) 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6593641B1 (en) * 2001-03-02 2003-07-15 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
WO2002071493A2 (en) * 2001-03-02 2002-09-12 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed cmos electronics and high speed analog
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6646322B2 (en) 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
AU2002322105A1 (en) * 2001-06-14 2003-01-02 Amberware Systems Corporation Method of selective removal of sige alloys
WO2003001671A2 (en) 2001-06-21 2003-01-03 Amberwave Systems Corporation Improved enhancement of p-type metal-oxide-semiconductor field-effect transistors
EP1415331A2 (en) 2001-08-06 2004-05-06 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US7138649B2 (en) 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
AU2002349881A1 (en) 2001-09-21 2003-04-01 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
WO2003028106A2 (en) 2001-09-24 2003-04-03 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
JP3970011B2 (ja) * 2001-12-11 2007-09-05 シャープ株式会社 半導体装置及びその製造方法
US7060632B2 (en) * 2002-03-14 2006-06-13 Amberwave Systems Corporation Methods for fabricating strained layers on semiconductor substrates
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
WO2003105204A2 (en) 2002-06-07 2003-12-18 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6946371B2 (en) 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US6680496B1 (en) * 2002-07-08 2004-01-20 Amberwave Systems Corp. Back-biasing to populate strained layer quantum wells
US6841457B2 (en) * 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6646318B1 (en) * 2002-08-15 2003-11-11 National Semiconductor Corporation Bandgap tuned vertical color imager cell
EP2267762A3 (en) * 2002-08-23 2012-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US6878610B1 (en) * 2002-08-27 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Relaxed silicon germanium substrate with low defect density
US20040137698A1 (en) * 2002-08-29 2004-07-15 Gianni Taraschi Fabrication system and method for monocrystaline semiconductor on a substrate
US6963078B2 (en) * 2003-03-15 2005-11-08 International Business Machines Corporation Dual strain-state SiGe layers for microelectronics
US20040206951A1 (en) * 2003-04-18 2004-10-21 Mirabedini Mohammad R. Ion implantation in channel region of CMOS device for enhanced carrier mobility
US6982229B2 (en) * 2003-04-18 2006-01-03 Lsi Logic Corporation Ion recoil implantation and enhanced carrier mobility in CMOS device
KR100679737B1 (ko) * 2003-05-19 2007-02-07 도시바세라믹스가부시키가이샤 왜곡층을 가지는 실리콘기판의 제조방법
US7208362B2 (en) * 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
US7176041B2 (en) * 2003-07-01 2007-02-13 Samsung Electronics Co., Ltd. PAA-based etchant, methods of using same, and resultant structures
US7164182B2 (en) 2003-07-07 2007-01-16 Micron Technology, Inc. Pixel with strained silicon layer for improving carrier mobility and blue response in imagers
US6803240B1 (en) * 2003-09-03 2004-10-12 International Business Machines Corporation Method of measuring crystal defects in thin Si/SiGe bilayers
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
TWI242237B (en) * 2003-10-16 2005-10-21 Ind Tech Res Inst A manufacturing method and structure for relation strained sige
US6955932B2 (en) * 2003-10-29 2005-10-18 International Business Machines Corporation Single and double-gate pseudo-FET devices for semiconductor materials evaluation
US7370787B2 (en) * 2003-12-15 2008-05-13 Pratt & Whitney Canada Corp. Compressor rotor and method for making
US7244654B2 (en) * 2003-12-31 2007-07-17 Texas Instruments Incorporated Drive current improvement from recessed SiGe incorporation close to gate
US7224007B1 (en) * 2004-01-12 2007-05-29 Advanced Micro Devices, Inc. Multi-channel transistor with tunable hot carrier effect
US7166522B2 (en) * 2004-01-23 2007-01-23 Chartered Semiconductor Manufacturing Ltd. Method of forming a relaxed semiconductor buffer layer on a substrate with a large lattice mismatch
US6995078B2 (en) * 2004-01-23 2006-02-07 Chartered Semiconductor Manufacturing Ltd. Method of forming a relaxed semiconductor buffer layer on a substrate with a large lattice mismatch
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
JP3884439B2 (ja) * 2004-03-02 2007-02-21 株式会社東芝 半導体装置
US7018882B2 (en) * 2004-03-23 2006-03-28 Sharp Laboratories Of America, Inc. Method to form local “silicon-on-nothing” or “silicon-on-insulator” wafers with tensile-strained silicon
FR2872626B1 (fr) * 2004-07-05 2008-05-02 Commissariat Energie Atomique Procede pour contraindre un motif mince
US7060579B2 (en) * 2004-07-29 2006-06-13 Texas Instruments Incorporated Increased drive current by isotropic recess etch
UA93356C2 (ru) * 2004-08-03 2011-02-10 Tpahctek Фарма, Инк. Белки слияния ha ochobe rage и способы их использования
US20060105559A1 (en) * 2004-11-15 2006-05-18 International Business Machines Corporation Ultrathin buried insulators in Si or Si-containing material
US7547605B2 (en) * 2004-11-22 2009-06-16 Taiwan Semiconductor Manufacturing Company Microelectronic device and a method for its manufacture
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7479431B2 (en) * 2004-12-17 2009-01-20 Intel Corporation Strained NMOS transistor featuring deep carbon doped regions and raised donor doped source and drain
US7687383B2 (en) * 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US20060234455A1 (en) * 2005-04-19 2006-10-19 Chien-Hao Chen Structures and methods for forming a locally strained transistor
JP2007036134A (ja) * 2005-07-29 2007-02-08 Toshiba Corp 半導体ウェーハ及び半導体装置の製造方法
US7348225B2 (en) * 2005-10-27 2008-03-25 International Business Machines Corporation Structure and method of fabricating FINFET with buried channel
US20070105516A1 (en) * 2005-11-10 2007-05-10 Hickman Barton T Automatic compensation of gain versus temperature
WO2007078802A2 (en) * 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
US8900980B2 (en) * 2006-01-20 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-free SiGe source/drain formation by epitaxy-free process
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US9252981B2 (en) * 2006-06-13 2016-02-02 At&T Intellectual Property I, L.P. Method and apparatus for processing a communication request from a roaming voice over IP terminal
US7989901B2 (en) * 2007-04-27 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with improved source/drain regions with SiGe
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
FR2921515B1 (fr) * 2007-09-25 2010-07-30 Commissariat Energie Atomique Procede de fabrication de structures semiconductrices utiles pour la realisation de substrats semiconducteur- sur-isolant, et ses applications.
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US8453913B2 (en) 2009-02-06 2013-06-04 Covidien Lp Anvil for surgical stapler
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9059321B2 (en) 2012-05-14 2015-06-16 International Business Machines Corporation Buried channel field-effect transistors
US9041062B2 (en) 2013-09-19 2015-05-26 International Business Machines Corporation Silicon-on-nothing FinFETs
CN103940885B (zh) * 2014-03-18 2017-11-28 复旦大学 离子敏感场效应晶体管及其制备工艺
US20160141360A1 (en) 2014-11-19 2016-05-19 International Business Machines Corporation Iii-v semiconductor devices with selective oxidation
US9899274B2 (en) 2015-03-16 2018-02-20 International Business Machines Corporation Low-cost SOI FinFET technology
US9443953B1 (en) 2015-08-24 2016-09-13 International Business Machines Corporation Sacrificial silicon germanium channel for inversion oxide thickness scaling with mitigated work function roll-off and improved negative bias temperature instability
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
KR20180068591A (ko) 2016-12-14 2018-06-22 삼성전자주식회사 식각용 조성물 및 이를 이용한 반도체 장치 제조 방법
KR102480348B1 (ko) 2018-03-15 2022-12-23 삼성전자주식회사 실리콘게르마늄 식각 전의 전처리 조성물 및 이를 이용한 반도체 장치의 제조 방법
CN109950153B (zh) * 2019-03-08 2022-03-04 中国科学院微电子研究所 半导体结构与其制作方法

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3542482A1 (de) * 1985-11-30 1987-06-04 Licentia Gmbh Modulationsdotierter feldeffekttransistor
US4920076A (en) * 1988-04-15 1990-04-24 The United States Of America As Represented By The United States Department Of Energy Method for enhancing growth of SiO2 in Si by the implantation of germanium
DE3816358A1 (de) * 1988-05-13 1989-11-23 Eurosil Electronic Gmbh Nichtfluechtige speicherzelle und verfahren zur herstellung
US4958318A (en) * 1988-07-08 1990-09-18 Eliyahou Harari Sidewall capacitor DRAM cell
US5241197A (en) * 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US5312766A (en) * 1991-03-06 1994-05-17 National Semiconductor Corporation Method of providing lower contact resistance in MOS transistors
US5442205A (en) * 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5166084A (en) * 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
US5291439A (en) * 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
JPH05121317A (ja) * 1991-10-24 1993-05-18 Rohm Co Ltd Soi構造形成方法
US5467305A (en) * 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5212110A (en) * 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5386132A (en) * 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
US5418743A (en) * 1992-12-07 1995-05-23 Nippon Steel Corporation Method of writing into non-volatile semiconductor memory
US5523592A (en) * 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
US5792679A (en) * 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
US5461243A (en) * 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
US5534713A (en) * 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5777347A (en) * 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US5920088A (en) * 1995-06-16 1999-07-06 Interuniversitair Micro-Electronica Centrum (Imec Vzw) Vertical MISFET devices
DE19533313A1 (de) * 1995-09-08 1997-03-13 Max Planck Gesellschaft Halbleiterstruktur für einen Transistor
JP3403877B2 (ja) * 1995-10-25 2003-05-06 三菱電機株式会社 半導体記憶装置とその製造方法
JP3217015B2 (ja) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション 電界効果トランジスタの形成方法
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
DE59707274D1 (de) * 1996-09-27 2002-06-20 Infineon Technologies Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US5780922A (en) * 1996-11-27 1998-07-14 The Regents Of The University Of California Ultra-low phase noise GE MOSFETs
US5808344A (en) * 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
EP0867701A1 (en) 1997-03-28 1998-09-30 Interuniversitair Microelektronica Centrum Vzw Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
DE19720008A1 (de) * 1997-05-13 1998-11-19 Siemens Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
ATE283549T1 (de) * 1997-06-24 2004-12-15 Massachusetts Inst Technology Kontrolle der verspannungsdichte durch verwendung von gradientenschichten und durch planarisierung
US5936274A (en) * 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US5981400A (en) * 1997-09-18 1999-11-09 Cornell Research Foundation, Inc. Compliant universal substrate for epitaxial growth
US5963817A (en) * 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6154475A (en) * 1997-12-04 2000-11-28 The United States Of America As Represented By The Secretary Of The Air Force Silicon-based strain-symmetrized GE-SI quantum lasers
JP3447939B2 (ja) * 1997-12-10 2003-09-16 株式会社東芝 不揮発性半導体メモリ及びデータ読み出し方法
FR2773177B1 (fr) * 1997-12-29 2000-03-17 France Telecom Procede d'obtention d'une couche de germanium ou silicium monocristallin sur un substrat de silicium ou germanium monocristallin, respectivement, et produits multicouches obtenus
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6689211B1 (en) * 1999-04-09 2004-02-10 Massachusetts Institute Of Technology Etch stop layer system
JP4258034B2 (ja) * 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
JP3403076B2 (ja) * 1998-06-30 2003-05-06 株式会社東芝 半導体装置及びその製造方法
JP2000031491A (ja) * 1998-07-14 2000-01-28 Hitachi Ltd 半導体装置,半導体装置の製造方法,半導体基板および半導体基板の製造方法
US6130453A (en) * 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
US6162688A (en) 1999-01-14 2000-12-19 Advanced Micro Devices, Inc. Method of fabricating a transistor with a dielectric underlayer and device incorporating same
US6210988B1 (en) 1999-01-15 2001-04-03 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
US6074919A (en) 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
JP3595718B2 (ja) * 1999-03-15 2004-12-02 株式会社東芝 表示素子およびその製造方法
US6103559A (en) 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
US6251755B1 (en) * 1999-04-22 2001-06-26 International Business Machines Corporation High resolution dopant/impurity incorporation in semiconductors via a scanned atomic force probe
US6151248A (en) * 1999-06-30 2000-11-21 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared by adjacent cells
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
US6559040B1 (en) * 1999-10-20 2003-05-06 Taiwan Semiconductor Manufacturing Company Process for polishing the top surface of a polysilicon gate
US6249022B1 (en) * 1999-10-22 2001-06-19 United Microelectronics Corp. Trench flash memory with nitride spacers for electron trapping
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
DE10025264A1 (de) * 2000-05-22 2001-11-29 Max Planck Gesellschaft Feldeffekt-Transistor auf der Basis von eingebetteten Clusterstrukturen und Verfahren zu seiner Herstellung
JP3546169B2 (ja) * 2000-05-26 2004-07-21 三菱重工業株式会社 半導体装置及びその製造方法
WO2002013262A2 (en) * 2000-08-07 2002-02-14 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6649480B2 (en) * 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
AU2002228779A1 (en) * 2000-12-04 2002-06-18 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
KR100391988B1 (ko) * 2001-02-09 2003-07-22 삼성전자주식회사 디램 셀 및 그 제조방법
US6646322B2 (en) * 2001-03-02 2003-11-11 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6724008B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6531324B2 (en) * 2001-03-28 2003-03-11 Sharp Laboratories Of America, Inc. MFOS memory transistor & method of fabricating same
US6603156B2 (en) * 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
US6468869B1 (en) * 2001-05-11 2002-10-22 Macronix International Co., Ltd. Method of fabricating mask read only memory
AU2002322105A1 (en) * 2001-06-14 2003-01-02 Amberware Systems Corporation Method of selective removal of sige alloys
US7301180B2 (en) * 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
WO2003001671A2 (en) * 2001-06-21 2003-01-03 Amberwave Systems Corporation Improved enhancement of p-type metal-oxide-semiconductor field-effect transistors
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US6541321B1 (en) * 2002-05-14 2003-04-01 Advanced Micro Devices, Inc. Method of making transistors with gate insulation layers of differing thickness
US7169226B2 (en) * 2003-07-01 2007-01-30 International Business Machines Corporation Defect reduction by oxidation of silicon

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006511096A (ja) * 2002-10-30 2006-03-30 アンバーウェーブ システムズ コーポレイション Cmosプロセス中に歪み半導基板層を保護する方法
JP2006512766A (ja) * 2002-12-31 2006-04-13 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 厚い歪みシリコン層を形成する方法、および厚い歪みシリコン層を組み込んだ半導体構造
JP2007516606A (ja) * 2003-05-30 2007-06-21 インターナショナル・ビジネス・マシーンズ・コーポレーション 選択されたパラメータを有するSiGeオン・インシュレータ(SGOI)基板を製作する方法(酸化、薄型化、およびエピタキシャル再成長の組み合わせを使用するSiGe格子工学)
KR20190122832A (ko) * 2017-04-11 2019-10-30 엔테그리스, 아이엔씨. 실리콘에 비해 실리콘-게르마늄을 선택적으로 에칭하기 위한 배합물
JP2020517108A (ja) * 2017-04-11 2020-06-11 インテグリス・インコーポレーテッド シリコンに対してシリコンゲルマニウムを選択的にエッチングするための配合物
KR102352628B1 (ko) 2017-04-11 2022-01-18 엔테그리스, 아이엔씨. 실리콘에 비해 실리콘-게르마늄을 선택적으로 에칭하기 위한 배합물
US11875997B2 (en) 2017-04-11 2024-01-16 Entegris, Inc. Formulations to selectively etch silicon-germanium relative to silicon

Also Published As

Publication number Publication date
US20030207571A1 (en) 2003-11-06
US20070082470A1 (en) 2007-04-12
EP1307917A2 (en) 2003-05-07
US20020104993A1 (en) 2002-08-08
US6846715B2 (en) 2005-01-25
AU2001283138A1 (en) 2002-02-18
US20050202640A1 (en) 2005-09-15
US6583015B2 (en) 2003-06-24
WO2002013262A3 (en) 2002-05-02
WO2002013262A2 (en) 2002-02-14
US7217668B2 (en) 2007-05-15
US20020068393A1 (en) 2002-06-06

Similar Documents

Publication Publication Date Title
JP2004519090A (ja) 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
TWI253716B (en) Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
JP5372493B2 (ja) デュアル歪みチャネル半導体デバイスを製造する方法
JP4318093B2 (ja) 歪シリコン・オン・インシュレータ構造の製造方法
US7238989B2 (en) Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement
TWI254355B (en) Strained transistor with hybrid-strain inducing layer
TWI344209B (en) Strained si mosfet on tensile-strained sige-on-insulator (sgoi)
US7892927B2 (en) Transistor with a channel comprising germanium
JP5182703B2 (ja) 半導体装置
US7754008B2 (en) Method of forming dislocation-free strained thin films
US8569146B2 (en) Isolation structure for strained channel transistors
US7462525B2 (en) Enhancement of electron and hole mobilities in <110> Si under biaxial compressive strain
JP2005277420A (ja) テンシル歪み有する局所「Silicon―On―Nothing」ウエーハもしくは「Silicon―On―Insulator」を形成する方法
EP1397832A2 (en) Method for isolating semiconductor devices
TWI415261B (zh) 用於提昇內嵌矽碳之n型金氧半場效電晶體之效能的凸起淺溝構隔離結構及超鑲嵌技術
JP3648466B2 (ja) 電界効果トランジスタ、半導体基板、電界効果トランジスタの製造方法及び半導体基板の製造方法
US20050070070A1 (en) Method of forming strained silicon on insulator
JP2011009580A (ja) 半導体装置の製造方法
CN101002327A (zh) 双轴压缩应变下的<110>硅中的电子和空穴迁移率的增强

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040611

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040611

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20050708

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20050728

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050804

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080115

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080902