JP2003100769A - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法

Info

Publication number
JP2003100769A
JP2003100769A JP2001286140A JP2001286140A JP2003100769A JP 2003100769 A JP2003100769 A JP 2003100769A JP 2001286140 A JP2001286140 A JP 2001286140A JP 2001286140 A JP2001286140 A JP 2001286140A JP 2003100769 A JP2003100769 A JP 2003100769A
Authority
JP
Japan
Prior art keywords
silicon
film
layer
semiconductor device
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001286140A
Other languages
English (en)
Inventor
Hirotaka Koga
洋貴 古賀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
NEC Corp
Original Assignee
Hitachi Ltd
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=19109172&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2003100769(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Hitachi Ltd, NEC Corp filed Critical Hitachi Ltd
Priority to JP2001286140A priority Critical patent/JP2003100769A/ja
Priority to TW091121443A priority patent/TW583769B/zh
Priority to KR10-2002-0057238A priority patent/KR100455806B1/ko
Priority to US10/251,062 priority patent/US6914309B2/en
Priority to CNB021424861A priority patent/CN1210813C/zh
Publication of JP2003100769A publication Critical patent/JP2003100769A/ja
Priority to US11/116,036 priority patent/US7709366B2/en
Priority to US12/724,471 priority patent/US20100200925A1/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 セルフアラインで形成し得る低抵抗なコンタ
クトを備え、かつトランジスタ特性が劣化しにくい半導
体装置を提供する。 【解決手段】 半導体基板101中に少なくとも一対の
不純物領域107を有する半導体装置であって、不純物
領域107上に形成されたシリコン108と、半導体基
板101上の不純物領域107間に形成されたゲート酸
化膜102と、ゲート酸化膜102上に形成されたゲー
ト電極と、ゲート電極上に形成された第1のシリコン窒
化膜105と、ゲート電極の側面に形成されたシリコン
酸化膜106と、シリコン108の上面の一部であっ
て、かつシリコン酸化膜106の側面に形成された第2
のシリコン窒化膜109と、シリコン108上に形成さ
れたタングステン114とを有する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置及びそ
の製造方法に関する。特に、シリコンの選択成長技術と
セルフアラインによるコンタクト形成技術を用いて高密
度で配列されるトランジスタ及びその製造方法に関す
る。
【0002】
【従来の技術】半導体装置の高密度化を達成するため
に、近年、素子の微細化が進んでいる。これと同時に、
コンタクトと下層配線のマスク位置合わせマージンを縮
小して一層の高密度化を達成しようとしている。
【0003】コンタクトと下層配線のマスク位置合わせ
マージンを縮小する方法として、セルフアラインによる
コンタクトの形成技術が挙げられる。この技術の一つと
して、下層配線をシリコン窒化膜で覆い、層間絶縁膜を
構成するシリコン酸化膜と下層配線を保護するシリコン
窒化膜とのエッチング選択比の大きなエッチング方法を
用いてコンタクトを開孔する方法が挙げられる。
【0004】この技術の一例として、特開平9−213
949号公報中に従来技術として開示されている。図7
(a)ないし(e)を参照して、この従来のセルフアラ
インによるコンタクト形成技術を説明する。
【0005】まず、図7(a)に示すように、半導体基
板1上にゲート酸化膜2を形成する。その後、多結晶シ
リコン膜3及びシリコン窒化膜24を順次成膜し、フォ
トリソグラフィー技術及び異方性ドライエッチング技術
を用いて不要部分を除去することによって、シリコン窒
化膜24を積層する多結晶シリコン膜3から成るゲート
電極を形成する。次にイオン注入技術を用いて半導体基
板1上に低濃度不純物領域10を形成する。
【0006】次に、図7(b)に示すように、シリコン
窒化膜5を全面に成膜する。
【0007】次に、図7(c)に示すように、異方性ド
ライエッチング技術を用いてシリコン窒化膜5の一部を
エッチバックし、ゲート電極の側壁部にのみ側壁膜6を
残留形成する。その後、イオン注入技術を用いて高濃度
不純物領域11を形成する。
【0008】続いて、図7(d)に示すように、シリコ
ン酸化膜から成る層間絶縁膜7を全面に形成し、フォト
リソグラフィー技術及び異方性ドライエッチング技術を
用いて不要部分を除去することによってコンタクト孔8
を開口する。
【0009】この異方性ドライエッチング技術は、シリ
コン酸化膜のエッチングレートに比べてシリコン窒化膜
のエッチングレートが小さい条件、いわゆるエッチング
選択比の大きな条件を用いる。
【0010】これによって、コンタクト孔8の上部開口
寸法が隣り合うゲート電極のそれぞれの側壁膜6同士の
間隔より大きい場合であっても、ゲート電極はシリコン
窒化膜24及び側壁膜6によって保護され、ゲート電極
とこの後形成される配線層9とが電気的にショートする
ことはない。
【0011】次に、図7(e)に示すように、全面に導
電膜を成膜し、フォトリソグラフィー技術及び異方性ド
ライエッチング技術を用いて不要部分を除去することに
よって配線層9を形成する。
【0012】しかしながら、この技術ではゲート電極の
側壁膜6としてホットエレクトロンをトラップし易いシ
リコン窒化膜を用いるため、トランジスタ特性が劣化し
やすいという問題があった。この問題を解決する手段
が、同公開公報(特開平9−213949号)に開示さ
れている。図8(a)ないし(g)を参照して、この技
術を説明する。
【0013】まず、図8(a)に示すように、半導体基
板1上にゲート酸化膜2を形成する。その後、多結晶シ
リコン膜3及びシリコン窒化膜4を順次成膜し、フォト
リソグラフィー技術及び異方性ドライエッチング技術を
用いて不要部分を除去することによって、シリコン窒化
膜4を積層する多結晶シリコン膜3から成るゲート電極
を形成する。次にイオン注入技術を用いて半導体基板1
上に低濃度不純物領域10を形成する。
【0014】次に、図8(b)に示すように、シリコン
酸化膜12を全面に成膜する。
【0015】次に、図8(c)に示すように、異方性ド
ライエッチング技術を用いてシリコン酸化膜12の一部
をエッチバックし、ゲート電極を構成する多結晶シリコ
ン膜3の側壁部にのみ第1の側壁膜13を残留形成す
る。
【0016】この異方性ドライエッチング技術は、シリ
コン酸化膜とシリコン窒化膜のエッチング選択比の大き
な条件を用いる。その結果、エッチング時間を調節する
ことで第1の側壁膜13の高さを多結晶シリコン膜3と
同程度にしながらも、多結晶シリコン膜3上のシリコン
窒化膜4の膜厚が大きく減少することはない。その後、
イオン注入技術を用いて高濃度不純物領域11を形成す
る。
【0017】続いて、図8(d)に示すように、シリコ
ン窒化膜15を第1の側壁膜13の膜厚と同程度の膜厚
で全面に成膜する。
【0018】次に、図8(e)に示すように、異方性ド
ライエッチング技術を用いてシリコン窒化膜15の一部
をエッチバックし、ゲート電極上のシリコン窒化膜4及
びゲート電極を構成する多結晶シリコン膜3の側壁部に
のみ第2の側壁膜16を残留形成する。この時、第1の
側壁膜13の側面にはシリコン窒化膜15が残留しない
ようにエッチング時間を調節する。
【0019】次に、図8(f)に示すように、シリコン
酸化膜から成る層間絶縁膜7を全面に形成し、フォトリ
ソグラフィー技術及び異方性ドライエッチング技術を用
いて不要部分を除去することによってコンタクト孔8を
開口する。
【0020】この異方性ドライエッチング技術は、シリ
コン酸化膜とシリコン窒化膜のエッチング選択比の大き
な条件を用いる。これによって、コンタクト孔8の上部
開口寸法が隣り合うゲート電極のそれぞれの側壁膜6同
士の間隔より大きい場合であっても、ゲート電極はシリ
コン窒化膜4及び第1の側壁膜13及び第2の側壁膜1
6によって保護され、ゲート電極とこの後形成される配
線層9とが電気的にショートすることはない。
【0021】次に、図8(g)に示すように、全面に導
電膜を成膜し、フォトリソグラフィー技術及び異方性ド
ライエッチング技術を用いて不要部分を除去することに
よって配線層9を形成する。
【0022】この技術を用いると、ゲート電極の側面に
形成される第1の側壁膜13及び第2の側壁膜16が、
ゲート電極を構成する多結晶シリコン膜3とこの後に形
成される配線層9との間に介在するため、コンタクト孔
8の上部開口寸法が隣り合うゲート電極のそれぞれの側
壁膜同士の間隔より大きい場合であっても、ゲート電極
と配線層が電気的にショートすることはない。
【0023】また、ゲート電極の側壁膜下部はシリコン
酸化膜で形成されているため、シリコン窒化膜の場合と
比較してホットキャリアをトラップしにくい。従って、
トランジスタ特性が劣化しやすいという問題は生じな
い。
【0024】
【発明が解決しようとする課題】上記ゲート電極の第2
の側壁膜16を形成する際、第1の側壁膜13の側面に
成長したシリコン窒化膜15が完全に無くなるまでエッ
チバックしなければならない。しかしながら、実際はシ
リコン窒化膜15の膜厚のばらつきや、エッチバック時
の異方性ドライエッチング速度のばらつきなどが原因
で、第1の側壁膜13の側面にシリコン窒化膜15の一
部が残留してしまう可能性がある。
【0025】この場合、コンタクト孔8の底部の寸法が
予定よりも小さくなり、コンタクト抵抗が上昇して動作
に不具合をきたすという問題がある。
【0026】また、シリコン窒化膜15をエッチバック
する際に高濃度不純物領域11の表面が長時間エッチバ
ック雰囲気にさらされ、エッチングダメージを受けてト
ランジスタ特性が劣化するという課題がある。
【0027】また、第1の側壁膜13はシリコン酸化膜
で形成されているため、配線層を形成する前にコンタク
ト底部に形成された自然酸化膜を除去する目的のフッ酸
薬液処理工程において、第1の側壁膜13までもがエッ
チングされて多結晶シリコン膜3と配線層9がショート
する可能性が生じるという問題がある。
【0028】また、製品によってはトランジスタのソー
ス・ドレイン領域として低濃度不純物領域10のみを使
用し、高濃度不純物領域11を形成しないものがある。
例えば、ダイナミックランダムアクセスメモリ、いわゆ
るDRAMはこのような構造を採用する場合が多い。ソ
ース・ドレイン領域を構成するN−型低濃度不純物領域
10とPウェル領域とのPN接合部における逆方向リー
ク電流量を減らすことが目的である。
【0029】このような構造の場合、配線層9に金属材
料を使用することは困難である。それは、配線層9と低
濃度不純物領域10との間に金属とシリコンの化合物で
あるシリサイド層が形成された場合、PN接合部に生じ
る空乏層はN−側に大きく延び、シリサイド層が空乏層
内に取り込まれるからである。
【0030】シリサイド層は生成結合中心、いわゆるG
Rセンターとなり得るため、逆方向リーク電流量を増加
させてしまうという課題が生じる。配線層9と低濃度不
純物領域10との間にシリサイド層を形成しないよう、
配線層9を多結晶シリコンで形成する手段もある。この
場合、金属配線層の場合と比較するとコンタクト抵抗が
増加するという課題が生じる。
【0031】そこで、本発明は、上記従来技術の問題点
に鑑みて成されたものであり、その目的とするところ
は、セルフアラインで形成し得る低抵抗なコンタクトを
備え、かつトランジスタの特性が劣化しにくい半導体装
置およびその製造方法を提供することにある。
【0032】
【課題を解決するための手段】本発明では、半導体基板
中に少なくとも一対の不純物領域を有する半導体装置で
あって、上記不純物領域上に形成されたシリコン層と、
上記半導体基板上の不純物領域間に形成されたゲート絶
縁膜と、ゲート絶縁膜上に形成されたゲート電極と、ゲ
ート電極上に形成された第1のシリコン窒化膜と、ゲー
ト電極の側面に形成されたシリコン酸化膜と、シリコン
層の上面の一部であって、かつシリコン酸化膜の側面に
形成された第2のシリコン窒化膜と、シリコン層上に形
成された導電層とを有する。
【0033】ここで、前記ゲート電極は、多結晶シリコ
ン層と金属層または金属シリサイド層とから成ることが
好ましい。
【0034】この場合、前記シリコン酸化膜と第2のシ
リコン窒化膜とで、二重のサイドウォールスペーサを構
成する。
【0035】このような構成の下、前記シリコン層とゲ
ート電極とは、前記シリコン酸化膜のみで絶縁されてお
り、かつ前記第2のシリコン窒化膜の下端は、前記シリ
コン層の上面に接している。
【0036】そして、前記導電層とゲート電極とは、前
記第1のシリコン窒化膜と前記サイドウォールスペーサ
とで絶縁されている。
【0037】前記導電層とシリコン層との間には、チタ
ンシリサイド層が介在することが望ましい。
【0038】また、前記シリコン層は、前記不純物領域
の近傍に形成された空乏層が前記チタンシリサイド層に
達することを防止するように作用する。
【0039】また、本発明では、半導体基板を有する半
導体装置の製造方法であって、上記半導体基板上にゲー
ト絶縁膜を形成し、ゲート絶縁膜上にゲート電極を形成
し、ゲート電極上に第1のシリコン窒化膜を形成し、ゲ
ート電極の側面にシリコン酸化膜を形成し、上記半導体
基板内のゲート電極の両側に不純物領域を形成し、不純
物領域上にシリコン層を形成し、シリコン層の上面の一
部であって、かつシリコン酸化膜の側面に第2のシリコ
ン窒素化膜を形成し、シリコン層上に導電層を形成す
る。
【0040】好ましくは、前記ゲート電極は、前記ゲー
ト絶縁膜上に形成された多結晶シリコン層と、多結晶シ
リコン層上に形成された金属層または金属シリサイド層
とから成る。
【0041】この場合、前記シリコン層は、選択エピタ
キシャル成長法により、前記不純物領域上に選択成長す
ることにより形成されるこをが好ましい。
【0042】また、前記シリコン層上にチタン窒化チタ
ン積層膜を形成し、熱処理を施すことによりチタンシリ
サイド層を形成することが望ましい。
【0043】ここで、前記シリコン層は、前記不純物領
域の近傍に形成された空乏層が前記チタンシリサイド層
に達することを防止するように作用する。
【0044】また、前記シリコン酸化膜と第2のシリコ
ン窒化膜とで、二重のサイドウォールスペーサを構成す
る。
【0045】好ましくは、前記不純物領域の端と前記サ
イドウォールスペーサを構成する第2のシリコン窒化膜
との距離は、不純物領域端で発生するホットキャリアが
第2のシリコン窒化膜にトラップされないような距離に
設定されている。
【0046】さらに、本発明では、半導体基板を有する
半導体装置の製造方法であって、半導体基板上にゲート
絶縁膜を形成し、半導体基板全面に多結晶シリコン膜と
金属層または金属シリサイド膜と第1のシリコン窒化膜
とを順次成膜し、リソグラフィー及び異方性ドライエッ
チングにより不要部分を除去してゲート電極を形成し、
酸化雰囲気中で少なくとも多結晶シリコン膜の側面を酸
化し、異方性ドライエッチングにより酸化膜をエッチバ
ックしてシリコン基板表面を露出させ、イオン注入法に
てトランジスタのソース/ドレイン領域を形成し、シリ
コンの選択成長技術を用いてソース/ドレイン領域上に
シリコン層を成長させ、全面に第2のシリコン窒化膜を
成長させ、異方性ドライエッチングにより第2のシリコ
ン窒化膜をエッチバックして選択成長したシリコン層を
露出させ、シリコン酸化膜から成る層間絶縁膜を形成
し、リソグラフィー及びドライエッチングによりコンタ
クト孔を開口する。
【0047】
【発明の実施の形態】まず、本発明の半導体装置(電界
効果トランジスタ)の構成を図1及び図2により説明す
る。ここで、図1は、半導体装置の断面図であり、図2
はその平面図である。
【0048】本発明の半導体装置(電界効果トランジス
タ)は、半導体基板101上にゲート絶縁膜102を挟
んだ多結晶シリコン103及びタングステンシリサイド
104から成るゲート電極と、不純物領域107と、不
純物領域107上にのみ選択成長したシリコン(シリコ
ン層)108を有する。
【0049】このような構成の下、トランジスタのゲー
ト電極側面の全部もしくは一部にシリコン酸化膜106
及び第2のシリコン窒化膜109から成る2重のサイド
ウォールスペーサを有し、選択成長したシリコン108
とゲート電極はサイドウォールスペーサを構成するシリ
コン酸化膜106のみで絶縁されており、サイドウォー
ルスペーサを構成する第2のシリコン窒化膜109の下
端は選択成長したシリコン108の上面に接している。
【0050】さらに、コンタクトホール(コンタクト
孔)111を充填する導電性材料(タングステン)11
4とゲート電極とは、ゲート電極上方に形成された第1
のシリコン窒化膜105とサイドウォールスペーサとで
絶縁されている。
【0051】さらに、第1のシリコン窒化膜上には、シ
リコン酸化膜110が形成されており、タングステン1
14は、チタン/窒化チタン積層膜112で覆われてい
る。また、タングステン114とシリコン108との間
には、チタンシリサイド層113が介在している。
【0052】次に、本発明の第1の実施例を図3(a)
ないし図3(f)の工程順断面図を用いて説明する。こ
こで、図4(a)ないし図4(f)は、図3(a)ない
し図3(f)の工程順断面図に相当する工程順平面図で
ある。
【0053】図3(a)に示すように、半導体基板10
1の表面を5nmの厚さに熱酸化し、ゲート酸化膜10
2を形成する。その後、CVD法によってリンを含んだ
多結晶シリコン膜103を100nm成長し、引き続
き、タングステンシリサイド104をCVD法またはス
パッタ法を用いて100nm成長する。
【0054】その後、第1のシリコン窒化膜105をC
VD法によって100nm成長する。フォトリソグラフ
ィー技術及び異方性ドライエッチング技術を用いて、第
1のシリコン窒化膜105及びタングステンシリサイド
104及び多結晶シリコン膜103の不要部分を除去
し、ゲート電極を形成する。
【0055】次に、図3(b)に示すように、熱酸化法
を用いてパターニングされた多結晶シリコン膜103及
びパターニングされたタングステンシリサイド104の
側面を酸化して10nm程度のシリコン酸化膜106を
形成する。
【0056】次に、異方性ドライエッチング技術を用い
て、ゲート電極間のシリコン基板101上に形成された
ゲート酸化膜102をエッチバックし、シリコン基板1
01の表面を露出させる。その後、リンを30keVの
エネルギーで1E13/cm イオン注入し、トランジ
スタのソース、ドレイン領域となる不純物領域107を
形成する。
【0057】次に、図3(c)に示すように、選択エピ
タキシャルシリコン成長技術を用い、不純物領域107
上にリンを1E20/cm含んだシリコン108を5
0nm程度選択成長する。
【0058】続いて、図3(d)に示すように、全面に
第2のシリコン窒化膜109をCVD法で成長する。こ
の膜厚はゲート電極間が埋設されない膜厚でなければな
らず、例えば、ゲート電極間隔が150nmであったな
らば、第2のシリコン窒化膜109は50nm程度とす
る。次に、異方性ドライエッチング技術を用いて選択成
長したシリコン108上に形成された第2のシリコン窒
化膜109をエッチバックし、シリコン108の表面を
露出させる。
【0059】次に、図3(e)に示すように、CVD法
によってシリコン酸化膜110を500nm成膜し、C
MP法にて表面を平坦化する。次に、リソグラフィー技
術及び異方性ドライエッチング技術を用いてシリコン酸
化膜110の不要部分を除去し、コンタクト孔111を
形成する。
【0060】ここで、異方性ドライエッチングの条件と
して、シリコン酸化膜のエッチングレートよりもシリコ
ン窒化膜のエッチングレートが遅い条件を選択すること
によって、図3(e)に示すようにコンタクト孔111
上部の寸法がゲート電極間隔より大きくても、ゲート電
極は第1のシリコン窒化膜105及び第2のシリコン窒
化膜109で覆われるため、ゲート電極の一部がコンタ
クト孔111の内部に露出することはない。
【0061】次に、図3(f)に示すように、CVD法
またはスパッタ法でチタンを10nm、窒化チタンを1
0nm成長し、チタン/窒化チタン積層膜112を形成
する。その後、700℃で30秒間熱処理することによ
って、チタンとシリコンが反応してチタンシリサイド層
113が形成される。その後、CVD法でタングステン
114を300nm成膜し、引き続き、CMP法でタン
グステン114及びチタン/窒化チタン積層膜112の
不要部分を除去する。
【0062】次に、本発明の第2の実施例を図5(a)
ないし図5(g)の工程順断面図を用いて説明する。図
6(a)ないし図6(g)は図5(a)ないし図5
(g)の工程順断面図に相当する工程順平面図である。
【0063】図5(a)に示すように、半導体基板10
1の表面を5nmの厚さに熱酸化し、ゲート酸化膜10
2を形成する。その後、CVD法によってリンを含んだ
多結晶シリコン膜103を100nm成長し、引き続
き、タングステンシリサイド104をCVD法またはス
パッタ法を用いて100nm成長する。
【0064】その後、第1のシリコン窒化膜105をC
VD法によって100nm成長する。フォトリソグラフ
ィー技術及び異方性ドライエッチング技術を用いて、第
1のシリコン窒化膜105及びタングステンシリサイド
104及び多結晶シリコン膜103の不要部分を除去
し、ゲート電極を形成する。
【0065】次に、図5(b)に示すように、熱酸化法
を用いてパターニングされた多結晶シリコン膜103及
びパターニングされたタングステンシリサイド104の
側面を酸化して10nm程度のシリコン酸化膜106を
形成する。次に、異方性ドライエッチング技術を用いて
ゲート電極間のシリコン基板101上に形成されたゲー
ト酸化膜102をエッチバックし、シリコン基板101
表面を露出させる。その後、リンを30keVのエネル
ギーで1E13/cmイオン注入し、トランジスタの
ソース、ドレイン領域となる不純物領域107を形成す
る。
【0066】続いて、図5(c)に示すように、選択エ
ピタキシャルシリコン成長技術を用いて、不純物領域1
07上にリンを1E20/cm含んだシリコン108
を50nm程度選択成長する。
【0067】次に、図5(d)に示すように、全面に第
2のシリコン窒化膜109をCVD法で成長する。この
膜厚はゲート電極間が埋設されない膜厚でなければなら
ず、例えば、ゲート電極間隔が150nmであったなら
ば、第2のシリコン窒化膜109は50nm程度とす
る。次に、CVD法によってシリコン酸化膜110を5
00nm成膜し、CMP法にて表面を平坦化する。
【0068】次に、図5(e)に示すように、リソグラ
フィー技術及び異方性ドライエッチング技術を用いてシ
リコン酸化膜110の不要部分を除去する。異方性ドラ
イエッチングの条件として、シリコン酸化膜のエッチン
グレートよりもシリコン窒化膜のエッチングレートが遅
い条件を選択することによって、図5(e)に示すよう
にコンタクト孔111上部寸法がゲート電極間隔より大
きくても、ゲート電極は第1のシリコン窒化膜105及
び第2のシリコン窒化膜109で覆われるため、ゲート
電極の一部が露出することはない。
【0069】次に、図5(f)に示すように、異方性ド
ライエッチング技術を用いて選択成長したシリコン10
8上に形成された第2のシリコン窒化膜109をエッチ
バックし、シリコン108表面を露出させる。
【0070】続いて、図5(g)に示すように、CVD
法またはスパッタ法でチタンを10nm、窒化チタンを
10nm成長し、チタン/窒化チタン積層膜112を形
成する。その後、700℃で30秒間熱処理することに
よって、チタンとシリコンが反応してチタンシリサイド
層13が形成される。その後、CVD法でタングステン
114を300nm成膜し、引き続きCMP法でタング
ステン114及びチタン/窒化チタン積層膜112の不
要部分を除去する。
【0071】ここで、上記実施例では、ゲート電極は、
多結晶シリコン103及びタングステンシリサイド10
4から成っているが、本発明はこれに限定されず、多結
晶シリコン層と金属層または金属シリサイド層とから成
るものならば、それ以外の材料で構成しても良い。例え
ば、金属層としてはタングステンが挙げられ、他の金属
シリサイド層としてはチタンシリサイドが挙げられる。
【0072】
【発明の効果】本発明によれば、通常ホットキャリアが
発生しやすいドレイン領域端と、シリコン窒化膜からな
るサイドウォールスペーサとの距離が大きいため、ホッ
トキャリアがサイドウォールスペーサにトラップされて
トランジスタ特性を劣化させるという問題は生じない。
【0073】また、本発明によれば、PN接合部に生じ
る空乏層はN−型の不純物領域の方向に大きく延びる
が、その上に形成したシリコンがリンを1E20/cm
含むN+型なので空乏層の延びは抑制され、チタンシ
リサイド層に達することはない。このため、シリサイド
層が空乏層内に取り込まれることによる逆方向リーク電
流量が増加することはない。
【図面の簡単な説明】
【図1】本発明の半導体装置の断面図である。
【図2】本発明の半導体装置の平面図である。
【図3】(a)ないし(f)は、本発明の第1の実施例
を示す工程順断面図である。
【図4】(a)ないし(f)は、図3(a)ないし図3
(f)の工程順断面図に相当する工程順平面図である。
【図5】(a)ないし(g)は、本発明の第2の実施例
を示す工程順断面図である。
【図6】(a)ないし(g)は、図5(a)ないし図5
(g)の工程順断面図に相当する工程順平面図である。
【図7】(a)ないし(e)は、従来のセルフアライン
によるコンタクト形成技術を説明する工程順断面図であ
る。
【図8】(a)ないし(g)は、従来の半導体装置の製
造方法を示す工程順断面図である。
【符号の説明】
101 半導体基板 102 ゲート酸化膜 103 多結晶シリコン 104 タングステンシリサイド 105 第1のシリコン窒化膜 106 シリコン酸化膜 107 不純物領域 108 シリコン 109 第2のシリコン窒化膜 110 シリコン酸化膜 111 コンタクト孔 112 チタン/窒化チタン積層膜 113 チタンシリサイド層 114 タングステン 115 活性領域
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 29/78 H01L 29/78 301Y Fターム(参考) 4M104 AA01 BB01 BB25 BB28 BB40 DD02 DD04 DD07 DD16 DD17 DD43 DD46 DD78 DD84 DD86 EE05 EE09 EE15 EE17 FF14 FF22 GG09 GG14 GG16 HH20 5F033 HH04 HH28 JJ04 JJ06 JJ18 JJ19 JJ27 JJ33 KK01 LL04 MM07 NN06 NN07 NN40 PP06 PP07 PP15 QQ09 QQ16 QQ25 QQ31 QQ35 QQ37 QQ48 QQ70 QQ73 QQ76 RR04 RR05 SS11 SS27 TT08 XX00 5F140 AA14 AA24 BA01 BD04 BD05 BE07 BF04 BF11 BF18 BG09 BG12 BG14 BG22 BG28 BG30 BG38 BG46 BG49 BG52 BG53 BH06 BJ04 BJ08 BJ11 BJ17 BJ20 BK13 BK18 BK27 BK29 BK30 BK34 CC01 CC03 CC08 CC12 CE07

Claims (15)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板中に少なくとも一対の不純物
    領域を有する半導体装置において、 上記不純物領域上に形成されたシリコン層と、 上記半導体基板上の不純物領域間に形成されたゲート絶
    縁膜と、 ゲート絶縁膜上に形成されたゲート電極と、 ゲート電極上に形成された第1のシリコン窒化膜と、 ゲート電極の側面に形成されたシリコン酸化膜と、 シリコン層の上面の一部であって、かつシリコン酸化膜
    の側面に形成された第2のシリコン窒化膜と、 シリコン層上に形成された導電層とを有することを特徴
    とする半導体装置。
  2. 【請求項2】 前記ゲート電極は、多結晶シリコン層と
    金属層または金属シリサイド層とから成ることを特徴と
    する請求項1に記載の半導体装置。
  3. 【請求項3】 前記シリコン酸化膜と第2のシリコン窒
    化膜とで、二重のサイドウォールスペーサを構成するこ
    とを特徴とする請求項1に記載の半導体装置。
  4. 【請求項4】 前記シリコン層とゲート電極とは、前記
    シリコン酸化膜のみで絶縁されており、かつ前記第2の
    シリコン窒化膜の下端は、前記シリコン層の上面に接し
    ていることを特徴とする請求項1に記載の半導体装置。
  5. 【請求項5】 前記導電層とゲート電極とは、前記第1
    のシリコン窒化膜と前記サイドウォールスペーサとで絶
    縁されていることを特徴とする請求項3に記載の半導体
    装置。
  6. 【請求項6】 前記導電層とシリコン層との間には、チ
    タンシリサイド層が介在することを特徴とする請求項1
    に記載の半導体装置。
  7. 【請求項7】 前記シリコン層は、前記不純物領域の近
    傍に形成された空乏層が前記チタンシリサイド層に達す
    ることを防止するように作用するすることを特徴とする
    請求項6に記載の半導体装置。
  8. 【請求項8】 半導体基板を有する半導体装置の製造方
    法において、 上記半導体基板上にゲート絶縁膜を形成し、 ゲート絶縁膜上にゲート電極を形成し、 ゲート電極上に第1のシリコン窒化膜を形成し、 ゲート電極の側面にシリコン酸化膜を形成し、 上記半導体基板内のゲート電極の両側に不純物領域を形
    成し、 不純物領域上にシリコン層を形成し、 シリコン層の上面の一部であって、かつシリコン酸化膜
    の側面に第2のシリコン窒化膜を形成し、 シリコン層上に導電層を形成することを特徴とする半導
    体装置の製造方法。
  9. 【請求項9】 前記ゲート電極は、前記ゲート絶縁膜上
    に形成された多結晶シリコン層と、多結晶シリコン層上
    に形成された金属層または金属シリサイド層とから成る
    ことを特徴とする請求項8に記載の半導体装置の製造方
    法。
  10. 【請求項10】 前記シリコン層は、選択エピタキシャ
    ル成長法により、前記不純物領域上に選択成長すること
    により形成されるこを特徴とする請求項8に記載の半導
    体装置の製造方法。
  11. 【請求項11】 前記シリコン層上にチタン窒化チタン
    積層膜を形成し、熱処理を施すことによりチタンシリサ
    イド層を形成することを特徴とする請求項8に記載の半
    導体装置の製造方法。
  12. 【請求項12】 前記シリコン層は、前記不純物領域の
    近傍に形成された空乏層が前記チタンシリサイド層に達
    することを防止するように作用するすることを特徴とす
    る請求項11に記載の半導体装置の製造方法。
  13. 【請求項13】 前記シリコン酸化膜と第2のシリコン
    窒化膜とで、二重のサイドウォールスペーサを構成する
    ことを特徴とする請求項8に記載の半導体装置の製造方
    法。
  14. 【請求項14】 前記不純物領域の端と前記サイドウォ
    ールスペーサを構成する第2のシリコン窒化膜との距離
    は、不純物領域端で発生するホットキャリアが第2のシ
    リコン窒化膜にトラップされないような距離に設定され
    ていることを特徴とする請求項13に記載の半導体装置
    の製造方法。
  15. 【請求項15】 半導体基板を有する半導体装置の製造
    方法において、 半導体基板上にゲート絶縁膜を形成し、 半導体基板全面に多結晶シリコン膜と金属層または金属
    シリサイド膜と第1のシリコン窒化膜とを順次成膜し、 リソグラフィー及び異方性ドライエッチングにより不要
    部分を除去してゲート電極を形成し、 酸化雰囲気中で少なくとも多結晶シリコン膜の側面を酸
    化し、 異方性ドライエッチングにより酸化膜をエッチバックし
    てシリコン基板表面を露出させ、 イオン注入法にてトランジスタのソース/ドレイン領域
    を形成し、 シリコンの選択成長技術を用いてソース/ドレイン領域
    上にシリコン層を成長させ、 全面に第2のシリコン窒化膜を成長させ、 異方性ドライエッチングにより第2のシリコン窒化膜を
    エッチバックして選択成長したシリコン層を露出させ、 シリコン酸化膜から成る層間絶縁膜を形成し、 リソグラフィー及びドライエッチングによりコンタクト
    孔を開口することを特徴とする半導体装置の製造方法。
JP2001286140A 2001-09-20 2001-09-20 半導体装置およびその製造方法 Pending JP2003100769A (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2001286140A JP2003100769A (ja) 2001-09-20 2001-09-20 半導体装置およびその製造方法
TW091121443A TW583769B (en) 2001-09-20 2002-09-19 Semiconductor device and method of manufacturing the same
KR10-2002-0057238A KR100455806B1 (ko) 2001-09-20 2002-09-19 반도체 장치 및 그 제조 방법
US10/251,062 US6914309B2 (en) 2001-09-20 2002-09-20 Semiconductor device with double sidewall spacer and layered contact
CNB021424861A CN1210813C (zh) 2001-09-20 2002-09-20 半导体器件和其制造方法
US11/116,036 US7709366B2 (en) 2001-09-20 2005-04-27 Semiconductor device and method of manufacturing the same
US12/724,471 US20100200925A1 (en) 2001-09-20 2010-03-16 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001286140A JP2003100769A (ja) 2001-09-20 2001-09-20 半導体装置およびその製造方法

Publications (1)

Publication Number Publication Date
JP2003100769A true JP2003100769A (ja) 2003-04-04

Family

ID=19109172

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001286140A Pending JP2003100769A (ja) 2001-09-20 2001-09-20 半導体装置およびその製造方法

Country Status (5)

Country Link
US (3) US6914309B2 (ja)
JP (1) JP2003100769A (ja)
KR (1) KR100455806B1 (ja)
CN (1) CN1210813C (ja)
TW (1) TW583769B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7741682B2 (en) 2005-09-15 2010-06-22 Elpida Memory, Inc. Semiconductor integrated circuit device including a silicon layer formed on a diffusion layer

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100496258B1 (ko) * 2003-02-17 2005-06-17 삼성전자주식회사 콘택 패드를 포함하는 반도체 장치 및 이의 제조 방법
US7674697B2 (en) * 2005-07-06 2010-03-09 International Business Machines Corporation MOSFET with multiple fully silicided gate and method for making the same
US20070202677A1 (en) * 2006-02-27 2007-08-30 Micron Technology, Inc. Contact formation
US7569896B2 (en) * 2006-05-22 2009-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with stressed channels
US7364957B2 (en) * 2006-07-20 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for semiconductor device with improved source/drain junctions
US20080083955A1 (en) * 2006-10-04 2008-04-10 Kanarsky Thomas S Intrinsically stressed liner and fabrication methods thereof
KR100876758B1 (ko) * 2006-12-26 2009-01-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
CN111403341B (zh) * 2020-03-28 2023-03-28 电子科技大学 降低窄控制栅结构栅电阻的金属布线方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0637272A (ja) * 1992-07-17 1994-02-10 Toshiba Corp 半導体記憶装置
JPH10303417A (ja) * 1997-04-24 1998-11-13 Nec Corp 半導体装置の製造方法
JP2000106431A (ja) * 1998-09-28 2000-04-11 Sanyo Electric Co Ltd 半導体装置の製造方法
JP2000260952A (ja) * 1999-03-05 2000-09-22 Toshiba Corp 半導体装置
JP2001127291A (ja) * 1999-11-01 2001-05-11 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2001196581A (ja) * 2000-01-17 2001-07-19 Oki Electric Ind Co Ltd 半導体装置および半導体装置の製造方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5234850A (en) * 1990-09-04 1993-08-10 Industrial Technology Research Institute Method of fabricating a nitride capped MOSFET for integrated circuits
US5330929A (en) * 1992-10-05 1994-07-19 Motorola, Inc. Method of making a six transistor static random access memory cell
US6531730B2 (en) * 1993-08-10 2003-03-11 Micron Technology, Inc. Capacitor compatible with high dielectric constant materials having a low contact resistance layer and the method for forming same
US5326714A (en) * 1993-07-22 1994-07-05 Taiwan Semiconductor Manufacturing Company Method of making a fully used tub DRAM cell
US6057604A (en) * 1993-12-17 2000-05-02 Stmicroelectronics, Inc. Integrated circuit contact structure having gate electrode protection for self-aligned contacts with zero enclosure
JP3761918B2 (ja) * 1994-09-13 2006-03-29 株式会社東芝 半導体装置の製造方法
US5705427A (en) * 1994-12-22 1998-01-06 Sgs-Thomson Microelectronics, Inc. Method of forming a landing pad structure in an integrated circuit
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
JP2699921B2 (ja) * 1995-04-21 1998-01-19 日本電気株式会社 半導体装置の製造方法
JP3443219B2 (ja) * 1995-11-14 2003-09-02 株式会社日立製作所 半導体集積回路装置およびその製造方法
US6969671B2 (en) * 1995-11-14 2005-11-29 Renesas Technology Corporation Semiconductor integrated device and method of fabrication thereof
US5753555A (en) * 1995-11-22 1998-05-19 Nec Corporation Method for forming semiconductor device
JP2848299B2 (ja) * 1995-12-21 1999-01-20 日本電気株式会社 半導体装置及びその製造方法
US5719071A (en) * 1995-12-22 1998-02-17 Sgs-Thomson Microelectronics, Inc. Method of forming a landing pad sturcture in an integrated circuit
JP3941133B2 (ja) * 1996-07-18 2007-07-04 富士通株式会社 半導体装置およびその製造方法
US5817562A (en) * 1997-01-24 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd Method for making improved polysilicon FET gate electrode structures and sidewall spacers for more reliable self-aligned contacts (SAC)
US5854127A (en) * 1997-03-13 1998-12-29 Micron Technology, Inc. Method of forming a contact landing pad
US5963824A (en) * 1997-07-09 1999-10-05 Advanced Micro Devices, Inc. Method of making a semiconductor device with adjustable threshold voltage
US5925918A (en) * 1997-07-30 1999-07-20 Micron, Technology, Inc. Gate stack with improved sidewall integrity
US5851890A (en) * 1997-08-28 1998-12-22 Lsi Logic Corporation Process for forming integrated circuit structure with metal silicide contacts using notched sidewall spacer on gate electrode
JP3544833B2 (ja) * 1997-09-18 2004-07-21 株式会社東芝 半導体装置及びその製造方法
TW363230B (en) * 1997-12-26 1999-07-01 Taiwan Semiconductor Mfg Co Ltd Manufacturing method for the flash memory cell with split-gate
US6188100B1 (en) * 1998-08-19 2001-02-13 Micron Technology, Inc. Concentric container fin capacitor
US6066552A (en) * 1998-08-25 2000-05-23 Micron Technology, Inc. Method and structure for improved alignment tolerance in multiple, singularized plugs
JP2000156502A (ja) * 1998-09-21 2000-06-06 Texas Instr Inc <Ti> 集積回路及び方法
JP2000114522A (ja) * 1998-10-08 2000-04-21 Toshiba Corp 半導体装置及びその製造方法
US6448140B1 (en) * 1999-02-08 2002-09-10 Taiwan Semiconductor Manufacturing Company Laterally recessed tungsten silicide gate structure used with a self-aligned contact structure including a straight walled sidewall spacer while filling recess
JP2000307110A (ja) * 1999-04-23 2000-11-02 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6258678B1 (en) * 1999-08-02 2001-07-10 Taiwan Semiconductor Manufacturing Company Use of a wet etch dip step used as part of a self-aligned contact opening procedure
US6486506B1 (en) * 1999-11-01 2002-11-26 Advanced Micro Devices, Inc. Flash memory with less susceptibility to charge gain and charge loss
US6455362B1 (en) * 2000-08-22 2002-09-24 Micron Technology, Inc. Double LDD devices for improved dram refresh
KR100363710B1 (ko) * 2000-08-23 2002-12-05 삼성전자 주식회사 셀프-얼라인 콘택 구조를 갖는 반도체 장치 및 그 제조방법
KR100373709B1 (ko) * 2000-09-05 2003-02-25 아남반도체 주식회사 반도체 소자 및 그 제조 방법
US6593198B2 (en) * 2000-09-18 2003-07-15 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
JP2002124665A (ja) * 2000-10-12 2002-04-26 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2002170941A (ja) * 2000-12-01 2002-06-14 Nec Corp 半導体装置及びその製造方法
TW480663B (en) * 2001-02-15 2002-03-21 Winbond Electronics Corp Method for combining self-aligned contact processing and salicide processing
US6693333B1 (en) * 2001-05-01 2004-02-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator circuit with multiple work functions
US6737312B2 (en) * 2001-08-27 2004-05-18 Micron Technology, Inc. Method of fabricating dual PCRAM cells sharing a common electrode
JP2003179224A (ja) * 2001-12-10 2003-06-27 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6537885B1 (en) * 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US6624024B1 (en) * 2002-08-29 2003-09-23 Micron Technology, Inc. Method and apparatus for a flash memory device comprising a source local interconnect

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0637272A (ja) * 1992-07-17 1994-02-10 Toshiba Corp 半導体記憶装置
JPH10303417A (ja) * 1997-04-24 1998-11-13 Nec Corp 半導体装置の製造方法
JP2000106431A (ja) * 1998-09-28 2000-04-11 Sanyo Electric Co Ltd 半導体装置の製造方法
JP2000260952A (ja) * 1999-03-05 2000-09-22 Toshiba Corp 半導体装置
JP2001127291A (ja) * 1999-11-01 2001-05-11 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP2001196581A (ja) * 2000-01-17 2001-07-19 Oki Electric Ind Co Ltd 半導体装置および半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7741682B2 (en) 2005-09-15 2010-06-22 Elpida Memory, Inc. Semiconductor integrated circuit device including a silicon layer formed on a diffusion layer

Also Published As

Publication number Publication date
US7709366B2 (en) 2010-05-04
CN1405896A (zh) 2003-03-26
TW583769B (en) 2004-04-11
US20030052375A1 (en) 2003-03-20
US20100200925A1 (en) 2010-08-12
US20050196944A1 (en) 2005-09-08
KR20030025877A (ko) 2003-03-29
US6914309B2 (en) 2005-07-05
CN1210813C (zh) 2005-07-13
KR100455806B1 (ko) 2004-11-06

Similar Documents

Publication Publication Date Title
US6545360B1 (en) Semiconductor device and manufacturing method thereof
JP3199717B2 (ja) 半導体装置およびその製造方法
JP2002299608A (ja) 半導体装置及びその製造方法
KR20130039525A (ko) 다마신비트라인을 구비한 반도체장치 및 그 제조 방법
US20100200925A1 (en) Semiconductor device and method of manufacturing the same
JP3132435B2 (ja) 半導体装置の製造方法
JP2004119644A (ja) 半導体装置の製造方法及び半導体装置
JP2585140B2 (ja) 半導体装置の配線接触構造
TW201413797A (zh) Mos電晶體及其形成方法
JP3195785B2 (ja) 半導体記憶装置およびその製造方法
JP2001196581A (ja) 半導体装置および半導体装置の製造方法
JPH08162635A (ja) 半導体装置およびその製造方法
US7202180B2 (en) Methods of forming semiconductor devices using an etch stop layer
JPH10335662A (ja) セルフアライン珪化物の製造方法
JP2003179224A (ja) 半導体装置およびその製造方法
JP2685034B2 (ja) 半導体装置およびその製造方法
JPH06204173A (ja) 半導体装置の製造方法
JP2910653B2 (ja) 半導体装置の製造方法
JP2007067250A (ja) 半導体装置の製造方法
JP4191203B2 (ja) 半導体装置及びその製造方法
JP2594772B2 (ja) Mos素子およびその製造方法
JP2003332347A (ja) 半導体装置および製造方法
JPH1197529A (ja) 半導体装置の製造方法
JP2004342908A (ja) 半導体装置の製造方法及び半導体装置
JP4467162B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20060818

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070305

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081022

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110126

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110720