DE69927966T2 - Hochtemperatur-, mehrschicht-, legierungsheizanordnung - Google Patents

Hochtemperatur-, mehrschicht-, legierungsheizanordnung Download PDF

Info

Publication number
DE69927966T2
DE69927966T2 DE69927966T DE69927966T DE69927966T2 DE 69927966 T2 DE69927966 T2 DE 69927966T2 DE 69927966 T DE69927966 T DE 69927966T DE 69927966 T DE69927966 T DE 69927966T DE 69927966 T2 DE69927966 T2 DE 69927966T2
Authority
DE
Germany
Prior art keywords
metal
inner core
chamber
heating
heating element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69927966T
Other languages
English (en)
Other versions
DE69927966D1 (de
Inventor
Talex Sajoto
Leonid Selyutin
Jun Zhao
Stefan Wolff
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE69927966D1 publication Critical patent/DE69927966D1/de
Application granted granted Critical
Publication of DE69927966T2 publication Critical patent/DE69927966T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Resistance Heating (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

  • Die vorliegende Erfindung bezieht sich auf die Halbleiterherstellung. Insbesondere bezieht sich die Erfindung auf eine Vorrichtung zur Ausbildung von Filmen bei Temperaturen von mehr als etwa 450°C. Bei einigen speziellen Ausgestaltungen eignet sich die Erfindung zur Ausbildung von Filmen, die Titan enthalten, wie Titan, Titannitrid und Titandisilicid, bei Temperaturen von bis zu etwa 625°C oder mehr unter Verwendung von chemischen Gasphasenabscheidungsprozessen (CVD). Solche Filme können als Leitermusterschichten, als Zapfen zwischen Leiterschichten, als Diffusionssperrschichten, als Adhäsionsschichten und als Vorläuferschicht für die Silicidbildung verwendet werden. Zusätzlich können andere Ausführungsformen der vorliegenden Erfindung beispielsweise bei physikalischen Gasphasenabscheidungsprozessen (PVD) zum Legieren von Substratmaterialien und zur Wärmebehandlung von Substratmaterialien verwendet werden.
  • Einer der Hauptschritte bei der Herstellung moderner Halbleiterbauelemente ist die Ausbildung verschiedener Schichten, einschließlich dielektrischer Schichten und Metallschichten, auf einem Halbleitersubstrat. Bekanntlich können diese Schichten durch CVD- oder PVD-Prozesse abgeschieden werden. Bei einem herkömmlichen CVD-Prozess werden reaktive Gase der Substratoberfläche zugeführt, wo durch Wärme induzierte chemische Reaktionen (homogen oder heterogen) zur Erzeugung eines gewünschten Films erfolgen. Bei einem herkömmlichen Plasma-CVD-Prozess wird ein kontrolliertes Plasma gebildet, um reaktive Spezies zu zersetzen und/oder anzuregen, damit der gewünschte Film erzeugt wird. Im Allgemeinen können die Reaktionsgeschwindigkeiten bei thermischen und Plasmaprozessen kontrolliert werden, indem eine oder mehrere der folgenden Größen gesteuert werden: Temperatur, Druck, Plasmadichte, Reaktionsteilnehmergasdurchsatz, Leistungsfrequenz, Leistungsstärken, Kammergeometrie und andere. Bei einem beispielsweisen PVD-System wird ein Target (eine Platte des Materials, das abgeschieden werden soll) an eine Negativspannungsversorgung (Gleichstrom DC oder Hochfrequenz HF) angeschlossen, während ein dem Target zugewandter Substrathalter entweder geerdet, erdfrei gehalten, vorgespannt, erhitzt, gekühlt wird oder diese Maßnahmen in irgendeiner Weise kombiniert werden. In das PVD-System wird ein Gas, wie Argon, eingeführt, das üblicherweise auf einem Druck zwischen wenigen Millitorr (1 mTorr = 0,133 Pa) und etwa 100 mTorr gehalten wird, um ein Medium zu bilden, bei dem eine Glimmentladung eingeleitet und aufrechterhalten werden kann. Wenn die Glimmentladung beginnt, treffen das Target positive Ionen, und durch Impulsübertragung werden Targetatome entfernt. Diese Targetatome kondensieren darauf folgend zu einem dünnen Film auf dem Substrat, der sich auf dem Substrathalter befindet.
  • Die Halbleiterbauelementgeometrien haben sich in der Größe extrem verkleinert, seit solche Bauelemente vor mehreren Jahrzehnten zuerst eingeführt wurden. Seitdem folgten die integrierten Schaltungen insgesamt der Regel zwei Jahre/halbe Größe (häufig als "Mooresches Gesetz" bezeichnet), was bedeutet, dass sich die Anzahl der Bauelemente, die auf einen Chip passen, alle zwei Jahre verdoppelt. Die heutigen Waferherstellungsanlagen erzeugen routinemäßig Bauelemente mit einer Strukturgröße von 0,35 μm, während die Anlagen von morgen bald Bauelemente mit noch kleineren Strukturgrößen erzeugen werden. Wenn die Strukturgrößen der Bauelemente kleiner werden und die Integrationsdichte zunimmt, werden Ergebnisse immer interessanter, die von der Industrie früher nicht als entscheidend angesehen wurden. Beispielsweise haben Bauelemente mit zunehmend hoher Integrationsdichte Strukturen mit hohen Seitenverhältnissen (beispielsweise mehr als 3:1 oder 4:1). (Das Seitenverhältnis ist als Verhältnis von Höhe zu Abstand von zwei benachbarten Stufen definiert.) Strukturen mit hohem Seitenverhältnis, wie Spalte, müssen bei vielen Anwendungen angemessen mit einer abgeschiedenen Schicht gefüllt werden.
  • Es werden zunehmend strenge Erfordernisse bei der Herstellung dieser Bauelemente mit hoher Integration benötigt, um moderne Bauelemente herzustellen, wobei, um diesen Anforderungen zu genügen, herkömmliche Substratbehandlungssysteme unzulänglich werden. Außerdem werden mit der Entwicklung der Bauelementauslegungen fortschrittlichere Behandlungsfähigkeiten bei Substratbehandlungssystemen gefordert, die zur Abscheidung von Filmen aus Materialien verwendet werden, die zur Ausführung dieser Bauelemente benötigt werden. Beispielsweise gehört in zunehmendem Maße die Verwendung von Titan zu den Herstellungsprozessen von integrierten Schaltungen. Titan hat viele erwünschte Eigenschaften für die Verwendung bei einem Halbleiterbauelement. Titan kann als Diffusionssperre beispielsweise zwischen einem Verbindungsfeld aus Gold und einem Halbleiter wirken, um eine Migration einer Atomspezies in die nächste zu verhindern. Titan kann auch verwendet werden, die Haftung zwischen zwei Schichten zu verbessern, beispielsweise zwischen Silicium und Aluminium. Weiterhin kann die Entfernung von Titan, das Titandisilicid (Silicid) bildet, wenn es mit Silicium legiert wird, beispielsweise die Bildung von Ohmschen Kontakten ermöglichen. Eine übliche Bauweise eines Abscheidungssystems, das zum Abscheiden beispielsweise eines Titanfilms verwendet wird, ist ein Titanzerstäubungs-Abscheidungssystem, das häufig zur Bildung von Bauelementen mit höheren Behandlungs- und Fertigungsanforderungen unzulänglich ist. Insbesondere können Titanzerstäubungssysteme zur Abscheidung gleichförmiger konformer Schichten in Spalten mit hohem Seitenverhältnis aufgrund von Schatteneffekten, die beim Zerstäuben auftreten, nicht in der Lage sein. Im Gegensatz zu Zerstäubungssystemen kann ein chemisches Gasphasenabscheidungssystem mit Plasmaverstärkung (PECVD) zur Bildung eines Titanfilms auf einem Substrat mit Spalten mit hohem Seitenverhältnis geeigneter sein. Bekanntlich kann ein Plasma, das eine Mischung aus Ionen und Gasmolekülen ist, durch Anlegen von Energie, beispielsweise Hochfrequenz-(HF-)Energie, an ein Prozessgas in der Abscheidungskammer bei geeigneten Bedingungen gebildet werden, beispielsweise Kammerdruck, Temperatur, HF-Leistung und andere. Das Plasma erreicht eine Schwellenwertdichte zur Bildung eines Selbsterhaltungszustands, was unter Bildung einer Glimmentladung bekannt ist (worauf häufig als "Zündung" oder "Zünden" des Plasmas Bezug genommen wird). Diese HF-Energie steigert den Energiezustand der Moleküle in dem Prozessgas und bildet aus den Molekülen Ionenspezies. Sowohl die erregten Moleküle als auch die Ionenspezies sind gewöhnlich reaktiver als das Prozessgas und bilden somit den gewünschten Film mit größerer Wahrscheinlichkeit. Vorteilhafterweise verstärkt das Plasma auch die Mobilität der reaktiven Spezies über der Oberfläche des Substrats, wenn sich der Titanfilm bildet, und führt zu Filmen, die eine gute Spaltfüllfähigkeit haben.
  • Jedoch haben herkömmliche PECVD-Systeme, die Aluminiumheizvorrichtungen verwenden, einige Grenzen bei Verwendung für bestimmte Prozesse, beispielsweise die Bildung eines Titanfilms aus einem Dampf aus beispielsweise Titantetrachlorid (TiCl4). Die Aluminiumkorrosion, Temperaturbegrenzungen, unerwünschte Abscheidung und Fertigungswirkungsgrad sind einige der Probleme bei solchen herkömmlichen PECVD-Systemen, die zur Abscheidung eines Films, wie Titan, verwendet werden können.
  • Bei dem als Beispiel herangezogenen Prozess erzeugen Titantetrachlorid, das bei Raumtemperatur eine Flüssigkeit ist, und ein Trägergas, wie Helium, das durch diese Flüssigkeit in Form von Blasen hindurchgeht, Dampf, der zu einer Abscheidungskammer transportiert werden kann. Bei einer Substrattemperatur von etwa 600°C scheidet dieser Prozess eine Titanschicht von etwa 100 Å/min (10 Å = 1 nm) ab. Man möchte die Abscheidungsgeschwindig keit steigern, wobei ein Weg, dies zu tun, darin besteht, die Temperatur des Substrats zu erhöhen.
  • Wenn jedoch das Titantetrachlorid zur Bildung des Titanfilms dissoziiert, wird in der Kammer Chlor freigesetzt. Insbesondere bildet das Plasma, welches die Titanfilmabscheidung verstärkt, Boratome und -ionen, die in unerwünschter Weise dazu neigen, Aluminiumheizvorrichtungen unter diesen Bedingungen zu korrodieren. Die Aluminiumkorrosion schädigt nicht nur die Heizvorrichtung, sondern kann auch im Ergebnis zu einer Behandlungsverschlechterung bezüglich Metallverunreinigung in den Bauelementen und zu einer Teilchenerzeugung führen.
  • Eine Aluminiumheizvorrichtung ist nicht nur empfindlich gegenüber Korrosion durch Chlor, sondern ist insgesamt begrenzt auf Betriebstemperaturen von weniger als etwa 480°C. Aluminium ist ein ungeeignetes Material für Heizvorrichtungen, die bei hoher Temperatur arbeiten, da bei Temperaturen von mehr als etwa 480°C Heizvorrichtungen aus Aluminium weich werden, was möglicherweise zu einer Verwerfung und/oder Beschädigung der Heizvorrichtung führt. Zusätzliche Probleme entstehen, wenn Aluminiumheizvorrichtungen über etwa 480°C bei Vorhandensein eines Plasmas eingesetzt werden. In einer solchen Umgebung kann das Aluminium rückzerstäuben und das Substrat und Kammerbauteile verunreinigen. Außerdem unterliegen Aluminiumheizvorrichtungen, die auch bei niedrigeren Temperaturen mit einigen der chemischen Spezies, die einigen Abscheidungsprozessen zugeordnet sind, wie Chlorverbindungen, die bei einem Titanabscheidungsprozess erzeugt werden, inkompatibel sind, einem stark erhöhten Angriff bei höheren Temperaturen. Chemisches Spezies, wie Chlor, das in Trocken-Reinprozessen verwendet wird, greift die Aluminiumheizvorrichtungen ebenfalls an. Bei Temperaturen von mehr als etwa 480°C können diese chemischen Spezies Aluminiumheizvorrichtungen aggressiver angreifen und korrodieren bei niedrigeren Temperaturen, wodurch die Betriebseinsatzzeit der Heizvorrichtung verringert wird und in unerwünschter Weise ein häufigerer Heizvorrichtungsaustausch erforderlich wird. Der Heizvorrichtungsaustausch ist nicht nur wegen der Kosten der Heizvorrichtung, sondern auch deswegen teuer, weil der produktive Einsatz der Abscheidungskammer während der Zeit des Austausches des Heizvorrichtung verloren geht.
  • Zusätzlich zur Korrosion des Aluminiums, der Erweichung der Heizvorrichtung und den Temperaturgrenzen gehören zu weiteren Problemen bei den Metallabscheidungen in einem PECVD-Behandlungssystem eine unerwünschte Metallabscheidung und diesbezügliche Probleme bei der Fertigungseffizienz. Während die stärkste Filmabscheidung im Allgemeinen an Stellen erfolgt, wo die Temperatur am höchsten ist, tritt eine geringe Abscheidung bei niederen Temperaturen auch bei Abwesenheit eines Plasmas auf. Unerwünschte Metallabscheidungen können eine Vielzahl von Problemen verursachen, beispielsweise eine ungleichförmige Abscheidung, Lichtbogenbildung, verschlechterte Funktion der Kammerbauelemente und/oder Bauelementdefekte. Neben dem Auftreten an Kammerwand- und Bodenflächen kann eine unerwünschte Metallabscheidung an nichtleitenden Komponenten erfolgen, beispielsweise keramischen Distanzstücken und Auskleidungen in der Abscheidungskammer oder dem Kammerabsaugweg, die dann leitend werden. Diese unerwünschte leitende Metallabscheidung kann die Form der Glimmentladung sprengen, was zu einer ungleichförmigen Abscheidung über dem Substrat führt. Sie kann auch eine Lichtbogenbildung verursachen, die das Substrat oder die HF-Leistungseinspeisung beschädigen kann. Ferner kann sich Titan an der Heizvorrichtung, in Gas- oder Vakuumöffnungen, was den Durchstrom unerwünscht beschränkt, oder an mechanischen Teilen mit engen Toleranzen zur Störung ihrer Funktion aufbauen. Unerwünschte Abscheidungen, die eine schlechte Bindung an den darunter liegenden Kammerbauteil haben oder die sich auf der Heizeinrichtung aufbauen, können zu Flocken oder anderen Teilchen führen, die auf das Substrat fallen und auf dem Substrat Defekte verursachen und somit die Substratausbeute verringern. Aus diesen und anderen Gründen muss die Kammer periodisch gereinigt werden. Dies erfordert häufig eine wenigstens teilweise Demontage der Kammer und ihr Auswischen. Danach muss die Kammer wieder montiert und "gealtert" werden, d.h. es muss eine Anzahl von Abscheidungszyklen ausgeführt werden, bis gleich bleibende Schichten erhalten werden. Beide Vorgänge nehmen das Abscheidungssystem aus dem Produktionsbetrieb, was ineffizient und unwirtschaftlich ist.
  • Als Alternative zur Verwendung von Aluminiumheizvorrichtungen hat man bei einigen Anwendungen keramische Heizvorrichtungen vorgeschlagen. Die Herstellung von keramischen Heizvorrichtungen und ihr Einsatz in Abscheidungsprozessen führt jedoch zu gewaltigen Herausforderungen. Keramische Heizvorrichtungen haben gewöhnlich ein elektrisches Heizelement in einem keramischen Heizkörper aus Materialien wie Aluminiumoxid (Al2O3) oder Aluminiumnitrid (AlN), welches das Heizelement vor der korrosiven Umgebung der Abscheidungskammer schützt, während Wärme von dem Heizelement zu dem Substrat übertragen wird. Da sie gewöhnlich härter und spröder als Metalle sind, können keramische Materialien schwierig abtragend bearbeitet werden, so dass sie einen einfachen mechanischen Aufbau haben müssen. Da sie etwas spröde sind, kann Keramik bei einem Wärmeschock, wenn sie wiederholt einem ausreichenden thermischen Gradienten ausgesetzt wird, reißen. Das Reißen kann auch aus der unterschiedlichen Wärmeausdehnung an dem Übergang von der keramischen Heizanordnung zu einem Material mit einem anderen Wärmeausdehnungskoeffizienten entstehen. Auch das Verbinden von keramischen Teilen, die aus dem gleichen Material hergestellt sind, ist eine große Aufgabe, da viele Montageverfahren und -vorrichtungen, die zum Montieren von Metallteilen verwendet werden, wie Schweißen, Verbolzen, Hartlöten und Schrauben, extrem schwierig oder nicht funktionssicher sein können, wenn sie bei keramischen Teilen versucht werden.
  • Das Erreichen einer Temperaturgleichförmigkeit ist ein anderes Problem bei Heizeinrichtungen, die bei hohen Temperaturen in Substratbehandlungssystemen arbeiten. Bekanntlich werden die Abscheidungs- und Ätzraten von der Temperatur des Substrats beeinflusst. Deshalb kann eine Temperaturdifferenz über einer das Substrat haltenden Heizvorrichtungsoberfläche zu unterschiedlichen Abscheidungen oder Ätzungen führen. Einige herkömmliche Ätzvorrichtungskonstruktionen verteilen die Wärme nicht gleichförmig über das Substrat. Dieses Problem kann bei höheren Temperaturen entscheidender werden, wo die thermischen Gradienten größer sein können.
  • Im Hinblick auf die vorstehenden Ausführungen benötigt man verbesserte Verfahren, Systeme und Vorrichtungen für eine effiziente plasmaverstärkte Abscheidung von Filmen in einer Umgebung mit hohe Temperatur (wenigstens etwa 400°C). Optimal erfordern diese verbesserten Verfahren und Vorrichtungen weniger Kammerreinigung und führen zu einem höheren Substratausstoß. Insbesondere sollen diese Systeme und Verfahren so ausgelegt werden, dass sie mit den Behandlungserfordernissen zur Ausbildung von Bauelementen mit Strukturen mit hohem Seitenverhältnis verträglich sind.
  • Diese Erfindung stellt eine Substratbehandlungsvorrichtung mit einer Kammer, mit einem Heizleistungssystem und mit einer Heizanordnung bereit, die eine Oberfläche zum Halten eines Substrats, einen inneren Kern aus einem ersten Metall, einen äußeren Mantel aus einem zweiten Metall, der den inneren Kern umgibt, und ein Widerstandsheizelement aufweist, das symmetrisch zwischen einer oberen Fläche und einer unteren Fläche des äußeren Mantels und in dem inneren Kern angeordnet ist, wobei das erste Metall eine höhere Wärmeleitfähigkeit als das zweite Metall hat. Die Symmetrie der Heizvorrichtung kombiniert mit der thermischen Koppelung erlaubt es, dass die Heizeinrichtung für ein gleichförmiges Heizen gut über 400°C ohne übermäßige thermische Verformung der Heizanordnung sorgt.
  • Bei einer speziellen Ausgestaltung hat das Heizelement eine innere Schleife und eine äußeren Schleife, die unabhängig zur Einstellung des Temperaturprofils über der Oberfläche der Heizvorrichtung gesteuert werden können. Ein thermischer Spalt in dem inneren Kern zwischen der inneren Schleife und der äußeren Schleife sorgt für eine thermische Isolation zwischen den jeweiligen Abschnitten des inneren Kerns.
  • Bei einer weiteren Ausgestaltung ist in dem Trägerschaft der Heizanordnung ein Isolator so vorgesehen, dass die Heizanordnung als Elektrode in einem Plasmaprozess verwendet werden kann. An einem Schaft der Heizanordnung ist ein keramischer Isolator mit einer Auslegerklemme und einem Spannarm befestigt, der den keramischen Isolator nicht einreißt und die unterschiedliche Wärmeausdehnung berücksichtigen kann, die während des Einsatzes auftritt. Bei einer alternativen Ausgestaltung ist ein keramischer Isolator an dem Schaft mit einer Glas-auf-Metall-Dichtung befestigt, wodurch ein gasdichter Schaftinnenraum geschaffen wird.
  • Diese Erfindung stellt auch einen Heizsockel zum Erhitzen eines Substrats auf eine Temperatur von wenigstens etwa 400°C bereit, wobei der Heizsockel einen inneren Kern aus einem ersten Metall, einen Mantel aus einem zweiten Metall, der das Metall umgibt, wobei die Wärmeleitfähigkeit des ersten Metalls größer als die Wärmeleitfähigkeit des zweiten Metalls ist, ein Widerstandsheizelement, das in eine Nut in dem inneren Kern so hart gelötet ist, dass das Widerstandselement symmetrisch in dem inneren Kern und dem Mantel ist, einen Stummelschaft, der mit einem unteren Abschnitt des Mantels gekoppelt ist, einen Isolator, der mit dem Stummelschaft durch eine erste Auslegerklemme gekoppelt ist, einen Trägerschaft, der mit dem Isolator durch eine zweite Auslegerklemme gekoppelt ist, und eine Hochfrequenzelektrode aufweist, die elektrisch mit dem inneren Kern, dem Mantel oder dem Stummelschaft gekoppelt ist.
  • Diese und weitere Ausführungsformen der vorliegenden Erfindung sowie ihre Vorteile und Eigenschaften werden im Einzelnen in Verbindung mit dem nachstehenden Text und den beiliegenden Figuren beschrieben.
  • 1A ist ein Blockschaltbild einer Ausführungsform der vorliegenden Erfindung, die ein Abscheidesystem mit einem vereinfachten Querschnitt der Abscheidekammer zeigt.
  • 1B zeigt eine Schnittstelle zwischen einem Nutzer und einem Prozessor, der das Abscheidesystem steuern kann.
  • 2A ist eine vereinfachte Schnittansicht der Abscheidekammer nach einer Ausführungsform der vorliegenden Erfindung.
  • 2B zeigt einen vereinfachten Querschnitt eines Hubstiftlochs.
  • 2C zeigt einen vereinfachten Querschnitt einer Abschirmung, die über der Oberseite und den Seiten einer Heizanordnung angeordnet ist.
  • 3 zeigt ein Ablaufdiagramm des hierarchischen Steueraufbaus der Systemsteuer-Software gemäß einer Ausgestaltung der vorliegenden Erfindung.
  • 4A zeigt eine vereinfachte Schnittansicht einer Heizanordnung nach einer Ausgestaltung der vorliegenden Erfindung.
  • 4B zeigt eine vereinfachte Schnittansicht eines Heizelements, das in eine Nut hartgelötet ist.
  • 5 ist eine auseinandergezogene Ansicht einiger Bauteile einer Heizanordnung gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 6 ist eine Draufsicht auf ein inneres Kernelement mit Heizelementnuten, Hubstiftlöchern und einer Wärmedrossel.
  • 7 zeigt eine vereinfachte Schnittansicht eines Teils einer Wärmeabschirmung.
  • 8A zeigt eine vereinfachte Schnittansicht einer Ausführungsform der vorliegenden Erfindung mit einem Hochfrequenzisolator zwischen der Heizanordnung und einem Heizträgerschaft.
  • 8B ist eine vereinfachte Schnittansicht einer weiteren Ausführungsform der vorliegenden Erfindung mit einer Wärmedrossel, die zwischen der Heizanordnung und einem keramischen HF-Isolator angeordnet ist.
  • 8C zeigt eine vereinfachte Schnittansicht eines Kopplers gemäß einer Ausführungsform der vorliegenden Erfindung, welche einen wärmegedrosselten Koppler und eine Klemme aufweist.
  • 8D zeigt eine vereinfachte isometrische Ansicht eines wärmegedrosselten Kopplers gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 9 zeigt eine vereinfachte Schnittansicht eines nicht zur vorliegenden Erfindung gehörenden Bauelements.
  • 10 zeigt Versuchsergebnisse der Temperaturgleichförmigkeit des Heizsockels gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 11 zeigt eine vereinfachte Anordnung, die nicht zur Erfindung gehört und bei der eine Heiz- und Sockelanordnung die Keramik-auf-Metall-Dichtungen aufweist.
  • 1. CVD-Reaktorsystem
  • A. Überblick über einen beispielsweisen CVD-Reaktor
  • Ausführungsformen der vorliegenden Erfindung sind Vorrichtungen, die zum Abscheiden von Filmen, beispielsweise einem Titanfilm, bei einer Temperatur verwendet werden können, die höher als etwa 400°C ist. Natürlich kann eine solche Vorrichtung, wie sie nachstehend beschrieben ist, zum Abscheiden anderer Filme verwendet werden, beispielsweise von Titansilicid, Titannitrid, Barium-Strontium-Titanat (BST), Blei-Zirconat-Titanat (PZT), Polysilicium, Metallsilicide, Metallnitrid-Sperrschichten, wie Wolframnitrid, oder andere Filme zusätzlich zu einem Titanfilm. Solche Filme können zur Bildung von Metallschichten, Adhäsionsschichten, Kontaktlochstopfen oder von anderen Schichten verwendet werden.
  • Gemäß 1A hat das CVD-System 10 eine Reaktorkammer 30, die Gase aus einem Gasliefersystem 89 über Gasleitungen 92A bis 92C (es können andere Leitungen vorhanden sein, sind jedoch nicht gezeigt) erhält. Zur Aufrechterhaltung eines spezifischen Drucks in der Kammer wird ein Vakuumsystem 88 verwendet, das Gasnebenprodukte und verbrauchte Gase aus der Kammer entfernt. Eine HF-Leistungsversorgung 5 stellt für die Kammer eine HF-Leistung für plasmaverstärkte Prozesse bereit. Ein Wärmeaustauschsystem 6 verwendet ein flüssiges Wärmeübertragungsmedium, wie Wasser oder eine Wasser-Glycolmischung, um Wärme aus der Reaktorkammer zu entfernen, um bestimmte Teil der Kammer geeignet kühl zu halten, damit eine Kammertemperatur für stabile Prozesstemperaturen aufrechterhalten oder, falls erforderlich, Abschnitte der Kammer erwärmt werden können. Ein Prozessor 85 steuert den Betrieb der Kammer und der Untersysteme in Übereinstimmung mit in einem Speicher 86 gespeicherten Instruktionen über Steuerleitungen 3, 3A, 3B, 3C und 3D (und andere Steuerleitungen, die nicht gezeigt sind).
  • Der Prozessor 85 führt die Systemsteuer-Software aus, die ein Rechnerprogramm ist, das in dem Speicher 86 gespeichert ist, der mit dem Prozessor 85 gekoppelt ist. Vorzugsweise kann der Speicher 86 ein Festplattenlaufwerk sein, natürlich aber auch irgendeine Art von Speicher. Zusätzlich zu einem Festplattenlaufwerk (beispielsweise Speicher 86) hat die CVD-Vorrichtung 10 bei einer speziellen Ausgestaltung ein Diskettenlaufwerk und einen Karteneinschub. Der Prozessor 85 arbeitet unter der Steuerung der Systemsteuer-Software, die Instruktionssätze enthält, die die Zeitsteuerung, die Mischung von Gasen, den Gasstrom, den Kammerdruck, die Kammertemperatur, HF-Leistungspegel, die Heizsockelposition, die Heizvorrichtungstemperatur und andere Parameter eines speziellen Prozesses vorgeben. Zum Betreiben des Prozessors 85 können auch andere Rechnerprogramme verwendet werden, beispielsweise solche, die in einem anderen Speicher gespeichert sind, wozu beispielsweise eine Diskette oder ein anderes Rechnerprogrammprodukt gehören, das in eine Diskette oder ein anderes geeignetes Laufwerk eingesetzt wird. Die Systemsteuer-Software wird nachstehend im Einzelnen erörtert. Der Karteneinschub enthält einen Ein-Karten-Rechner, analoge und digitale Eingabe-/Ausgabeplatinen, Interface-Platinen und Schrittmotor-Steuerplatinen. Verschiedene Teile der CVD-Vorrichtung 10 entsprechen dem Versa-Modular-European-Standard (VME), der Platine, Kartenkäfig und Anschlussdimensionen und Arten definiert. Der VME-Standard definiert auch die Busstruktur mit einem 16-Bit-Datenbus und einem 24-Bit-Adressenbus.
  • Die Schnittstelle zwischen einem Benutzer und dem Prozessor 85 erfolgt über einen CRT-Monitor 93a und einem Lichtstift 93b, der in 1B gezeigt ist, die eine vereinfachte Darstellung des Systemmonitors ist, der bei der CVD-Vorrichtung 10 verwendet wird, dargestellt als eine der Kammern in einem Mehrkammersystem. Die CVD-Vorrichtung 10 ist vorzugsweise an einer Hauptrahmeneinheit 51 befestigt, die die elektrischen, Installations- und andere Stützfunktionen für die Vorrichtung 10 enthält und bereitstellt. Beispielsweise Hauptrahmeneinheiten, die mit der gezeigten Ausführung einer CVD-Vorrichtung 10 kompatibel sind, sind gegenwärtig im Handel als PRECISION 5000TM- und als CENTURA 5200TM-System von Applied Materials, Inc., Santa Clara, Kalifornien, verfügbar. Das Mehrfachkammersystem hat die Fähigkeit, einen Wafer zwischen seinen Kammern zu überführen, ohne das Vakuum aufzuheben und ohne dass der Wafer feuchten oder anderen Verunreinigungen außerhalb des Mehrkammersystems ausgesetzt werden muss. Ein Vorteil des Mehrkammersystems besteht darin, dass verschiedene Kammern in dem Mehrkammersystem für verschiedene Zwecke bei dem Gesamtprozess verwendet werden können. Beispielsweise kann eine Kammer zum Abscheiden eines Metallfilms, eine andere für eine schnelle thermische Behandlung und wieder eine andere zum Abscheiden einer antireflektiven Schicht verwendet werden. Das Verfahren kann ohne Unterbrechung in dem Mehrkammersystem fortgeführt werden, wodurch eine Verunreinigung von Wafern verhindert wird, die häufig auftritt, wenn Wafer zwischen verschiedenen gesonderten individuellen Kammern (nicht in einem Mehrkammersystem) für verschiedene Teile eines Prozesses überführt werden.
  • Bei der bevorzugten Ausführungsform werden zwei Monitore 93a verwendet, von denen einer in der Reinraumwand für die Betätigungspersonen und der andere hinter der Wand für die Wartungstechniker angebracht ist. Beide Monitoren 93a zeigen gleichzeitig die gleiche Information an, jedoch ist nur einer lichtstiftwirksam (93b). Der Lichtstift 93b erfasst von der CRT-Anzeige emittiertes Licht mit einem Lichtsensor in der Spitze des Stiftes. Um einen speziellen Schirm oder eine spezielle Funktion auszuwählen, berührt die Bedienungsperson einen bezeichneten Bereich des Anzeigeschirms und drückt den Knopf an dem Stift 93b. Der berührte Bereich ändert seine Bildpunktfarbe oder es wird ein neues Menü oder ein neuer Schirm angezeigt, was die Verbindung zwischen dem Lichtstift und dem Anzeigeschirm bestätigt. Natürlich können auch andere Vorrichtungen, wie eine Tastatur, eine Maus oder eine andere Hinweis- oder Kommunikationsvorrichtung, anstelle von oder zusätzlich zu dem Lichtstift 93b verwendet werden, um dem Nutzer die Kommunikation mit dem Prozessor 85 zu ermöglichen.
  • Das Gasliefersystem 89 von 1A hat eine Gasversorgungsplatte 90 und Gas- oder Flüssigkeitsquellen 91A bis 91C (gewünschtenfalls können weitere Quellen hinzugefügt werden), die Gase oder Flüssigkeiten enthalten, die sie abhängig von den gewünschten, für eine spezielle Anwendung verwendeten Prozessen ändern können. Die Flüssigkeitsquellen können auf einer Temperatur gehalten werden, die viel höher ist als die Raumtemperatur, um Quellentemperaturänderungen aufgrund Änderungen der Raumtemperatur zu minimieren. Die Gaszuführungsplatte 90 hat ein Mischsystem, welches die Abscheidungsprozess- und Trägergase (oder verdampfte Flüssigkeiten) von den Quellen 91A bis 91C zum Mischen und Führen zu einem zentralen Gaseinlass 44 in einer Gasbeschickungsabdeckplatte 45 über Zuführleitungen 92A bis 92C empfängt. Die Flüssigkeitsquellen können erhitzt werden, um einen Dampf bei einem Druck über dem Kammerbetriebsdruck bereitzustellen, oder es kann ein Trägergas, wie He, Ar oder N2, durch die Flüssigkeit (oder erhitzte Flüssigkeit) als Blasen durchgeführt werden, um einen Dampf zu erzeugen. Insgesamt hat die Zuführleitung für jedes der Prozessgase ein Absperrventil (nicht gezeigt), das dazu verwendet werden kann, den Strom des Prozessgases automatisch oder von Hand abzusperren, sowie eine Massenstromsteuerung (nicht gezeigt), die den Gas- oder Flüssigkeitsstrom durch die Zuführleitungen misst. Wenn in dem Prozess giftige Gase (beispielsweise Ozon oder Halogengase) verwendet werden, können die Absperrventile an jeder Gaszuführleitung in herkömmlichen Ausgestaltungen positioniert werden. Der Durchsatz, mit dem die Abscheidungs- und Trägergase, zu denen beispielsweise Titantetrachlorid-(TiCl4-)Dampf, Helium-(He-)Argon und Stickstoff (N2) und/oder andere Dotiermittel oder Reaktionsteilnehmerquellen gehören, der Reaktionskammer 30 zugeführt werden, wird ebenfalls von Flüssigkeits- oder Gasmassenstromsteuerungen (MFC) (nicht gezeigt) und/oder durch Ventile (nicht gezeigt) gesteuert. Bei einigen Ausführungsformen weist ein Gasmischsystem (nicht gezeigt) ein Flüssigkeitseinspritzsystem zum Verdampfen von Reaktionsteilnehmerflüssigkeiten auf. In manchen Fällen wird ein Flüssigkeitseinspritzsystem bevorzugt, da es eine bessere Steuerung des Volumens der Reaktionsteilnehmerflüssigkeit, die in das Gasmischsystem eingeführt wird, verglichen mit den Quellen in der Bauweise mit Blasendurchführung ermöglicht. Die verdampften Gase werden dann in der Gasplatte mit einem Trägergas, wie Helium, vermischt, bevor sie zu der Zuführleitung geliefert werden. Natürlich ist es bekannt, dass auch andere Mischungen als Abscheidungsquellen verwendet werden können.
  • Das Wärmeaustauschsystem 6 liefert Kühlmittel zu den verschiedenen Bauelementen der Kammer 30, um diese Bauelemente während der Hochtemperaturbehandlung zu kühlen. Das Wärmeaustauschsystem 6 wirkt so, dass die Temperatur dieser Kammerbauelemente verringert wird, um eine unerwünschte Abscheidung auf diesen Bauelementen aufgrund des Hochtemperaturprozesses zu minimieren. Das Wärmeaustauschsystem 6 hat Anschlüsse (nicht gezeigt), die Kühlwasser durch einen Kühlwasserverteiler (nicht gezeigt) zu führen, um Kühlmittel zu dem Gasverteilungssystem, einschließlich der Frontplatte 40, zu liefern (nachstehend erörtert). Ein Wasserdurchsatzdetektor erfasst den Wasserstrom von einem Wärmeaustauscher (nicht gezeigt) zu einer Mantelanordnung.
  • Ein widerstandsbeheizter Sockel 32 trägt einen Wafer 36 in einer Wafertasche 34. Der Sockel 32 kann vertikal zwischen einer Behandlungsposition (gezeigt) und einer unteren Ladeposition (nicht gezeigt) bewegt werden. Es wird ein selbsteinstellender Mechanismus verwendet, wie er im Einzelnen in der US-A-6120609 mit dem Titel "Verbesserter Selbstausrichtender Hubmechanismus" beschrieben ist. In dem Sockel 32 sind Hubstifte 39 (von denen nur einer gezeigt ist) gleitend verschiebbar, werden jedoch durch konische Köpfe an ihren oberen Enden vom Herausfallen abgehalten. Die unteren Enden der Hubstifte 38 können mit einem vertikal bewegbaren Hubring 39 in Eingriff stehen und können so über die Sockeloberfläche angehoben werden. Wenn sich der Sockel 32 in der unteren Ladeposition (etwas niedriger als eine Einführ-/Entfernöffnung 56) befindet, überführt ein Robotblatt (nicht gezeigt) in Zusammenwirkung mit den Hubstiften und dem Hubring den Wafer 36 in die Kammer 30 hinein und aus ihr heraus durch die Einführ-/Entfernöffnung 56, die vakuumabgedichtet sein kann, um einen Gasstrom in die Kammer und aus ihr heraus durch die Einführ-/Entfernöffnung 56 zu verhindern. Die Hubstifte 38 heben einen eingeführten Wafer (nicht gezeigt) von dem Robotblatt ab, wonach der Sockel hochsteigt, um den Wafer von den Hubstiften auf die Wafertasche auf der oberen Fläche des Sockels abzuheben. Eine geeignete Robot-Überführungsanordnung ist allgemein in dem auf Maydan übertragenen US-Patent 4,951,601 beschrieben.
  • Der Sockel 32 hebt dann den Wafer 36 weiter in die Behandlungsposition, die sich in unmittelbarer Nähe zu einer Gasverteilungsstirnplatte (im Folgenden "Duschkopf") 40 befindet, die eine große Anzahl von Löchern oder Durchlässen 42 zum Eindüsen des Prozessgases in die Behandlungszone 58 aufweist. Das Prozessgas wird in die Kammer 30 durch einen zentralen Gaseinlass 44 in der Gaszuführdeckplatte 45 zu einem ersten scheibenförmigen Sammler 48 und von dort durch Durchlässe 50 in einer Trennplatte (oder Blockierplatte) 52 zu einem zweiten scheibenförmigen Sammler 54 eingeblasen.
  • Wie durch die Pfeile gezeigt ist, wird das Prozessgas aus den Löchern 42 im Duschkopf 40 in die Behandlungszone 58 zwischen dem Duschkopf und dem Sockel eingedüst, so dass es an der Oberfläche des Wafers 36 reagiert. Die Prozessgas-Nebenprodukte strömen dann radial nach außen über den Rand des Wafers 36. Von dort strömt das Prozessgas durch eine Drosselöffnung 61, die zwischen der Unterseite eines Ringisolators 62 und der Oberseite der Kammerwand-Auskleidungsanordnung 53 ausgebildet ist, in einen Pumpkanal 60. Beim Eintreten in den Pumpkanal 60 wird das Abgas um den Umfang der Prozesskammer herum für die Evakuierung durch eine Vakuumpumpe 62 geleitet. Der Pumpkanal 60 ist über eine Auslassöffnung 74 mit einer Pumpkammer 76 verbunden. Wie im Einzelnen nachstehend erläutert wird, schränkt die Auslassöffnung 74 die Strömung zwischen dem Pumpkanal und der Pumpkammer ein. Ein Ventil 78 leitet das Abgas durch eine Abgasöffnung 80 zur Vakuumpumpe 82. Die Systemsteuerung (in dieser Ansicht nicht gezeigt) steuert ein Drosselventil 83 entsprechend einem Drucksteuerprogramm, das in einem Speicher (nicht gezeigt) gespeichert ist, der ein gemessenes Signal aus einem Drucksensor (nicht gezeigt), beispielsweise einem Manometer, mit einem gewünschten Wert vergleicht, der in dem Speicher gespeichert ist oder entsprechend dem Steuerprogramm erzeugt wird.
  • Gemäß 2A werden die Seiten des ringförmigen Pumpkanals 60 insgesamt von einem Keramikring 64, einer Kammerdeckelauskleidung 70, einer Kammerwandauskleidung 72 und dem Isolator 62 begrenzt. Keramische Kammerauskleidungen sind an sich bekannt und beispielsweise in dem wie üblich übertragenen US-Patent 5,366,585, ausgegeben für Robertson et al., beschrieben. Die Kammerdeckelauskleidung 70 ist auf der Seite des Pumpkanals 60 angeordnet, die einem Deckelrand 66 zugewandt ist, und entspricht der Form der Deckels. Die Kammerwandauskleidung 72 ist auf der Seite des Pumpkanals 60 angeordnet, der dem Hauptkammergehäuse 76 zugewandt ist. Beide Auskleidungen sind vorzugsweise aus Metall, wie Aluminium, hergestellt und können kugelgestrahlt sein, um die Haftung irgendeines darauf abgeschiedenen Filmes zu steigern. Die Deckel- und Kammerauskleidungen 70 und 72 sind als ein Satz bemessen. Die Kammerdeckelauskleidung 70 ist lösbar an dem Deckelrand 66 durch eine Vielzahl von Stiften 75 befestigt, die auch die Deckelauskleidung mit dem Deckelrand elektrisch verbinden. Die Kammerwandauskleidung 72 ist jedoch an einer Leiste 65 gehalten, die an der äußeren Oberseite des Keramikrings 64 ausgebildet ist, und ist präzise geformt, so dass sie einen solchen Durchmesser hat, dass ein radialer Spalt 73 zwischen der Kammerwandauskleidung 72 und dem Hauptkammergehäuse 76 und so der axiale Spalt 75 zwischen der Deckel- und Kammerauskleidung gebildet wird.
  • Die Drosselöffnung 61 hat eine Breite, die wesentlich kleiner ist als die Tiefe der Behandlungszone 58 zwischen dem Duschkopf 40 und dem Wafer 36, und ist wesentlich kleiner als die minimalen seitlichen Abmessungen des Umfangspumpkanals 60, beispielsweise um wenigstens einen Faktor fünf. Die Breite der Drosselöffnung 61 ist klein genug und ihre Länge lang genug, um einen ausreichenden aerodynamischen Widerstand bei dem Betriebsdruck und dem Gasstrom zu erzeugen, so dass der Druckabfall über der Drosselöffnung 61 wesentlich größer ist als irgendwelche Druckabfälle über dem Radius des Wafers oder um den Umfang des ringförmigen Pumpkanals herum. In der Praxis ist es nicht untypisch, dass die Drosselöffnung 61 genug aerodynamischen Widerstand einführt, damit der Druckabfall von der Mitte des Wafers zum Inneren des Pumpkanals nicht mehr als 10% des Umfangsdruckabfalls in dem Pumpkanal beträgt. Die verengte Auslassöffnung 74 hat eine ähnliche Funktion wie die der Drosselöffnung durch Erzeugung eines aerodynamischen Widerstands, indem ein nahezu gleichförmiger Druck um den Umfangspumpkanal 60 herum erzeugt wird.
  • Zum Bewegen und Bestimmen der Position von beweglichen mechanischen Anordnungen, wie einem Drosselventil 83 und dem Sockel 32, werden Motoren und optische Sensoren (nicht gezeigt) verwendet. An dem Boden des Sockels 32 und des Kammergehäuses 76 befestigte Balge (nicht gezeigt) bilden eine bewegliche Gasdichteabdichtung um den Sockel herum. Das Sockelhubsystem, die Motore, der Schieber, das Plasmasystem einschließlich des fakultativen Fern-Plasmasystems 4 (das verwendet werden kann, um eine Kammerreinigungsfähigkeit zu erhalten, indem ein Fernplasma verwendet wird, das beispielsweise unter Verwendung einer Mikrowellenquelle gebildet wird) und andere Systemkomponenten werden von dem Prozessor 85 über Steuerleitungen 3 und 3A bis 3D gesteuert, von denen nur einige gezeigt sind.
  • 2A zeigt zusätzliche Merkmale einer beispielsweisen Kammer. Der Sockel 32 hat eine Heizanordnung 33 und einen Trägerschaft 25. An die Unterseite der Heizanordnung ist ein mehrschichtiges Heizschild 31 angeschraubt und verringert den Wärmeverlust von der Unterseite und den Seitenflächen der Heizanordnung. Die Heizanordnung 33 hat einen inneren Kern 12 und einen äußeren Mantel 13. Der innere Kern ist aus einem Metall hergestellt, das eine höhere Wärmeleitfähigkeit als das Metall hat, das zur Herstellung des Mantels verwendet wird. Diese Ausgestaltung dient wenigstens zwei Zwecken. Erstens dient der innere Kern zur Ausbreitung von Wärme längs der Kern-Mantel-Trennfläche, wodurch die Temperaturgleichförmigkeit an der Oberfläche des Mantels verbessert wird. Zweitens schließt der innere Kern die gegenüberliegenden Mantelflächen thermisch zusammen, wodurch ein Verformen der Heizanordnung verringert wird, wie es nachstehend im Einzelnen erörtert wird. Mehrfach-Widerstandsheizelemente (beispielsweise zwei bei einer speziellen Ausgestaltung), nämlich ein äußeres Heizelement 14A und ein inneres Heizelement 14B, sind in der Mittelebene des inneren Kerns angeordnet. Die Vorteile der vorliegenden Erfindung können jedoch auch verwirklicht werden, wenn ein einziges Heizelement verwendet würde. In einem Hubstiftloch 20, das zu einer Hubstiftlochauskleidung 37 ausgerichtet ist, liegt ein Hubstift 38. Bei einer speziellen Ausgestaltung sind sowohl der Hubstift 38 als auch die Hubstiftlochauskleidung 37 aus Keramikmaterial hergestellt, um Verschleiß und Reibung bei hohen Temperaturen sowie eine Teilchenerzeugung verglichen mit Metallkomponenten zu reduzieren.
  • Die Hubstiftlochauskleidung wird durch einen C-Ring 21, wie in 2B gezeigt, an Ort und Stelle gehalten, der in eine Nut in dem Mantel schnappt. Um das Hubstiftloch herum ist ein Hubstiftlochspalt 22 belassen, um der unterschiedlichen Wärmeausdehnung zwischen dem inneren Kern und dem Mantel Rechnung zu tragen. Eine Hubstiftlochhülse 23, die gewöhnlich aus dem gleichen Metall wie der Mantel hergestellt ist, dichtet den inneren Kern innerhalb des Mantels an dem Hubstiftloch ab. Weitere Einzelheiten der Heizanordnung 33 werden nachstehend beschrieben.
  • 2C zeigt eine vereinfachte Schnittansicht einer Heizanordnung 33 mit einem Schild 84, der über der Oberseite und den Seiten der Heizanordnung angeordnet ist. Die Schildplatte kann eine Abdeckplatte simulieren, um den Heizmantel während der Plasmabehandlung oder -reinigung oder gegenüber korrosiven Prozessumgebungen zu schützen, oder kann verwendet werden, um eine Verunreinigung aufgrund von Zurückzerstäubung zu reduzieren. Der Schild besteht gewöhnlich aus einem anderen Material als das Material, aus dem der Heizmantel hergestellt ist, und kann beispielsweise Aluminiumnitrid oder Quarzglas sein, wenn ein Korrosionswiderstand gewünscht ist, der sich von dem des Mantelmaterials unterscheidet, oder kann ein anderes Material sein, wenn die Wahl des Schildmaterials weniger Probleme hinsichtlich Kammer- oder Waferverunreinigung darstellen würde.
  • Einige Aspekte der oben erörterten CVD-Vorrichtung sind einer beispielsweisen CVD-Kammer gemein, wie sie im Einzelnen in der US-A-5558717 beschrieben ist. Andere Aspekte der CVD-Vorrichtung werden nachstehend weiter im Einzelnen beschrieben.
  • B. Systemsteuerung
  • Die Prozesse zum Abscheiden des Films und zur Trockenreinigung der Kammer können unter Verwendung eines Rechnerprogrammprodukts erfolgen, das von dem Prozessor 85 (1A) ausgeführt wird. Der Rechnerprogrammcode kann in irgendeiner herkömmlichen rechnerlesbaren Programmiersprache beschrieben werden, beispielsweise 68000 Assembler-Sprache, C, C++, Pascal, Fortran oder einer anderen Sprache. Ein geeigneter Programmcode wird in eine Einzeldatei oder in Mehrfachdateien unter Verwendung eines herkömmlichen Texteditors eingegeben und in einem rechnernutzbaren Medium, wie einem Speichersystem des Rechners, gespeichert oder ausgeführt. Wenn der eingegebene Codetext eine höhere Programmiersprache ist, wird der Code übersetzt und der sich ergebende Kompiler-Code wird dann mit einem Objektcode von vorkompilierten Windows-Bibliotheksprogrammen verbunden. Zur Ausführung des verbundenen kompilierten Objektcodes ruft der Systemnutzer den Objektcode auf, was das Rechnersystem dazu veranlasst, den Code in seinen Speicher zu laden, von dem aus die CPU den Code liest und ausführt, um die in dem Programm angegebenen Aufgaben durchzuführen.
  • 3 ist ein illustratives Blockschaltbild der hierarchischen Steuerstruktur der Systemsteuer-Software des Rechnerprogramms 160 gemäß einer speziellen Ausgestaltung. Unter Verwendung einer Lichtstiftschnittstelle gibt ein Nutzer eine Prozesseinstellnummer und eine Prozesskammernummer in die Prozessselektor-Subroutine 161 ansprechend auf Menüs oder Schirme ein, die auf dem CRT-Monitor angezeigt werden. Die Prozesseinstellungen, die vorgegebene Einstellungen von Prozessparametern sind, die erforderlich sind, um spezifizierte Prozesse auszuführen, werden durch vorgegebene Einstellnummern angegeben. Die Prozessselektor-Subroutine 161 erkennt (i) die gewünschte Prozesskammer und (ii) die gewünschte Einstellung von Prozessparametern, die benötigt werden, um die Prozesskammer zur Ausführung des gewünschten Prozesses zu betreiben. Die Prozessparameter zur Ausführung eines spezifischen Prozesses beziehen sich auf Prozessbedingungen, wie beispielsweise Prozessgaszusammensetzung und Durchsätze, Temperatur, Druck, Plasmabedingungen, wie Hoch- und Niederfrequenz-HF-Leistungspegel sowie Hochfrequenz- und Niederfrequenz-HF- Frequenzen (und zusätzlich Mikrowellengenerator-Leistungspegel für Ausgestaltungen, die mit Fern-Mikrowellenplasmasystemen versehen sind) auf den Kühlgasdruck und die Kammerwandtemperatur. Die Prozessselektor-Subroutine 161 steuert, welche Art von Prozess (Abscheidung, Waferreinigung, Kammerreinigung, Kammer-Gettern, Rückströmen) zu einer bestimmten Zeit in der Kammer 30 ausgeführt wird. Bei einigen Ausführungsformen können mehr als eine Prozessselektor-Subroutine vorhanden sein. Die Prozessparameter werden für den Nutzer in Form eines Rezepts bereitgestellt und können unter Verwendung der Lichtstift-/CRT-Monitorschnittstelle eingegeben werden.
  • Die Signale zum Überwachen des Prozesses werden von der Analog-Eingabetafel und der Digital-Eingabetafel der Systemsteuerung bereitgestellt, während die Signale zum Steuern des Prozesses an der Analog-Ausgabeplatte und der Digital-Ausgabeplatte des CVD-Systems 10 ausgegeben werden.
  • Eine Prozesssequenzer-Subroutine 162 hat einen Programmcode zum Akzeptieren der identifizierten Prozesskammer und des Satzes von Prozessparametern aus der Prozessselektor-Subroutine 161 und zum Steuern der Vorgänge in den verschiedenen Prozesskammern. Mehrfachnutzer können Prozesseinstellnummern oder Prozesskammernummern eingeben, oder Einzelnutzer können Mehrfachprozess-Einstellnummern und Prozesskammernummern eingeben, so dass die Sequenzer-Subroutine 162 so arbeitet, dass der ausgewählte Prozess der gewünschten Sequenz festgelegt wird. Vorzugsweise hat die Sequenzer-Subroutine 162 einen Programmcode zur Ausführung der Schritte (i) Überwachen des Betriebs der Prozesskammern, um zu bestimmen, ob die Kammern verwendet werden, (ii) Bestimmen, welche Prozesse in den verwendeten Kammern ausgeführt werden, und (iii) Ausführen des gewünschtes Prozesses basierend auf der Verfügbarkeit einer Prozesskammer und der Art des auszuführenden Prozesses. Es können herkömmliche Verfahren zur Überwachung der Prozesskammern verwendet werden, beispielsweise das Abfragen. Wenn zeitlich festgelegt wird, welcher Prozess auszuführen ist, kann die Sequenzer-Subroutine 162 so ausgelegt sein, dass sie die gegenwärtige Bedingung der zu verwendenden Prozesskammer im Vergleich mit den gewünschten Prozessbedingungen für einen ausgewählten Prozess oder das "Alter" jeder spezieller nutzereingegebenen Forderung oder irgendeinen anderen relevanten Faktor, den ein Systemprogrammierer zur Bestimmung von Zeitablaufprioritäten eingeschlossen haben möchte, in Betracht zieht.
  • Wenn die Sequenzer-Subroutine 162 einmal bestimmt hat, welche Prozesskammer und welche Prozesseinstellungskombination als nächste ausgeführt werden, beginnt die Sequenzer-Subroutine 162 mit der Ausführung der Prozesseinstellung, indem die speziellen Prozesseinstellungsparameter einer Kammerleitungs-Subroutine 163a bis 163c zugeführt werden, die mehrere Prozessaufgaben in einer Prozesskammer 30 gemäß der Prozesseinstellung steuert, die von der Sequenzer-Subroutine 162 bestimmt wurde. Beispielsweise hat die Kammerleitungs-Subroutine 163b einen Programmcode zum Steuern der CVD-Vorgänge in der Prozesskammer 30. Die Kammerleitungs-Subroutine 163b steuert auch die Ausführung verschiedener Kammerkomponenten-Subroutinen, die den Betrieb der Kammerkomponenten steuern, die erforderlich sind, um die ausgewählte Prozesseinstellung durchzuführen. Beispiele für Kammerkomponenten-Subroutinen sind eine Substratpositionier-Subroutine 164, eine Prozessgassteuer-Subroutine 165, eine Drucksteuerungs-Subroutine 166, eine Heizungssteuerungs-Subroutine 167 und eine Plasmasteuerungs-Subroutine 168. Abhängig von der speziellen Ausgestaltung der CVD-Kammer haben einige Ausführungsformen alle der vorstehenden Subroutinen, während andere Ausführungsformen nur einige der Subroutinen aufweisen können. Der Fachmann erkennt leicht, dass andere Kammersteuer-Subroutinen abhängig davon vorgesehen werden können, welche Prozesse in der Prozesskammer 30 auszuführen sind. In Betrieb steuert die Kammerleitungs-Subroutine 163b die Prozesskomponenten-Subroutinen seitlich selektiv oder ruft sie selektiv in Übereinstimmung mit der speziellen auszuführenden Prozesseinstellung auf. Die Kammerleitungs-Subroutine 163b steuert die Prozesskomponenten-Subroutinen zeitlich ähnlich wie die Sequenzer-Subroutine 162 zeitlich steuert, welche Prozesskammer 30 und welche Prozesseinstellung als nächste auszuführen sind. Gewöhnlich gehören zu der Kammerleitungs-Subroutine 163b die Schritte der Überwachung der verschiedenen Kammerkomponenten, der Bestimmung, welche Komponenten basierend auf den Prozessparametern für die auszuführende Prozesseinstellung zu betreiben sind, und das Beginnen der Ausführung einer Kammerkomponenten-Subroutine ansprechend auf den Überwachungs- und Bestimmungsschritt.
  • Der Betrieb der speziellen Kammerkomponenten-Subroutinen wird nun unter Bezug auf 3 beschrieben. Die Substratpositionier-Subroutine 164 hat einen Programmcode zum Steuern der Kammerkomponenten, die dazu eingesetzt werden, das Substrat auf den Sockel 62 zu laden und fakultativ das Substrat auf eine gewünschte Höhe in der Kammer 30 anzuheben, um den Abstand zwischen dem Substrat und dem Duschkopf 40 zu steuern. Wenn ein Substrat in die Prozesskammer 30 geladen ist, wird die Heizanordnung 33 abgesenkt, um das Substrat in einer Wafertasche 34 aufzunehmen, und dann auf die gewünschte Höhe angehoben. In Betrieb steuert die Substratpositionier-Subroutine 164 die Bewegung des Sockels 32 ansprechend auf die auf die Trägerhöhe bezogenen Prozesseinstellungsparameter, die von der Kammerleitungs-Subroutine 163b übertragen werden.
  • Die Prozessgassteuer-Subroutine 165 hat einen Programmcode zum Steuern der Prozessgaszusammensetzung und der Durchsätze. Die Prozessgassteuer-Subroutine 165 steuert die Offen-/Schließposition der Sicherheitsabsperrventile und fährt auch die Massenstromsteuerungen nach oben/nach unten, um den gewünschten Gasdurchsatz zu erhalten. Die Prozessgassteuer-Subroutine 165 wird wie alle Kammerkomponente-Subroutinen durch die Kammerleitungs-Subroutine 163b aufgerufen und empfängt von der Kammerleitung auf die gewünschten Gasdurchsätze bezogene Subroutinenprozessparameter. Gewöhnlich arbeitet die Prozessgassteuer-Subroutine 165 so, dass Gaszuführleitungen geöffnet werden und wiederholt (i) die erforderlichen Massenstromsteuerungen abgelesen werden, (ii) die Ablesungen mit den gewünschten Durchsätzen verglichen werden, die von der Kammerleitungs-Subroutine 163b erhalten werden, und (iii) die Durchsätze der Gaszuführleitungen wie erforderlich eingestellt werden. Weiterhin gehören zu der Prozessgassteuerungs-Subroutine 163 die Schritte der Überwachung der Gasdurchsätze hinsichtlich gefährlicher Durchsätze und die Aktivierung der Sicherheitsabsperrventile, wenn ein gefährlicher Zustand erfasst wird. Die Prozessgassteuer-Subroutine 165 steuert auch die Gaszusammensetzung und die Durchsätze von Reinigungsgasen sowie von Abscheidungsgasen abhängig von dem gewünschten Prozess (Reinigung oder Abscheidung oder anderer Prozess), der gewählt ist. Alternative Ausführungsformen können mehr als eine Prozessgassteuer-Subroutine haben, wobei jede Subroutine eine spezielle Prozessart oder spezielle Einstellungen der Gasleitungen steuert.
  • Bei einigen Prozessen strömt ein Inertgas, wie Stickstoff oder Argon, in die Kammer, um den Druck in der Kammer zu stabilisieren, bevor reaktive Prozessgase eingeführt werden. Für diese Prozesse ist die Prozessgassteuer-Subroutine 165 so programmiert, dass sie die Schritte aufweist, das Inertgas in die Kammer für einen Zeitraum strömen zu lassen, der erforderlich ist, um den Druck in der Kammer zu stabilisieren, und dann werden die oben beschriebenen Schritte ausgeführt. Wenn ein Prozessgas aus einem Flüssigkeitsvorläufer, beispielsweise TiCl4, verdampft werden soll, ist zusätzlich die Prozessgassteuer-Subroutine 165 so geschrieben, dass sie die Schritte aufweist, ein Fördergas, wie Helium, durch den Flüssigkeitsvorläufer in einer Blasenbildungsanordnung in Form von Blasen hindurchzuführen, oder ein Träger gas, wie Helium, in ein Flüssigkeitseinspritzsystem einzuführen. Wenn für diese Prozessart eine Blasendurchführeinrichtung verwendet wird, reguliert die Prozessgassteuer-Subroutine 165 den Strom des Fördergases, den Druck der Blasenbildungseinrichtung und die Temperatur der Blasenbildungseinrichtung, um die gewünschten Prozessgasdurchsätze zu erhalten. Wie vorstehend erörtert, werden die gewünschten Prozessgasdurchsätze zur Prozessgassteuer-Subroutine 165 als Prozessparameter überführt. Weiterhin gehören zur Prozessgassteuer-Subroutine 165 die Schritte, den erforderlichen Fördergasdurchsatz, den Druck und die Temperatur der Blasenbildungseinrichtung für einen gewünschten Prozessgasdurchsatz durch Zugriff auf eine gespeicherte Tabelle zu erhalten, die die erforderlichen Werte für einen gegebenen Prozessgasdurchsatz enthält. Wenn die erforderlichen Werte einmal erhalten sind, werden der Fördergasdurchsatz, der Druck und die Temperatur der Blasenbildungseinrichtung überwacht, mit den erforderlichen Werten verglichen und entsprechend eingestellt.
  • Die Drucksteuer-Subroutine 166 hat einen Programmcode zum Steuern des Drucks in der Kammer 30 durch Regulieren der Öffnungsgröße des Drosselventils in dem Auslasssystem der Kammer. Die Öffnungsgröße des Drosselventils wird so eingestellt, dass der Kammerdruck auf einem gewünschten Wert bezogen auf den gesamten Prozessgasstrom, die Größe der Prozesskammer und den Pumpeinstellpunktdruck für das Auslasssystem gesteuert wird. Wenn die Drucksteuer-Subroutine 166 aufgerufen wird, wird der gewünschte oder Zieldruckwert als Parameter aus der Kammerleitungs-Subroutine 163b erhalten. Die Drucksteuer-Subroutine 166 misst den Druck in der Kammer 30 durch Ablesen von einem oder mehreren herkömmlichen Druckmessern, die mit der Kammer verbunden sind, vergleicht den gemessenen Wert/die gemessenen Werte mit dem Zieldruck, erhält Proportional-, Integral- und Differentialwerte (PID) entsprechend dem Zieldruck aus einer gespeicherten Drucktabelle und stellt das Drosselventil entsprechend den PID-Werten ein, die aus der Drucktabelle erhalten wurden. Alternativ kann die Drucksteuer-Subroutine 166 so geschrieben werden, dass sie das Drosselventil auf eine spezielle Öffnungsgröße öffnet oder schließt, um die Pumpleistung in der Kammer 30 auf den gewünschten Wert zu regulieren.
  • Die Heizungssteuer-Subroutine 167 hat einen Programmcode zum Steuern der Temperatur eines jeden der Heizelemente 14A und 14B, die für eine Widerstandserhitzung des Sockels 32 (und irgendeines darauf befindlichen Substrats) verwendet werden. Die Heizungssteuer-Subroutine wird ebenfalls von der Kammerleitungs-Subroutine aufgerufen und empfängt einen Ziel- oder Einstellpunkt-Temperaturparameter. Die Heizungssteuer-Subroutine misst die Temperatur durch Messen des Spannungsausgangs eines in dem Sockel 32 angeordneten Thermoelements, vergleicht die gemessene Temperatur der Einstellpunkttemperatur und erhöht oder verringert den an die Heizeinheit angelegten Strom, um die Einstellpunkttemperatur zu erhalten. Die Temperatur wird aus der gemessenen Spannung erhalten, indem die entsprechende Temperatur in einer gespeicherten Umwandlungstabelle aufgesucht oder die Temperatur unter Verwendung eines Polynoms vierter Ordnung berechnet wird. Wenn eine eingebettete Leitungsschleife zum Erhitzen des Sockels 32 verwendet wird, steuert die Heizungssteuer-Subroutine 167 allmählich ein Hochfahren/Herunterfahren des an die Leitungsschleife angelegten Stroms. Zusätzlich kann ein eingebauter Sicherheitsmodus vorgesehen werden, um einen Prozesssicherheitsnachweis zu erfassen, wobei der Modus den Betrieb der Heizeinheit abschalten kann, wenn die Prozesskammer 30 nicht richtig eingestellt ist. Ein alternatives Verfahren einer Heizungssteuerung, das verwendet werden kann, benutzt einen Rampensteueralgorithmus, der in der US-A-5968587 beschrieben ist.
  • Eine Plasmasteuer-Subroutine 168 hat einen Programmcode zum Einstellen von Nieder- und Hochfrequenz-HF-Leistungspegeln, die an die Prozesselektroden in der Kammer 30 und die Heizanordnung 32 angelegt sind, und zum Einstellen der verwendeten Nieder-HF-Frequenz. Wie bei den vorher beschriebenen Kammerkomponenten-Subroutinen wird die Plasmasteuer-Subroutine 168 durch die Kammerleitungs-Subroutine 163b aufgerufen. Für Ausgestaltungen mit einem Fern-Plasmaerzeuger 4 hat die Plasmasteuer-Subroutine 168 auch einen Programmcode zum Steuern des Fern-Plasmaerzeugers.
  • C. Legierungs-Heizanordnung
  • 4A ist eine vereinfachte Schnittansicht einer Ausführungsform eines Heizsockels 32 nach der vorliegenden Erfindung. Der innere Kern kann aus einem oberen Innenkernelement 12A und einem unteren Innenkernelement 12B hergestellt werden. Bei einer speziellen Ausgestaltung sind sowohl das obere Kernelement 12A als auch das untere Kernelement 12B aus Kupfer hergestellt, obwohl andere Materialien mit guter Wärmeleitfähigkeit verwendet werden können. Das obere Innenkernelement 12A ist durch spanabhebende Bearbeitung mit Heizelementnuten 401 für die Aufnahme von inneren und äußeren Heizelementen 14A und 14B versehen. Das untere Innenkernelement 12B ist für die Aufnahme eines Kühlrohrs 402 spanabhebend bearbeitet. Das Kühlrohr 402 ist thermisch mit dem unteren Kernelement so gekoppelt, dass Kühlmedien in dem Rohr Wärme aus der Heizanordnung abziehen können. Die Umwälzung von Kühlmedien in dem Kühlrohr verringert die Zeit, die erforderlich ist, um die Heizanordnung bei einer Betriebstemperatur auf eine niedrigere Temperatur abzukühlen, damit die Heizanordnung bequem gehandhabt werden kann. Als Kühlmedien können Gas oder Flüssigkeit verwendet werden. Ein flüssiges Kühlmedium sollte so ausgewählt werden, dass der Siedepunkt der Flüssigkeit für die höchste erwartete Temperatur geeignet ist. Zu geeigneten Flüssigkeiten können Perfluorether, Ethylenglycol, Mineralöl und Wasser oder Wassermischungen gehören. Bei einigen Anwendungen kann es vorteilhaft sein, ein Gas als Kühlmedium zu verwenden, bis die Heizanordnung zur Verwendung eines flüssigen Kühlmediums ausreichend abgekühlt ist. Bei einer speziellen Ausgestaltung wird ein rostfreies Kühlrohr in die Kühlrohrnut 403 im unteren Kernelement 12B hartgelötet. An einer Kühlrohrschweißverbindung 405 ist eine Kühlrohrverlängerung 406 an einen Kühlrohrstutzen 404 angeschweißt, nachdem die Heizanordnung fertiggestellt worden ist, jedoch vor dem Schweißen des Trägerschafts 25 an die Heizanordnung. Dies ermöglicht, dass die Gesamthöhe der Heizanordnung während der Herstellung der Heizanordnung kurz gehalten wird, wodurch Kosten eingespart werden, insbesondere während der Hartlötvorgänge, da mehr Heizungen in einem einzigen Ofen während eines Prozessdurchgangs gestapelt werden können.
  • Bei einer speziellen Ausgestaltung kann sowohl in dem oberen Innenkernelement 12A als auch in dem unteren Innenkernelement 12B eine thermische Unterbrechung 407 ausgeführt werden. Die thermische Unterbrechung isoliert das äußere Heizelement 14A von dem inneren Heizelement 14B, wobei eine Doppelzonenbeheizung der Heizanordnung 33 vorgesehen wird. Das innere Heizelement 14B kann getrennt von dem äußeren Heizelement 14A betriebe und gesteuert werden, um entweder eine gleichförmige Erhitzung über der Oberfläche der Heizanordnung zu begünstigen oder um einen steuerbaren thermischen Gradienten über der Oberfläche der Heizanordnung herzustellen. In den Schaft 25 steht, wie nachstehend erörtert, ein Heizelementstutzen 408 vor (in 4A ist nur einer von vieren gezeigt). Eine Thermoelementanordnung 409 sorgt für ein Rückkoppelungssignal zur Steuerung der Leistung für die Heizelemente. Bei einer speziellen Ausgestaltung bildet ein einziges, federvorgespanntes, voll ummanteltes Thermoelement das Rückkoppelungssignal sowohl für das äußere Heizelement 14A als auch das innere Heizelement 14B, wobei gesonderte Leistungssteuerschaltungen (nicht gezeigt) die elektrische Leistung für die Elemente bereitstellen.
  • Die ummantelte Heizanordnung ist aus einer Metalllegierung mit einer Wärmeleitfähigkeit hergestellt, die kleiner ist als die Wärmeleitfähigkeit des Materials des inneren Kerns, und ist für die erwarteten Kammerbedingungen geeignet. Für die Kammerbedingungen, zu denen eine korrosive Umgebung bei hoher Temperatur gehört, sind Chrom, Molybdän und Nickel enthaltende Legierungen häufig geeignete Mantelmaterialien. Diese Materialien können schwierig spanabhebend zu bearbeiten sein.
  • Bei einer speziellen Ausführungsform ist der Mantel aus drei Stücken einer Legierung C-22 (51,6% Nickel, 21,5% Chrom, 13,5% Molybdän und 4% Wolfram, 5,5% Eisen, 2,5% Kobalt und 1% Mangan mit geringen Mengen an Vanadium, Silicium, Kohlenstoff und anderen Elementen) hergestellt, von der eine ähnliche Legierung unter dem Namen HASTELLOY vertrieben wird, obwohl auch andere Legierungen verwendet werden können, beispielsweise die Legierung C-276. Die Herstellung eines Mantels aus dieser Legierung ermöglicht die Verwendung der Heizung für Temperaturen von wenigstens 700°C. Legierungen mit mehr als 10% Nickel und mehr als 3% Molybdän können für Umgebungen besonders geeignet sein, die Chloridionen enthalten, da diese Legierungen einen guten bis hervorragenden Widerstand gegen Chlor-induzierte Spannungskorrosionsrisse haben. Die Legierung C-22, die etwa siebenmal härter als übliche rostfreie Stähle ist, ist etwas schwierig spanabhebend zu bearbeiten. Deshalb werden Heizanordnungen mit einfachen Formen für die spanabhebende Bearbeitung bevorzugt.
  • Gemäß einer speziellen Ausgestaltung ist eine obere Mantelplatte 13A mit einer unteren Mantelplatte 13B mit einem Mantelring 13C verbunden. Diese Anordnung vereinfacht die Anforderungen an die spanabhebende Bearbeitung. Bei bevorzugten Ausführungsformen ist der Mantelring 13C an der oberen Mantelplatte 13A einer Umfangsschweißung 410 angeschweißt, wofür eine Elektronenstrahlschweißung (E-Beam) verwendet wird. Der Mantelring 13C sitzt in einer Mantelringleiste 412, die in geeigneter Weise mitten durch die Dicke der oberen Mantelplatte 13A geschnitten ist. Diese Ausgestaltung verringert eine Mantelverformung bei den Betriebstemperaturen. Der Mantelring 13C ist vorzugsweise durch Elektronenstrahlschweißung an die untere Mantelplatte 13B an einer vertikalen Schweißung 411 angeschweißt. Bei der speziellen Ausgestaltung hat das für das Material des inneren Kerns verwendete Kupfer einen höheren Wärmeausdehnungskoeffizienten (beispielsweise 18 × 10–6/°C) als die Legierung C-22 (beispielsweise 12 × 10–6/°C), die für den Mantel verwendet wird. Deshalb dehnt sich beim Aufheizen der Heizanordnung der innere Kern aus Kupfer stärker als der Mantel aus der Legierung C-22 aus.
  • Die Dicke der oberen Mantelplatte und der unteren Mantelplatte sind so gewählt, dass sie eine ausreichende Mantelfestigkeit bieten, so dass sich der Mantel nicht übermäßig verformt. Bei einer speziellen Ausgestaltung ist der innere Kern (aus dem oberen Innenkernelement 12A und dem unteren Innenkernelement 12B) etwa 1 Zoll (1 Zoll = 2,54 cm) dick, während die obere und untere Mantelplatte jeweils etwa 0,35 Zoll dick sind. Ein Verformen der Heizanordnung wird weiterhin durch die Auswahl der Stellen der Umfangsschweißung 410 und der Vertikalschweißung 411 verringert. Das Verhältnis des vertikalen Abstands zwischen diesen Schweißungen (d.h. die Höhe des Mantelrings 13C) zu der Dicke des inneren Kerns (des oberen und unteren Innenkernelements 12A und 12B) ist vorzugsweise äquivalent zu dem Verhältnis zwischen dem Wärmeausdehnungskoeffizienten und des Materials des inneren Kerns und dem Wärmeausdehnungskoeffizienten des Mantelmaterials nach der Gleichung: Abstand zwischen Mantelringschweißungen ≥ (Tc-Kern)/(Tc-Mantel) × Kerndicke, wobei Tc-Kern der Wärmeausdehnungskoeffizient des Kernmaterials und Tc-Mantel der Wärmeausdehnungskoeffizient des Mantelmaterials ist.
  • In der Praxis kann der vertikale Abstand zwischen den Schweißungen größer als die Wärmeausdehnungskoeffizienten des Kern- und Mantelmaterials multipliziert mit der Dicke des inneren Kerns sein, um die Wärmeausdehnung der oberen und unteren Mantelplatte zu berücksichtigen. Auf jeder Seite verbleibt zwischen dem inneren Kern und dem Mantelring entsprechend der höchsten beabsichtigten Betriebstemperatur ein Randspalt 413 von etwa 40 bis 80 mil (1 mil = 2,54 × 10–5 m). Die Heizanordnung wird zusammenhartgelötet, wie nachstehend erörtert, im Gegensatz zu einigen herkömmlichen Heizanordnungskonstruktionen, bei denen eine Kupferplatte mit einer Platte aus rostbeständigem Stahl verbolzt wurde. Bei einer solchen herkömmlichen Heizanordnungskonstruktion hat Kupfer einen Wärmeausdehnungskoeffizienten, der zu dem einiger rostfreier Stähle ähnlich ist, so dass diese beiden Materialien für einen Einsatz über eine begrenzten Temperaturbereich miteinander verschraubt werden können. Die Betriebslebensdauer (Anzahl der Heizzyklen) einer solchen herkömmlichen Heizanordnung ist jedoch häufig durch die Betriebsunsicherheit begrenzt, die durch Lösen der Schrauben aufgrund des thermischen Zyklus und durch Reißen um die Schraubenlöcher herum verursacht wird, was sich aufgrund eines zu großen Drehmoments an den Schrauben und einer thermischen Spannung ergibt. Bei der Heizanordnung der vorliegenden Ausgestaltung beseitigt die Hartlötung nicht nur ein Reißen und eine Schraubbolzenstörung, sondern sorgt auch für eine überlegene thermische Koppelung zwischen den Heizanordnungsschichten, wodurch die Wärmeverteilung und ein thermischer Kurzzusammenschluss, wie oben erörtert, verbessert werden. Das Zusammenhartlöten der Heizanordnung erlaubt auch neben der Verwendung von rostfreiem Stahl und Kupfer den Einsatz von unähnlichen Metallen.
  • 4B ist eine Schnittansicht eines Heizelements (inneres und äußeres) und eines Abschnitts des oberen Innenkernelements 12A. Das Heizelement 14 kann eine Höhle 501 aus rostfreiem Stahl aufweisen, das einen Nickelchromheizdraht 503 einschließt, der von einem elektrisch isolierenden Kern 502 umgeben ist. Der isolierende Kern 502 kann beispielsweise aus Magnesiumoxid bestehen. Das Heizelement 14 ist goldplattiert, bevor es in die Heizelementnut 401 in dem oberen Innenkernelement 12A mit einem Hartlötpulver, wie es von ALTAIR TECHNOLOGIES, INC. unter der Nummer A100905 verkauft wird, oder mit einem ähnlichen Hartlötpulver oder mit einem Hartlötpulver gelötet wird, das etwa 95% Kupfer und etwa 5% Gold aufweist und die Heizelementnut gleichmäßig ausfüllt. Das Hartlöten erfolgt bei etwa 1030°C und sorgt für eine thermische Koppelung des Heizelements 14 mit dem oberen Innenkernelement 12A, indem das Heizelement 14 mit dem Hartlot 504 nahezu umschlossen wird. Diese thermische Koppelung verbessert den Wärmeübergang von dem Heizelement zu dem inneren Kern und verringert auch heiße Stellen längs der Oberfläche des Heizelementmantels 501, wodurch die Lebensdauer der Heizung verlängert wird.
  • Nach dem Hartlöten des Heizelements/der Heizelemente in das obere Innenkernelement wird die obere Innenkernbaugruppe aus dem oberen Innenkernelement und den Heizelementen spanabhebend bearbeitet, um eine glatte Oberfläche zu erhalten. Die Baugruppe wird dann an die untere Innenkernplatte 12B, 4A, hartgelötet. Gleichzeitig wird das Kühlrohr 402 in die Kühlrohrnut 403 in das untere Innenkernelement 12B hartgelötet. Für diesen Vorgang kann eine Gold-Kupfer-Hartlötmasse in Pastenform verwendet werden. Die Innerer-Kern-Baugruppe der oberen Innenkern-Untergruppe und der untere innere Kern und das Kühlrohr werden dann spanabhebend bearbeitet, um eine glatte Oberflächenfeinbearbeitung zu erhalten, eine Verformung zu beseitigen, die während des Hartlötens aufgetreten sein kann, Oberflächenverunreinigungen zu beseitigen und die Innerer-Kern-Baugruppe auf die gewünschte Größe zu reduzieren.
  • Die Innerer-Kern-Baugruppe ist mit der oberen Mantelplatte 13a und der unteren Mantelplatte 13B mit einer Schicht von etwa 35% Gold-65% Kupfer-Hartlotlegierung, die zu einer Folie gerollt worden ist, zwischen der Innenkernanordnung und der oberen Mantelplatte und eine weitere solche Hartlotfolie zwischen der inneren Kernanordnung und der unteren Mantelplatte angeordnet. Unter Verwendung von Keramikdistanzstücken werden die Hubstiftlöcher ausgerichtet. Die Hubstiftlöcher in dem inneren Kern sind etwas größer als die Hubstiftlöcher in den Mantelplatten, um eine zusätzlich Ausdehnung des inneren Kerns zu ermöglichen. Nach dem Hartlöten werden die Mantelplatten spanabhebend bearbeitet, um den Mantelring 13C aufzunehmen. Wie oben erörtert, wird die Oberseite des Mantelrings 13C an die Mitte der oberen Mantelplatte 13a angeschweißt. Dies verringert eine durch Schweißen induzierte Restspannung. Der Mantelring 13C kann an die obere Mantelplatte und die untere Mantelplatte beispielsweise durch Verwendung eines Elektronenstrahl-Schweißverfahrens angeschweißt werden. Mit der oberen und unteren Mantelplatte werden Hubstiftlochhülsen 23, 2B, verschweißt, um den inneren Kern in dem Mantel abzudichten. Die Hubstiftlochhülsen können aus dem gleichen Material wie die obere und die untere Mantelplatte hergestellt sein.
  • Gemäß 4A ist eine Kühlrohrverlängerung 406 an den Kühlrohrstutzen 402 so angeschweißt, dass sich das Kühlrohr über den Schaft 25 hinauserstreckt, nachdem der Schaft mit der Heizanordnung verbunden ist. In ähnlicher Weise wird eine Heizdrahtverlängerungsstange 414 an den Heizdrahtstutzen 415 (es ist nur einer von vier gezeigt) angeschweißt, während ein Thermoelementführungsrohr 418 aus dem gleichen oder einem ähnlichen Material wie der Heizmantel an der Heizanordnung angeschweißt wird. Der Heizsockelschaft 25 wird dann mit der Heizanordnung 33 verschweißt. Das Schweißen erzeugt sichere Verbindungen, die sowohl während des Heizungsbetriebs als auch während der darauf folgenden Fertigungsprozesse auf hohe Temperaturen erhitzt werden können. Ein solcher nachfolgender Fertigungsprozess kann Flammsprühen oder ein anderes Mittel zum Beschichten eines anderen Metalls oder Keramik mit Titan sein. Das Beschichten der Heizanordnung mit einer Schicht, die mit einem beabsichtigten Abscheidungsprozess verträglich ist, kann die Verunreinigung auf der abgeschiedenen Schicht reduzieren, die Teilchenbildung verringern und den Korrosionswiderstand verbessern. Ein Keramikrohr 416 isoliert die Heizdrahtverlängerungsstange 414 elektrisch gegenüber anderen Bauteilen. Ein isolierender Stopfen 417, der aus einem Hochtemperaturkunststoff, wie VESPELTM, Polyetherketon ("PEEK") einschließlich Gasfaser- und Kohlenstofffaser-verstärktem PEEK, TEFLONTM oder DELRINTM als Beispiel hergestellt sein kann, stützt die verschiedenen Heizsockelkomponenten dort ab, wo sie aus dem Heizsockelschaft 25 austreten. Der Schaft 25 und die Heizanordnung 33 sind so verbunden, dass sich der Innenraum des Schafts auf Umgebungsdruck über dem Betriebskammerdruck befinden kann.
  • 5 zeigt eine auseinandergezogene Ansicht der oberen Mantelplatte 13A, des oberen Innenkernelements 12A, des unteren Innenkernelements 12B und der unteren Mantelplatte 13B. Es sind auch weitere Merkmale der Heizanordnung gezeigt, insbesondere das Muster des äußeren Heizelements 14A und des inneren Heizelements 14B, von denen jedes eine Doppelschleife bildet, die durch einen thermischen Spalt 407A getrennt sind. In dieser Ansicht sind alle vier Heizelementstutzen 408 zu sehen. 6 ist eine geschnittene Draufsicht des oberen Innenkernelements 12A und zeigt weiterhin das Muster der Heizelementnuten 401 und des thermischen Spalts 407A sowie Hubstiftlöcher 20B.
  • 7 ist eine Teilschnittansicht, die zusätzliche Einzelheiten des Wärmeschilds 31 gemäß einer spezifischen Ausgestaltung zeigt. Bei der speziellen Ausgestaltung ist das Wärmeschild aus der gleichen Legierung wie der Heizanordnungsmantel hergestellt, was jedoch nicht erforderlich ist. Die Schildplatten sind an einem Haltedistanzring 706 an vertikalen Schweißungen 707 und 708 angeschweißt. Der Haltedistanzring 706 hat ein Bolzen- oder Schraubenloch 709 zum Befestigen des Wärmeschilds 31 an der Unterseite der Heizanordnung (in dieser Ansicht nicht gezeigt). Eine Distanzlippe 710 hält die obere Schildplatte 704 in einem vorgegebenen Abstand vom Boden der Heizanordnung, um die Wärmeübertragung durch Leitung zu verringern und so den Wirkungsgrad des Wärmeschilds zu verbessern. An die untere Schildplatte 702 kann an einer Radialschweißung 703 ein äußerer Schildring 701 angeschweißt werden oder kann einfach auf der Schildplatte sitzen. Wenn der äußere Schildring nicht angeschweißt oder auf andere Weise an der Schildplatte befestigt ist, können verschiedene äußere Schildringe zum Einstellen des Gasstromwiderstands verwendet werden, oder der äußere Schildring kann aus einem Material bestehen, das nicht leicht an die Schildplatte, beispielsweise ein keramisches Material, anschweißbar ist. Der äußere Schildring 701 ist an die obere Schildplatte 704 mit einer vertikalen Schweißung 705 angeschweißt. Bei bevorzugten Ausgestaltungen sind die Schweißungen 703 und 705 Elektronenstrahlschweißungen.
  • Der Wirkungsgrad des Wärmeschilds 31 kann dadurch erhöht werden, dass die Anzahl der Schildplatten vergrößert wird. Die Wärmeübertragung durch Strahlung durch eine Reihe von Schildplatten ändert sich grob entsprechend der Beziehung 1/(1 – n), wenn n die Anzahl der Schildplatten ist. Eine zunehmende Anzahl von Schildplatten verringert die Strahlungswärmeübertragung durch den Schild und reduziert den Wärmeverlust aus der Heizanordnung. Deshalb wird die Leistungsanforderung an die Heizung, eine gegebene Temperatur zu errei chen, reduziert, und die Temperaturdifferenz zwischen den Seiten der Heizanordnung wird verringert. Der äußere Schildring 701 trägt dazu bei, den Wärmeverlust an dem Rand der Heizanordnung zu reduzieren, wodurch die Waferrandabkühlung verringert und die Prozessgleichförmigkeit verbessert wird.
  • D. HF-Isolator und Koppler
  • 8A ist eine vereinfachte Schnittansicht einer weiteren Ausgestaltung der vorliegenden Erfindung zur Verwendung einer Heizanordnung als Plasmaelektrode. Die Heizanordnung 833 ist ähnlich zu der oben beschriebenen Heizanordnung, hat jedoch kein Kühlrohr, da Hochfrequenzenergie (HF), die als Leistung für die Heizanordnung zur Verwendung als Elektrode eingesetzt wird, über das Kühlmittel an andere Teile der Kammer einkoppeln, die Kammer beschädigen, ein elektromagnetisches Rauschen, das die Kammersteuerung beeinträchtigt, erzeugen oder ein Sicherheitsrisiko für das Personal bilden könnte und, weil nicht ausreichend Raum in dem Schaft bei dieser Ausführungsform vorhanden ist, eine gesonderte isolierte Elektrode herausbringen würde. Das Heizelement hat dieses Problem nicht, weil der Heizdraht 815 von den HF-Feldern durch den Heizelementkern (in dieser Ansicht nicht gezeigt) isoliert ist. Eine HF-Elektrode kann mit der Heizanordnung beispielsweise durch Schweißen oder Verbolzen verbunden werden.
  • Ein Isolator 802 kann aus einem Material hergestellt werden, das eine ausreichende dielektrische Durchschlagstärke bei der beabsichtigten Betriebstemperatur bereitstellt, beispielsweise Aluminiumoxid, Aluminiumnitrid, TEFLONTM, VESPELTM, PEEK oder Quarzglas, um den Trägerschaft aus Metall, der geerdet sein kann, die Heizanordnung, die mehrere kV wiegen kann, zu isolieren. Zwischen der Heizung und dem Isolator kann eine thermische Drossel angeordnet werden, um die Benutzung von Materialien für niedrigere Temperaturen zu ermöglichen. Der Isolator 802 ist mit einem kurzen Schaft 825A des Heizsockels 832 durch ein Auslegerverbindungsstück 803 verbunden. Das Auslegerverbindungsstück hat zwei C-förmige Teile, die um den Isolator 802 herumpassen und mit dem kurzen Schaft 825A verbolzt oder verschraubt werden können. Ein ähnliches Verbindungsstück 804 verbindet den Isolator mit dem Trägerschaft 825B, der aus dem gleichen oder einem anderen Material als die Heizanordnung 833 oder der kurze Schaft 825A bestehen kann. Das Auskragverbindungsstück 803 hat einen dünnen Steg 805, der eine Druckkraft auf den Isolatorflansch 806 über dem Betriebstemperaturbereich ausübt, ohne dass der Isolator oder der Flansch reißen. Ein Isolations spalt 807 muss ausreichend groß sein, um eine Lichtbogenbildung zwischen dem Auskragverbindungsstück 803 und dem Verbindungsstück 804 bei den Betriebsdrucken und Spannungen der Kammer, wie sie beim Stand der Technik bekannt sind, zu verhindern. Der Innenraum des Trägerschafts kann mit Keramikstopfen oder einem anderen dielektrischen Material gefüllt werden, um die Bildung eines elektrischen Lichtbogens innerhalb des Schafts zu unterdrücken.
  • 8B ist eine vereinfachte Schnittansicht einer weiteren Ausgestaltung, bei der die Heizanordnung 833 an dem Trägerschaft 821 unter Verwendung eines Verbindungsstücks 822 befestigt ist. Das Verbindungsstück 822, das aus rostfreiem Stahl oder einem ähnlichen Metall hergestellt ist, wird zum Befestigen der Heizanordnung an dem keramischen Isolator 802 verwendet. Diese Ausgestaltung ermöglicht es, dass die Heizanordnung von dem Trägerschaft zur Verwendung bei Plasmaeinsätzen elektrisch isoliert ist.
  • 8C zeigt eine vereinfachte Schnittansicht des Verbindungsstücks 822, das ein Verbindungsstück 823 mit Wärmedrossel und eine zweiteilige untere Klemme 824A hat, während 8D eine vereinfachte isometrische Ansicht des Verbindungsstücks 823 mit Wärmedrossel zeigt. Wie in 8B zu sehen ist, ist ein oberer Flansch 899 des Verbindungsstücks 823 mit Wärmedrossel in einem Stummelschaft 898 der Heizung verbolzt. Gemäß 8C nimmt eine obere Tasche 827 einen Isolator (in dieser Figur nicht gezeigt) auf, der durch die obere Klemme 824 festgelegt wird, und wird auch am Umfang durch Spannarme 829A und 829B gehalten, wie es in 8D gezeigt ist. 8D zeigt auch, dass die obere Tasche 827 ein Ausrichtflachstück 854 hat, das einem Flachstück am Umfang des Isolatorflansches entspricht. Die obere Tasche 827 sollte der Form des Isolatorflansches entsprechen, wobei andere Ausrichtmechanismen verwendet werden können. Die obere Klemme 824 hat zwei "C"-förmige Hälften 824A und 824B, die um den Isolatorflansch herum angebracht werden, bevor sie an dem Verbindungsstück mit Wärmedrossel befestigt werden. Im Wesentlichen koplanar zu der Taschenfläche 828 ist ein Schlitz 830 eingeschnitten, wobei gegenüber einer Spannschraube 831 ein nicht eingeschnittener Kord bleibt, so dass die Spannung von der Spannschraube 831 die Spannarme 829A und 829B zusammenzieht, um den Isolatorflansch zu halten. In den Schlitz 830 kann ein Distanzstück (nicht gezeigt) mit einer zum Schlitz 830 ähnlichen Form gelegt werden, um die Spannarme 829A und 829B zu halten und um den Gasstrom durch den Schlitz 830 zu reduzieren. In die Spannarme 829 ist spanabhebend ein Paar von Entspannungsschlitzen 832 eingearbeitet (jeder Schlitz eines Paars von Entspannungsschlitzen ist von gegenüberliegenden Seiten der Arme 829 aus spanabhebend eingearbeitet), um die verfügbare Spannung zu erhöhen, die sich aus der durch die Spannschraube 831 angelegten Spannung ergibt, und um es den Spannarmen zu ermöglichen, weiterhin eine Ringspannung anzulegen, wenn sich die Anordnung aufheizt und sich die Klemme, die aus Metall besteht, stärker als der Isolatorflansch ausdehnt. Bei dieser Ausgestaltung sind vier Paare von Entspannungsschlitzen gezeigt, wobei diese Anzahl entsprechend den Materialien und der Konstruktion der Klemme eingestellt werden kann. Die Entspannungsschlitze sind etwa 40 mil breit und sind innerhalb etwa 0,1 Zoll von den etwa 0,3 Zoll des Spannarms bei einer speziellen Ausgestaltung geschnitten. Bei einigen Ausführungen können die Enden der Entspannungsschlitze abgerundet sein, um eine Spannungskonzentration am Scheitel des Schlitzes zu verringern und um die Herstellbarkeit zu verbessern.
  • Wie in 8C gezeigt ist, wird das Verbindungsstück 823 mit Wärmedrossel so hergestellt, dass ein dünner Steg 833 zwischen der unteren Tasche 829 und dem oberen Flansch 899 bleibt. Dieser Steg, der in einem Bereich von etwa 20 bis 100 mil, bei speziellen Ausgestaltungen etwa 40 bis 60 mil dick ist, wirkt als Pfad eines hohen Wärmewiderstands zwischen der Heizanordnung und der Trägerschaft. Der Steg hat eine effektive Länge zwischen etwa 0,6 und 1,0 Zoll, wobei die Höhe des vertikalen Stegabschnitts bei speziellen Ausgestaltungen in einem Bereich von etwa 0,2 bis 0,5 Zoll liegt. Bei der gezeigten Ausführungsform fließen etwa 25 W Leistung zwischen einer Heizanordnung, die bei einer Temperatur von etwa 625°C arbeitet, und dem Trägerschaft, dessen unteres Ende etwa eine Temperatur von etwa 50°C hat. Bei noch höheren Temperaturen können andere Ausführungen eines Verbindungsstücks 822 verwendet werden, wobei entweder die Gesamtlänge des Stegs 833 für Einsätze bei höherer Temperatur für eine gegebene Stegdicke größer ist oder für eine gegebene Länge die Stegdicke verringert wird. Der Steg sollte mechanisch ausreichend steif, jedoch auch dünn genug sein, um die Wärmedrossel zu bilden. Die Verwendung des Verbindungsstücks 822 ermöglicht es, dass die Heizanordnung thermisch über dem Schaft "schwebt", wodurch eine breitere Auswahl von Materialien zur Verwendung bei dem Schaft möglich und die dem Heizelement gelieferte Leistung verringert wird, die erforderlich ist, um die Wafertemperatur bei einer verbesserten Temperaturgleichförmigkeit bei einer nicht kompensierten Heizelementkonstruktion aufrechtzuerhalten. Da weniger Wärme von der Heizung durch den Sockel und nach unten in dem Schaft fließt, ist die Chance geringer, dass sich über dieser Potenzialwärmeleitung ein kalter Fleck bildet, wodurch die Wafertemperaturgleichförmigkeit verbessert wird. Die Verwendung des Verbindungsstücks 822 verringert auch den thermischen Gradienten, der über der Heizanordnung erzeugt wird, was die Rissbildung bei der Heizanordnung verringert und dadurch die Einsatzzeit der Heizanordnung steigert.
  • Die obere Klemme 824 ist mit dem Verbindungsstück 823 mit Wärmedrossel durch Klemmschrauben (in dieser Ansicht nicht gezeigt) verbunden, die durch Löcher 851 hindurch in der unteren Klemme 824 und Löcher 852 hindurch in dem Verbindungsstück 823 mit Wärmedrossel angeordnet sind. Die Löcher 851 sind in der unteren Klemme 824 Sacklöcher. Der obere Flansch 899 hat Zugangslöcher 834, die größer als die Klemmschrauben sind, so dass eine Montage von oben möglich ist. Bei dieser Version sind die Zugangslöcher 834 zu den Gewindelöchern 826 in dem oberen Flansch 899 versetzt, können jedoch koaxial sein, wenn die Gewindelöcher ausreichend groß sind, um einen Zugang zu den unteren Klemmenschrauben zu ermöglichen. Bei einigen Ausgestaltungen sitzt eine äußere Ausrichtlippe 835 an der unteren Klemme in einem äußeren Ausrichtsims 836 des Verbindungsstücks mit Wärmedrossel zur Bildung einer relativ glatten Oberfläche längs des Außendurchmessers der Klemme. Bei anderen Ausführungsformen kann die äußere Lippe 835 an der unteren Klemme in dem massiven oberen Rand (ohne einen darin ausgebildeten Sims 136) des Verbindungsstücks 823 mit Wärmedrossel sitzen und darüber hängen, so dass der Außendurchmesser der unteren Klemme 824 etwas größer als der Außendurchmesser des Verbindungsstücks 823 mit Wärmedrossel ist. Als Teil der unteren Klemme 824 ist spanabhebend eine Auskragscheibe 837 ausgearbeitet, wobei durch die Löcher 851 in der oberen Klemme 824 und Löcher 852 in dem Verbindungsstück 823 mit Wärmedrossel angeordnete Schrauben einen Druck auf den Heizungsstummelflansch (in dieser Ansicht nicht gezeigt) ausüben, um ihn fest in der oberen Tasche der Wärmedrossel zu halten. Bei der speziellen Ausgestaltung ist die Auskragscheibe etwa 10 bis 20 mil dick und hat Entspannungsschlitze 838, die so in sie geschnitten sind, dass ein geeigneter Druck auf den Isolator aufrechterhalten werden kann, ohne ihn zu zerbrechen. Die Entspannungsschlitze können in ihrer allgemeinen Form ähnlich zu denen sein, die in die Spannarme (vorstehend erörtert) geschnitten sind. Das Verbindungsstück mit Wärmedrossel kann spanabhebend als Teil des Stummelschafts der Heizanordnung gearbeitet werden, da jedoch einige Heizungsmaterialien schwierig spanabhebend zu bearbeiten sind, wie vorstehend erörtert, kann die Fertigung des Verbindungsstücks aus einem gesonderten Metallteil, wie rostfreiem Stahl, bevorzugt werden. Alternativ kann ein Verbindungsstück mit Wärmedrossel an der Heizungsplatte angeschweißt oder auf andere Weise befestigt werden.
  • Gemäß 8B kann zur Koppelung des Isolators 802 an dem Trägerschaft 821 eine ähnliche Anordnung verwendet werden. Der Trägerschaft 821 braucht nicht aus dem gleichen Material wie der Heizungsstummelschaft 898 hergestellt zu werden. Das Verbindungsstück 822 und der Isolator 802 verringern die Wärme, die nach unten in dem Trägerschaft fließt, so dass der Trägerschaft die hohen Temperaturen nicht aushalten muss, denen der Heizungsstummelschaft 898 widerstehen können muss. Dementsprechend kann eine untere Tasche 897 und können untere Klemmarme (nur einer gezeigt) spanabhebend als Teil des Trägerschaftes 821 ausgearbeitet werden oder es kann ein zweites Verbindungsstück mit oder ohne Verbindungsdrossel verwendet werden, um den Isolator 802 mit dem Trägerschaft 821 zu verbinden. Wenn eine Wärmedrossel verwendet werden muss, wird sie vorzugsweise zwischen dem Isolator und der Heizanordnung angeordnet, um den Wärmeverlust aus der Heizanordnung und die zyklische Wärmebelastung des Isolators zu reduzieren.
  • Wenn der Heizungsschaft in einer korrosiven Umgebung eingesetzt werden soll, verhindert eine Vakuumdichtung an den Verbindungen zwischen der Heizanordnung, dem Verbindungsstück mit Wärmedrossel, dem Isolator und dem Trägerschaft, dass die Innenseite des Schafts der Kammerumgebung ausgesetzt wird. Zusätzlich oder alternativ kann ein Spülgas in den Innenraums des Schafts strömen, um einen positiven Druck in dem Schaft verglichen mit dem Kammerdruck zu erzeugen. Wenn der Heizungsschaft in einer nicht korrosiven Umgebung eingesetzt werden soll, ist es nicht wichtig, das Innere des Schafts abzudichten.
  • Eine nicht in Übereinstimmung mit der vorliegenden Erfindung stehende Anordnung, die einen abgedichteten Tragschaft hat, der eine HF-Isolation für eine Metall-Heizeinrichtung bildet, ist in 11 gezeigt. Die Metall-Heizeinrichtung, beispielsweise eine Heizeinrichtung aus Aluminium, rostfreiem Stahl oder einem Verbund mit einem Kupferkern oder einem Mantel aus rostfreiem Stahl, kann von dem Trägerschaft durch Verwendung einer Kombination von Übergängen HF-isoliert werden. Ein abgedichteter Trägerschaft ermöglicht es, dass der Innenraum des Trägerschafts auf einem anderen Druck als die Kammer gehalten wird, indem ein Spülgas durch eine Öffnung (nicht gezeigt) eingeführt wird. Das Halten des Innenraums des Trägerschafts auf einem höheren Druck als in der Kammer kann dazu beitragen, beispielsweise die Lichtbogenbildung in dem Trägerschaft zu unterdrücken. Alternativ oder zusätzlich kann der Innenraum des Schafts mit Keramikstopfen oder einem anderen dielektrischen Material gefüllt werden, um die Lichtbogenbildung zu unterdrücken. Das Abdichten des Trägerschafts von der Kammer verringert auch den Austausch von Gasen zwischen dem Schaftinnenraum und der Kammer während des Druckzyklus. Dies kann die Bauelemente, wie den Heizdraht, innerhalb des Schafts vor potenziell korrosiven Gasen schützen, die in der Kammer vorhanden sind, und die Verunreinigung verringern, die aus dem Schaftinnenraum in die Kammer während der Substratbehandlung strömt.
  • In 11 ist eine Aluminium-Heizeinrichtung 1101 an einen Aluminiumstummelschaft 1102 angeschweißt, der mit einer Stummelschaftverlängerung 1103 aus rostfreiem Stahl verbunden ist. Der Übergang 1104 von Aluminium auf rostfreien Stahl kann beispielsweise durch Hartlöten oder durch Explosionsbindung erreicht werden. Die Explosionsbindung wird bevorzugt, wenn die beabsichtigte Betriebstemperatur der Heizeinrichtung eine Hartlötverbindung erweichen oder schmelzen würde. Die Stummelschaftverlängerung 1103 aus rostfreiem Stahl wird dann an ein erstes Distanzstück 1105 aus der Metalllegierung, die unter dem Namen KOVAR® verkauft wird, durch Elektrodenstrahl angeschweißt. Das erste Distanzstück 1105 ist mit einem Keramikisolator 1106 verbunden, wobei Verfahren verwendet werden, die im Stand der Technik zur Bildung von Keramikdichtungen aus KOVAR® bekannt sind. Der Keramikisolator 1106 wird mit einem zweiten Distanzstück 1107 verbunden, das ebenfalls aus der unter dem Namen KOVAR® verkauften Metalllegierung hergestellt ist, wobei eine ähnliche Technik verwendet wird. Vor der Ausbildung der Metall-Keramik-Dichtungen zwischen dem Isolator und dem ersten und zweiten Distanzstück wird das zweite Distanzstück an einen unteren Trägerschaft 1108, der aus rostfreiem Stahl besteht, durch Elektronenstrahl angeschweißt. Die Metall-Keramik-Dichtungen können beide in einem einzigen Verfahrensschritt gebildet werden. Aus der Basis 1114 des unteren Trägerschafts 1108 treten Heizelektroden 1109 (von denen nur eine gezeigt ist) mit einem Heizelektrodenisolator 1110 sowie eine HF-Elektrode 1111 mit einem HF-Elektrodenisolator 1112 hervor. Der Heizelektrodenisolator 1110 und der HF-Elektrodenisolator 1112 können beispielsweise Aluminiumoxidrohre sein. Der Innenraum des Trägerschafts kann mit Umgebungs-(Raum-)Druck in Verbindung stehen oder abgedichtet sein. Wenn es der beabsichtigte Einsatz (Temperatur) der Heizanordnung zulässt, können O-Ringe verwendet werden, um eine gasdichte Abdichtung um die Heizelektroden und die HF-Elektrode herum zu bilden. Alternativ können Durchgänge 1113 mit einer Glas-Metall- oder Keramik-Metall-Dichtung verwendet werden, um den Innenraum des Schafts abzudichten. Die Durchgänge brauchen nicht hermetisch zu sein, insbesondere wenn die verwendeten Prozessgase kein Umgebungs- oder Sicherheitsrisiko darstellen. Hermetische Durchgänge ergeben jedoch zusätzliche Sicherheit, wenn eine der Schaftdichtungen leckt.
  • E. Auslasssystem
  • Gemäß 1A hat eine Ventilanordnung (Drosselventilsystem) ein Sperrventil 78 und ein Drosselventil 83, die längs einer Abführleitung 178 angeordnet sind, um den Durchsatz der Gase durch einen Pumpkanal 60 zu steuern. Der Druck in der Behandlungskammer 30 wird von Kapazitätsmanometern (nicht gezeigt) überwacht und durch Ändern der Querschnittsfläche der Leitung 178 mit dem Drosselventil 83 gesteuert. Der Prozessor 85 empfängt vorzugsweise Signale von den Manometern, die den Kammerdruck anzeigen. Der Prozessor 85 vergleicht den gemessenen Druckwert mit Solldruckwerten, die von Bedienungspersonen (nicht gezeigt) eingegeben werden, und bestimmt die notwendige Einstellung des Drosselventils, die erforderlich ist, um den gewünschten Druck in der Kammer aufrechtzuerhalten. Der Prozessor 85 überträgt ein Drucksignal auf einen Antriebsmotor (nicht gezeigt), der das Drosselventil in eine Einstellung bringt, die dem Solldruckwert entspricht. Geeignete Drosselventile für die Verwendung bei der vorliegenden Erfindung sind in der in üblicher Weise übertragenen anhängigen Anmeldung Ser. No. 08/672,891 mit dem Titel "Verbesserte Vorrichtung und verbesserte Verfahren zum Steuern des Prozesskammerdrucks" (Anwaltsakte 891/DCVD-II/MBE), eingereicht am 28. Juni 1996, beschrieben, deren vollständige Offenbarung hier durch Referenz eingeschlossen ist. Bei Prozessen, die hohe Gasdurchsätze erfordern, beispielsweise bei der Abscheidung von Titan aus TiCl4, muss jedoch die Leistung des Auslasssystems gesteigert werden. Dazu gehört eine Erhöhung der Querschnittsfläche der Auslassöffnung 80 sowie eine Vergrößerung der Durchmesser der Abführleitung 178 und des Drosselventils 83. Um einen Gasstrom von etwa 15 l/min bei einem Kammerdruck von etwa 5 Torr aufnehmen zu können, wird bei einer Ausführungsform die Auslassöffnung 80 von einem Durchmesser von etwa 1 Zoll auf einen Durchmesser von etwa 2 Zoll vergrößert, was für einen Prozess von 5 l/min geeignet ist. Bei dem gleichen Beispiel wurden die Durchmesser des Drosselventils und der Abführleitung in gleicher Weise von etwa 1 Zoll auf etwa 2 Zoll erhöht. Diese Durchmesser können bei anderen Ausführungsformen abhängig von dem Gasstrom differieren.
  • Das Absperrventil 78 kann dazu verwendet werden, die Prozesskammer 30 von einer Vakuumpumpe 82 zu trennen, um die Verringerung des Kammerdrucks aufgrund der Pumpwirkung der Pumpe zu minimieren. Wie in 1A zu sehen ist, kann das Absperrventil 78 zusammen mit dem Drosselventil 83 auch dazu verwendet werden, die Massenstromsteuerungen (nicht gezeigt) der CVD-Vorrichtung 10 zu eichen. Bei einigen Prozessen wird eine Flüssig quelle verdampft und dann die Prozesskammer 30 zusammen mit einem Trägergas abgegeben. Die Massenstromsteuerungen werden dazu verwendet, den Durchsatz des Gases oder der Flüssigkeit in die Kammer 30 zu überwachen. Während der Eichung der Massenstromsteuerungen beschränkt oder begrenzt das Absperrventil 78 den Gasstrom zum Drosselventil 83, um die Druckzunahme in der Kammer 30 zu maximieren, was die Massenstromsteuerungseichung erleichtert.
  • Die vorstehend gelieferte Beschreibung des CVD-Systems dient hauptsächlich allgemeinen darstellenden Zwecken und sollte nicht notwendigerweise als Begrenzung des Umfangs der vorliegenden Erfindung angesehen werden. Das beispielsweise CVD-System 10 ist ein Einzelwafer-Vakuumkammersystem. Es können jedoch auch andere CVD-Systeme, die Mehrfachwafer-Kammersysteme sind, in anderen Ausführungen der Erfindung Verwendung finden. Obwohl bestimmte Merkmale der Erfindung als Teil einer CVD-Kammer in einem Mehrkammer-Behandlungssystem gezeigt und beschrieben sind, ist natürlich die Erfindung nicht notwendigerweise darauf beschränkt. D.h., dass verschiedene Aspekte der Erfindung in einer Vielzahl von Behandlungskammern verwendet werden können, beispielsweise Ätzkammern, Diffusionskammern oder dergleichen. Änderungen des vorstehend beschriebenen Systems sowie Änderungen in Konstruktionen, der Heizungsauslegung, der Stelle der HF-Leistungsanschlüsse, des Software-Betriebs und -Aufbaus, der spezifischen, bei einigen Software-Subroutinen verwendeten Algorithmen, der Ausgestaltung der Gaseinlassleitungen und Ventile sowie andere Modifizierungen sind möglich. Die speziellen vorstehend beschriebenen Abmessungen sind weiterhin für spezifische Ausgestaltungen vorgesehen, jedoch können andere Ausführungsformen andere Abmessungen haben. Außerdem können einige Ausgestaltungen der Erfindung in anderen Substratbehandlungsvorrichtungen Verwendung finden, wozu eine CVD-Ausrüstung gehört, wie Elektron-Cyclotron-Resonanz-(ECR-)Plasma-CVD-Vorrichtungen, induktionsgekoppelte HF-CVD-Vorrichtungen mit hochdichtem Plasma oder dergleichen. Die Verfahren zur Bildung von Schichten, wie Titan und anderen, muss nicht notwendigerweise auf irgendwelche spezifische Vorrichtungen oder auf irgendein spezielles Plasmaerregungsverfahren beschränkt sein.
  • F. Aufbauten und Anordnungen, die nicht zur Erfindung gehören
  • 9 zeigt eine vereinfachte Schnittansicht einer integrierten Schaltung 900. Wie gezeigt, hat die integrierte Schaltung 900 NMOS- und PMOS-Transistoren 903 und 906, die durch einen Feldoxidbereich 920, der durch lokale Oxidation von Silicium (LOCOS) oder ein anderes Verfahren gebildet wird, voneinander getrennt und elektrisch isoliert sind. Alternativ können die Transistoren 903 und 906 durch eine flache Grabenisolation (nicht gezeigt) voneinander getrennt und elektrisch isoliert sein, wenn die Transistoren 903 und 906 beide NMOS oder beide PMOS sind. Jeder Transistor 903 und 906 hat einen Source-Bereich 912, einen Drain-Bereich 915 und einen Gate-Bereich 928.
  • Eine dielektrische Prämetall-(PMD-)Schicht 921 trennt die Transistoren 903 und 906 von einer Metallschicht 940 mit Anschlüssen zwischen der Metallschicht 940 und den Transistoren, die durch Kontakte 924 gemacht werden. Die Metallschicht 940 ist eine von vier Metallschichten 940, 942, 944 und 946, die in die integrierte Schaltung 900 eingeschlossen sind. Jede Metallschicht 940, 942, 944 und 946 ist von den benachbarten Metallschichten durch jeweilige dielektrische Intermetallschichten 927, 928 und 929 getrennt. Benachbarte Metallschichten sind an ausgewählten Öffnungen durch Kontaktlöcher 926 verbunden. Über der Metallschicht 946 sind planarisierte Passivierungsschichten 930 angeordnet. Die CVD-Vorrichtung 10 kann zum Abscheiden von Filmen verwendet werden, die beispielsweise als Metallschichten 940, 942, 944 oder 946 eingesetzt werden. Diese Schichten können aus mehreren Unterschichten bestehen, beispielsweise einer Titanschicht, die unter einer Aluminium-, Gold-, Platin- oder Wolframschicht liegt. Die CVD-Vorrichtung 10 kann auch dazu verwendet werden, Kontakte 924 oder Pfropfen in der Bauelementstruktur abzuscheiden.
  • Natürlich dient die vereinfachte integrierte Schaltung 900 von 9 lediglich Darstellungszwecken. Der Fachmann kann die vorliegende Erfindung für die Herstellung von anderen integrierten Schaltungen einsetzen, wie Mikroprozessoren, anwendungsspezifischen integrierten Schaltungen (ASICs), Speicherbauelementen und dergleichen, sowie diskreten Bauelementen. Außerdem kann sie auch auf PMOS-, NMOS-, CMOS-, bipolare oder BiCMOS-Bauelemente angewendet werden. Obwohl vorstehend auf die Abscheidung von Metallfilmen bezogene Anwendungen erörtert sind, kann die vorliegende Erfindung auch bei anderen Anwendungen eingesetzt werden, beispielsweise einer intermetallischen Abscheidung oder einer spontanen Bildung eines intermetallischen Films aus einer Metallabscheidung. Insbesondere kann der Prozess in vorteilhafter Weise auf die CVD von Metalloxiden, wie BST und PZT, Anwendung finden. Die Erfindung ist natürlich auch bei vielen anderen Arten von Metall-CVD-Prozessen einsetzbar und zweckmäßig bei dielektrischen CVD- und anderen Plasmaverwendungen.
  • II. Versuchsergebnisse und Messungen
  • Zur Abschätzung der Temperaturgleichförmigkeit über der Oberfläche eines Wafers unter Verwendung einer Heizanordnung, wie sie im Wesentlichen in 4A gezeigt ist, wurden Versuche durchgeführt. Die Versuche wurden in einem TixZ-Abscheidungssystem (hergestellt von Applied Materials, Inc.) ausgeführt, das für einen 200-mm-Wafer gestaltet war. Die Versuchsbedingungen wurden insgesamt in Annäherung an Bedingungen während der Abscheidung eines Titanfilms auf einem Wafer gewählt.
  • 10 ist eine Darstellung, die die gemessene Temperaturgleichförmigkeit über einem Siliciumwafer 1002 mit 200 mm zeigt, wenn er auf eine Solltemperatur von 625°C auf einer widerstandsbeheizten Legierungsheizanordnung bei einem Kammerdruck von etwa 5 Torr und bei einem Abstand von etwa 400 mil zwischen dem Duschkopf und dem Wafer 1002 erhitzt wurde. Wie in 10 zu sehen ist, reichen die Temperaturwerte an den verschiedenen Stellen des Wafers 1002 von einem Minimum von 539,7°C (Bezugszeichen 1004) bis zu einem Maximum von 550,4°C (1006), was eine Temperaturänderung von 10,7°C ergibt. Die Temperaturgleichförmigkeit ist entsprechend der folgenden Gleichung definiert: Temperaturgleichförmigkeit = ±(∆Temperatur/(2 × Temperatur)) × 100%wobei die Temperatur in °C eingesetzt wird. Gemäß dieser Definition ist die Temperaturgleichförmigkeit über dem Wafer ± 0,86%. Diese Temperaturgleichförmigkeit ist vielen anderen Heizungen überlegen, insbesondere bei solchen hohen Temperaturen. Da die hohen Temperaturen in der Nähe des Mitte des Wafers auftreten und da diese Ausgestaltung der Heizung eine Doppelzonenfähigkeit hat, ist es weiterhin wahrscheinlich, dass eine noch bessere Gleichförmigkeit möglich ist, wenn die Leistung der inneren Spule bezogen auf die Leistung der äußeren Spule bei dieser Temperatur verringert wird.
  • Natürlich soll die vorstehende Beschreibung lediglich darstellend und nicht beschränkend sein. Bei der Durchsicht der vorstehenden Beschreibung werden für den Fachmann viele Ausgestaltungen ersichtlich. Die Erfindung wurde hier beispielsweise hauptsächlich bezüglich eines Titanprozessrezepts veranschaulicht, ist jedoch nicht so beschränkt. Beispielsweise kann der gebildete Film ein Titansilicidfilm, ein Titannitridfilm oder ein Film aus einem anderen Metall oder Dielektrikum sein, wozu Barium-Strontium-Titanat-, Blei-Zirconat-Titanat- und Siliciumoxidfilme gehören. Man weiß natürlich, dass die gleiche vorstehend erörterte CVD-Vorrichtung zum Abscheiden von Filmen bei Temperaturen verwendet werden kann, die niedriger als etwa 400°C sind, sowie bei Temperaturen über 625°C, insbesondere wenn ein hohes Ausmaß der Temperaturgleichförmigkeit erwünscht ist. Zusätzlich können verschiedene Aspekte der vorliegenden Erfindung für andere Anwendungen zum Einsatz kommen. Der Fachmann kennt andere Äquivalente oder alternative Verfahren der Schichtabscheidung. Der Rahmen der Erfindung sollte deshalb nicht unter Bezug auf die obige Beschreibung, sondern durch die beiliegenden Ansprüche bestimmt werden.

Claims (10)

  1. Substratbehandlungsvorrichtung (10) – mit einer Kammer (30) – mit einem Heizleistungssystem und – mit einer Heizanordnung (33, 833), die – eine Oberfläche zum Halten eines Substrats, – einen inneren Kern (12) aus einem ersten Metall, – einen äußeren Mantel (13) aus einem zweiten Metall, der den inneren Kern umgibt, und – ein Widerstandsheizelement aufweist, das symmetrisch zwischen einer oberen Fläche und einer unteren Fläche des äußeren Mantels und in dem inneren Kern angeordnet ist, – wobei das erste Metall eine höhere Wärmeleitfähigkeit als das zweite Metall hat.
  2. Vorrichtung nach Anspruch 1, bei welcher der innere Kern an den Mantel hartgelötet ist.
  3. Vorrichtung nach Anspruch 2, bei welcher das erste Metall Kupfer und das zweite Metall wenigstens etwa 3% Molybdän und wenigstens etwa 10% Chrom aufweist.
  4. Vorrichtung nach Anspruch 1, bei welcher das erste Metall Kupfer und das zweite Metall eine Legierung mit der folgenden Zusammensetzung aufweist: 51,6% Nickel, 21,5% Chrom, 13,5% Molybdän, 4% Wolfram, 5,5% Eisen, 2,5% Kobalt, und 1% Mangan bei kleinen Mengen von Vanadium, Silizium, Kohlenstoff und anderen Elementen.
  5. Vorrichtung nach Anspruch 1, welche weiterhin einen mehrschichtigen Hitzeschild aus Metall aufweist, der an der Unterseite der Heizanordnung angeordnet ist, um den Wärmeverlust vom Boden der Heizanordnung zu verringern.
  6. Vorrichtung nach Anspruch 1, bei welcher das Heizelement ein erstes Heizelement (14A) und ein zweites Heizelement (14B) aufweist, wobei das erste Heizelement von einer ersten Heizsteuerung und das zweite Heizelement von einer zweiten Heizsteuerung gesteuert werden und der innere Kern einen Wärmespalt (407) hat, der einen ersten Abschnitt des inneren Kerns und einen zweiten Abschnitt des inneren Kerns begrenzt, und der eine Wärmeisolierung zwischen dem ersten Abschnitt des inneren Kerns und dem zweiten Abschnitt des inneren Kerns bildet.
  7. Vorrichtung nach Anspruch 1, bei welcher die Heizanordnung ein Kühlrohr (402) aufweist, das ein Kühlmedium zu der Heizanordnung fördert, um das Kühlen der Heizanordnung zu erleichtern.
  8. Heizsockel (832) zum Erhitzen eines Substrats auf eine Temperatur von wenigstens etwa 400°C – mit einem inneren Kern aus einem ersten Metall, – mit einem Mantel aus einem zweiten Metall, der das erste Metall umgibt, wobei die Wärmeleitfähigkeit des ersten Metalls größer als die Wärmeleitfähigkeit des zweiten Metalls ist, – mit einem Widerstandsheizelement, das in eine Nut in dem inneren Kern so hartgelötet ist, dass das Widerstandselement symmetrisch in dem inneren Kern und dem Mantel ist, – mit einem Stummelschaft (825A), der mit einem unteren Abschnitt des Mantels gekoppelt ist, – mit einem Isolator (802), der mit dem Stummelschaft durch eine erste Auslegerklemme (803) gekoppelt ist, – mit einem Trägerschaft (825B), der mit dem Isolator durch eine zweite Auslegerklemme (804) gekoppelt ist, und – mit einer Hochfrequenzelektrode, die elektrisch mit dem inneren Kern, dem Mantel oder dem Stummelschaft gekoppelt ist.
  9. Heizsockel nach Anspruch 8, welcher weiterhin ein Verbindungsstück aufweist, das wenigstens einen Spannarm (829A, 829B) hat, der in der Lage ist, eine Ringspannung um einen Umfang des Isolators (802) über einen vorgegebenen Betriebstemperaturbereich des Heizsockels aufrechtzuerhalten.
  10. Heizsockel nach Anspruch 8, welcher weiterhin wenigstens eine Wärmedrossel zwischen dem Stummelschaft und dem Trägerschaft aufweist.
DE69927966T 1998-03-26 1999-03-19 Hochtemperatur-, mehrschicht-, legierungsheizanordnung Expired - Lifetime DE69927966T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US56703 1998-03-26
US09/056,703 US6035101A (en) 1997-02-12 1998-03-26 High temperature multi-layered alloy heater assembly and related methods
PCT/US1999/006172 WO1999049501A2 (en) 1998-03-26 1999-03-19 A high temperature multi-layered alloy heater assembly and related methods

Publications (2)

Publication Number Publication Date
DE69927966D1 DE69927966D1 (de) 2005-12-01
DE69927966T2 true DE69927966T2 (de) 2006-07-27

Family

ID=22006094

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69927966T Expired - Lifetime DE69927966T2 (de) 1998-03-26 1999-03-19 Hochtemperatur-, mehrschicht-, legierungsheizanordnung

Country Status (6)

Country Link
US (1) US6035101A (de)
EP (1) EP1074041B1 (de)
JP (1) JP4723086B2 (de)
KR (2) KR100687378B1 (de)
DE (1) DE69927966T2 (de)
WO (1) WO1999049501A2 (de)

Families Citing this family (636)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
JP3758009B2 (ja) * 1998-07-01 2006-03-22 日本エー・エス・エム株式会社 半導体処理用の基板保持装置
JP2001007039A (ja) * 1999-06-18 2001-01-12 Hitachi Ltd 半導体集積回路装置の製造方法
EP1137321A1 (de) * 1999-11-30 2001-09-26 Ibiden Co., Ltd. Keramisches heizelement
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6399926B2 (en) * 2000-04-03 2002-06-04 Sigmameltec Ltd. Heat-treating apparatus capable of high temperature uniformity
JP2001298020A (ja) * 2000-04-18 2001-10-26 Nhk Spring Co Ltd セラミックヒータ及びそれを用いた成膜処理装置
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
JP4328009B2 (ja) * 2000-11-30 2009-09-09 日本碍子株式会社 加熱装置
US6554907B2 (en) 2001-01-02 2003-04-29 Applied Materials, Inc. Susceptor with internal support
US6623563B2 (en) * 2001-01-02 2003-09-23 Applied Materials, Inc. Susceptor with bi-metal effect
US6660095B2 (en) * 2001-01-15 2003-12-09 Jusung Engineering Co., Ltd. Single wafer LPCVD apparatus
JP2002270346A (ja) * 2001-03-09 2002-09-20 Mitsubishi Heavy Ind Ltd 加熱装置及びその製造方法並びに被膜形成装置
JP3931578B2 (ja) * 2001-03-30 2007-06-20 信越半導体株式会社 気相成長装置
JP4331901B2 (ja) * 2001-03-30 2009-09-16 日本碍子株式会社 セラミックサセプターの支持構造
US6962025B1 (en) 2001-05-29 2005-11-08 H.B. Fuller Licensing & Financing, Inc. Metal plasma surface-modified thermal barrier channel
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
US7091481B2 (en) * 2001-08-08 2006-08-15 Sionex Corporation Method and apparatus for plasma generation
US7274015B2 (en) * 2001-08-08 2007-09-25 Sionex Corporation Capacitive discharge plasma ion source
KR20030026387A (ko) * 2001-09-12 2003-04-03 주식회사 아이앤에스 반도체 웨이퍼의 화학기상증착공정중에 사용되는받침히터와 그 제조방법
JP3897563B2 (ja) * 2001-10-24 2007-03-28 日本碍子株式会社 加熱装置
KR100588774B1 (ko) * 2001-11-26 2006-06-14 주성엔지니어링(주) 웨이퍼 서셉터
US6730175B2 (en) 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US6897411B2 (en) * 2002-02-11 2005-05-24 Applied Materials, Inc. Heated substrate support
US20060157472A1 (en) * 2002-08-20 2006-07-20 Kazutaka Mashima Metal heater
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
WO2004030411A1 (ja) * 2002-09-27 2004-04-08 Sumitomo Electric Industries, Ltd. ウエハー保持体及び半導体製造装置
US20040065656A1 (en) * 2002-10-04 2004-04-08 Makoto Inagawa Heated substrate support
KR20040035281A (ko) * 2002-10-19 2004-04-29 주성엔지니어링(주) 반도체 기판 가열용 몰딩 히터
FR2847714B1 (fr) * 2002-11-27 2005-02-18 Soitec Silicon On Insulator Procede et dispositif de recuit de tranche de semiconducteur
US7091453B2 (en) * 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
EP1612854A4 (de) * 2003-04-07 2007-10-17 Tokyo Electron Ltd Ladetisch und wärmebehandlungsvorrichtung mit dem ladetisch
US6825448B2 (en) 2003-05-01 2004-11-30 Applied Materials, Inc. Low residual-stress brazed terminal for heater
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
US6911093B2 (en) * 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US20040250774A1 (en) * 2003-06-16 2004-12-16 Brent Elliot Wafer heater with protected heater element
KR100534209B1 (ko) * 2003-07-29 2005-12-08 삼성전자주식회사 반도체소자 제조용 화학기상증착 공정설비
FR2858715B1 (fr) 2003-08-04 2005-12-30 Soitec Silicon On Insulator Procede de detachement de couche de semiconducteur
KR100994073B1 (ko) * 2003-08-14 2010-11-12 주성엔지니어링(주) 반도체 웨이퍼 제조장비의 히터블록
JP2005166354A (ja) * 2003-12-01 2005-06-23 Ngk Insulators Ltd セラミックヒーター
JP2005243667A (ja) * 2004-02-24 2005-09-08 National Institute Of Advanced Industrial & Technology 熱処理装置
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
JP4761723B2 (ja) * 2004-04-12 2011-08-31 日本碍子株式会社 基板加熱装置
JP2005340043A (ja) * 2004-05-28 2005-12-08 Sumitomo Electric Ind Ltd 加熱装置
US20060011139A1 (en) * 2004-07-16 2006-01-19 Applied Materials, Inc. Heated substrate support for chemical vapor deposition
JP4133958B2 (ja) * 2004-08-04 2008-08-13 日本発条株式会社 ワークを加熱または冷却するための装置と、その製造方法
US7648914B2 (en) 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US20060075970A1 (en) * 2004-10-13 2006-04-13 Guenther Rolf A Heated substrate support and method of fabricating same
US20080314320A1 (en) * 2005-02-04 2008-12-25 Component Re-Engineering Company, Inc. Chamber Mount for High Temperature Application of AIN Heaters
KR100722047B1 (ko) * 2005-07-14 2007-05-25 엘지전자 주식회사 발열체의 구조
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
WO2007022471A2 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Substrate support having brazed plates and heater
JP3972944B2 (ja) 2005-09-12 2007-09-05 住友電気工業株式会社 セラミックスヒータ及びそれを備えた半導体製造装置
US20070158388A1 (en) * 2006-01-06 2007-07-12 Honeywell International, Inc. Apparatus and method for welding superalloys
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US20080011426A1 (en) * 2006-01-30 2008-01-17 Applied Materials, Inc. Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20070254494A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Faceplate with rapid temperature change
JP5245268B2 (ja) * 2006-06-16 2013-07-24 東京エレクトロン株式会社 載置台構造及び熱処理装置
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
JP4947712B2 (ja) * 2007-03-29 2012-06-06 コバレントマテリアル株式会社 面状ヒータ
US8563619B2 (en) * 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
KR100934403B1 (ko) * 2007-11-30 2009-12-29 (주)위지트 냉각 수단을 구비한 서셉터
WO2009086013A2 (en) * 2007-12-21 2009-07-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US8876024B2 (en) 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
US20090277388A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Heater with detachable shaft
EP2291856A4 (de) * 2008-06-27 2015-09-23 Semiconductor Energy Lab Dünnfilmtransistor
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101094818B1 (ko) * 2008-12-31 2011-12-16 주식회사성심 히터 모듈
US20100177454A1 (en) * 2009-01-09 2010-07-15 Component Re-Engineering Company, Inc. Electrostatic chuck with dielectric inserts
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9096930B2 (en) * 2010-03-29 2015-08-04 Stion Corporation Apparatus for manufacturing thin film photovoltaic devices
JP5666167B2 (ja) * 2010-05-07 2015-02-12 日本発條株式会社 ステージヒータ及びシャフトの製造方法
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101205433B1 (ko) 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
KR101202437B1 (ko) * 2010-12-21 2012-11-16 엘아이지에이디피 주식회사 화학기상 증착장치
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US10090181B2 (en) 2011-03-01 2018-10-02 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US20130334199A1 (en) 2011-03-01 2013-12-19 Applied Materials, Inc. Thin heated substrate support
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102011079815A1 (de) * 2011-07-26 2013-01-31 Robert Bosch Gmbh Schutzvorrichtung zur Laserbearbeitung von Löchern in Bauteilen
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) * 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
KR20130107001A (ko) * 2012-03-21 2013-10-01 엘지이노텍 주식회사 증착 장치
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9089007B2 (en) * 2012-04-27 2015-07-21 Applied Materials, Inc. Method and apparatus for substrate support with multi-zone heating
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9490150B2 (en) * 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9604397B2 (en) 2012-09-13 2017-03-28 Husky Injection Molding Systems Ltd. Melt distribution device
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9100992B2 (en) * 2012-10-08 2015-08-04 Minco Products, Inc. Heater assembly
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US10177014B2 (en) * 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6017328B2 (ja) * 2013-01-22 2016-10-26 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US20140202172A1 (en) * 2013-01-22 2014-07-24 Sunpower, Inc. Cold Finger For Cryocoolers
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CZ201382A3 (cs) * 2013-02-07 2013-12-27 Vysoké Učení Technické V Brně Ohřevné zařízení s izotermickou topnou plochou
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN103987142A (zh) * 2013-02-08 2014-08-13 刘秋明 一种发热元件、电子烟以及形成发热元件的方法
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
RU2545852C1 (ru) * 2013-12-16 2015-04-10 Денис Анатольевич Романов Способ нанесения электроэрозионностойких покрытий на основе молибдена и меди на медные электрические контакты
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US20150194326A1 (en) * 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US10431435B2 (en) 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102612193B1 (ko) * 2016-06-03 2023-12-12 삼성전자주식회사 웨이퍼 처리 장치
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6720033B2 (ja) * 2016-09-14 2020-07-08 株式会社Screenホールディングス 熱処理装置
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6902382B2 (ja) * 2017-04-12 2021-07-14 日本発條株式会社 ヒータユニット
JP2018181586A (ja) * 2017-04-12 2018-11-15 日本発條株式会社 シースヒータ
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) * 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102024137B1 (ko) * 2017-09-20 2019-09-23 주식회사 조인솔루션 스퍼터용 석영 히터 및 이를 구비한 스퍼터링 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
WO2019117250A1 (ja) 2017-12-15 2019-06-20 芝浦メカトロニクス株式会社 有機膜形成装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
JP6935920B2 (ja) * 2018-01-18 2021-09-15 助川電気工業株式会社 基板ヒータ
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11560913B2 (en) 2018-01-19 2023-01-24 Applied Materials, Inc. Brazed joint and semiconductor processing chamber component having the same
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
DE202018100363U1 (de) * 2018-01-23 2019-04-24 Aixtron Se Vorrichtung zum Verbinden eines Suszeptors mit einer Antriebswelle
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102143139B1 (ko) 2018-04-30 2020-08-12 세메스 주식회사 기판 처리 장치
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
JP2020064841A (ja) * 2018-10-11 2020-04-23 日本発條株式会社 ステージ、成膜装置、および膜加工装置
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20210274599A1 (en) * 2019-04-16 2021-09-02 Ngk Spark Plug Co., Ltd. Method of manufacturing holding device, method of manufacturing structure for holding device, and holding device
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11610792B2 (en) * 2019-08-16 2023-03-21 Applied Materials, Inc. Heated substrate support with thermal baffles
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
JP7248607B2 (ja) * 2020-02-03 2023-03-29 日本碍子株式会社 セラミックヒータ
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11339466B2 (en) * 2020-03-20 2022-05-24 Applied Materials, Inc. Heated shield for physical vapor deposition chamber
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
JP7499651B2 (ja) * 2020-09-02 2024-06-14 東京エレクトロン株式会社 載置台及びプラズマ処理装置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20230079218A (ko) * 2020-10-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 열 손실을 최소화하고 균일성을 개선하기 위한 가열식 기판 지지부
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20240004673A (ko) * 2021-05-04 2024-01-11 와틀로 일렉트릭 매뉴팩츄어링 컴파니 저항 히터가 설치된 금속 히터 조립체
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
KR102351319B1 (ko) * 2021-05-25 2022-01-17 주식회사 기가레인 히팅 어셈블리
CN115803869A (zh) * 2021-05-28 2023-03-14 朗姆研究公司 用于底座和腔室的热管理的设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5484011A (en) * 1986-12-19 1996-01-16 Applied Materials, Inc. Method of heating and cooling a wafer during semiconductor processing
JPS63196033A (ja) * 1987-02-09 1988-08-15 Fujitsu Ltd 気相成長装置
JPS63278322A (ja) * 1987-05-11 1988-11-16 Fujitsu Ltd 気相成長装置
JPS645012A (en) * 1987-06-26 1989-01-10 Fujitsu Ltd Vapor growth equipment
JPS6477930A (en) * 1987-09-18 1989-03-23 Daido Oxygen Cvd device
JPH0227715A (ja) * 1988-07-15 1990-01-30 Mitsubishi Electric Corp 気相成長装置用加熱ステージ
JPH06103670B2 (ja) * 1989-04-04 1994-12-14 三菱電機株式会社 半導体ウェハ加熱装置
JP2737010B2 (ja) * 1989-08-01 1998-04-08 キヤノン株式会社 露光装置
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US5025733A (en) * 1989-12-12 1991-06-25 Elio Pierobon Railroad support tie replacement device with track locking device
EP0439000B1 (de) * 1990-01-25 1994-09-14 Applied Materials, Inc. Elektrostatische Klemmvorrichtung und Verfahren
JPH03235325A (ja) * 1990-02-13 1991-10-21 Toshiba Corp 半導体気相成長装置
US5126533A (en) * 1990-03-19 1992-06-30 Conductus, Inc. Substrate heater utilizing protective heat sinking means
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
JPH0460552U (de) * 1990-09-28 1992-05-25
DE4124295A1 (de) * 1991-07-22 1993-01-28 Krohne Ag Massendurchflussmessgeraet
US5343022A (en) * 1992-09-29 1994-08-30 Advanced Ceramics Corporation Pyrolytic boron nitride heating unit
US5589224A (en) * 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
JP3103227B2 (ja) * 1992-12-09 2000-10-30 株式会社日立製作所 半導体装置の製造方法
US5343938A (en) * 1992-12-24 1994-09-06 Vlsi Technology, Inc. Method and apparatus for thermally insulating a wafer support
US5665167A (en) * 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
JP3125199B2 (ja) * 1993-03-18 2001-01-15 東京エレクトロン株式会社 縦型熱処理装置
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
JP2749759B2 (ja) * 1993-06-23 1998-05-13 信越化学工業株式会社 静電チャック付セラミックスヒーター
JP3165938B2 (ja) * 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
US5397396A (en) * 1993-12-27 1995-03-14 General Electric Company Apparatus for chemical vapor deposition of diamond including thermal spreader
DE69517248T2 (de) * 1994-07-15 2000-10-12 Mitsubishi Materials Corp., Tokio/Tokyo Keramik-Gehäuse mit hoher Wärmeabstrahlung
US5595241A (en) * 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
JP3052116B2 (ja) * 1994-10-26 2000-06-12 東京エレクトロン株式会社 熱処理装置
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08191059A (ja) * 1995-01-09 1996-07-23 Hitachi Ltd プラズマ処理装置
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
JPH09237826A (ja) * 1996-02-29 1997-09-09 Kyocera Corp 静電チャック
US5775416A (en) * 1995-11-17 1998-07-07 Cvc Products, Inc. Temperature controlled chuck for vacuum processing
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5589003A (en) * 1996-02-09 1996-12-31 Applied Materials, Inc. Shielded substrate support for processing chamber
US5844205A (en) * 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure

Also Published As

Publication number Publication date
JP4723086B2 (ja) 2011-07-13
KR20060114394A (ko) 2006-11-06
DE69927966D1 (de) 2005-12-01
KR20010042196A (ko) 2001-05-25
KR100696028B1 (ko) 2007-03-16
EP1074041A2 (de) 2001-02-07
EP1074041B1 (de) 2005-10-26
WO1999049501A3 (en) 2000-01-13
KR100687378B1 (ko) 2007-02-26
WO1999049501A2 (en) 1999-09-30
US6035101A (en) 2000-03-07
JP2002508587A (ja) 2002-03-19

Similar Documents

Publication Publication Date Title
DE69927966T2 (de) Hochtemperatur-, mehrschicht-, legierungsheizanordnung
DE69533928T2 (de) Kammer für CVD-Behandlungen
DE19980683C2 (de) Gestapelte Duschkopfeinheit zum Leiten von Gasen und HF-Leistung in eine Reaktionskammer
DE69815163T2 (de) Verfahren und Vorrichtung zur Abscheidung von Titanschichten
DE69710961T2 (de) Komponenten peripher zum Sockel im Wege des Gasflusses innerhalb einer Kammer zur chemischen Gasphasenabscheidung
JP4485681B2 (ja) 高周波能力を有する高温セラミックヒータ組立体
US5968379A (en) High temperature ceramic heater assembly with RF capability and related methods
US6051286A (en) High temperature, high deposition rate process and apparatus for depositing titanium layers
US5983906A (en) Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
DE69727624T2 (de) Induktiv gekoppelter HDP-CVD-Reaktor
US5994678A (en) Apparatus for ceramic pedestal and metal shaft assembly
US6189482B1 (en) High temperature, high flow rate chemical vapor deposition apparatus and related methods
DE69411307T2 (de) CVD Kammer
DE102006056811A1 (de) Heizvorrichtung für Halbleiterchargen
DE69622823T2 (de) Waferheizanordnung
DE69308847T2 (de) Verfahren zur abscheidung von wolfram auf titannitrid durch cvd ohne silan
DE69734619T2 (de) Verfahren mit einem induktiv gekoppelten Plasmareaktor
EP0855735A2 (de) Hochtemperatur Dampfphasenabscheidungsgerät mit hohem Durchfluss und dazu gehörige Verfahren
DE102009027476A1 (de) Innenkammerelement-Temperatursteuerverfahren, kammerinternes Element, Substratanbringtisch und Plasmabearbeitungsvorrichtungsvorrichtung, die selbigen enthält
DE112008002015T5 (de) Weiterentwickelte Bearbeitungskammer für mehrere Werkstücke
DE69934000T2 (de) Plasma-bearbeitungs-kammer und verfahren zur kontrolle von verunreinigungen
DE69736267T2 (de) Plasmabehandlungsvorrichtung und -verfahren
DE69927146T2 (de) Verfahren und vorrichtung zur profiländerung von lücken mit hohem aspektverhältnis durch anwendung von differentialplasmaenergie
KR19980071012A (ko) 고온 및 고 증착율의 티타늄 막을 증착하기 위한 방법 및 장치
DE69431327T2 (de) Substrathalter für die Abscheidung aus der Dampfphase

Legal Events

Date Code Title Description
8364 No opposition during term of opposition