DE60301344T2 - Materialkombination für tunnelübergangsdeckschicht, hartmaske und stackkeimschicht in der mram-herstellung - Google Patents

Materialkombination für tunnelübergangsdeckschicht, hartmaske und stackkeimschicht in der mram-herstellung Download PDF

Info

Publication number
DE60301344T2
DE60301344T2 DE60301344T DE60301344T DE60301344T2 DE 60301344 T2 DE60301344 T2 DE 60301344T2 DE 60301344 T DE60301344 T DE 60301344T DE 60301344 T DE60301344 T DE 60301344T DE 60301344 T2 DE60301344 T2 DE 60301344T2
Authority
DE
Germany
Prior art keywords
layer
depositing
hard mask
memory device
semiconductor memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60301344T
Other languages
English (en)
Other versions
DE60301344D1 (de
Inventor
Rainer Leuschner
George Stojakovic
J. Xian NING
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Application granted granted Critical
Publication of DE60301344D1 publication Critical patent/DE60301344D1/de
Publication of DE60301344T2 publication Critical patent/DE60301344T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y25/00Nanomagnetism, e.g. magnetoimpedance, anisotropic magnetoresistance, giant magnetoresistance or tunneling magnetoresistance
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • G11C11/161Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect details concerning the memory cell structure, e.g. the layers of the ferromagnetic memory cell
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F41/00Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties
    • H01F41/14Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates
    • H01F41/30Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates for applying nanostructures, e.g. by molecular beam epitaxy [MBE]
    • H01F41/302Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates for applying nanostructures, e.g. by molecular beam epitaxy [MBE] for applying spin-exchange-coupled multilayers, e.g. nanostructured superlattices
    • H01F41/308Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying magnetic films to substrates for applying nanostructures, e.g. by molecular beam epitaxy [MBE] for applying spin-exchange-coupled multilayers, e.g. nanostructured superlattices lift-off processes, e.g. ion milling, for trimming or patterning
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Hall/Mr Elements (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Semiconductor Memories (AREA)

Description

  • TECHNISCHES GEBIET
  • Die Erfindung betrifft im Allgemeinen die Herstellung von Halbleiterbauelementen und im Besonderen die Herstellung von magnetischen Speicherbauelementen von wahlfreiem Zugriff (MRAM).
  • HINTERGRUND DER ERFINDUNG
  • Die Verwendung von Halbleitern ist weit verbreitet für integrierte Schaltungen für elektronische Anwendungen einschließlich Radios, Fernseher, Handys und Personalcomputer, um einige Beispiele zu nennen. Ein Typ von Halbleiterbauelement ist ein Halbleiterspeicherbauelement wie ein dynamischer Speicher von wahlfreiem Zugriff (DRAM) und Flash-Speicher, welche Ladung zum Speichern von Information nutzen.
  • Spinelektronik kombiniert Halbleitertechnologie und Magnetismus und stellt eine neuerliche Entwicklung im Bereich der Speicherbauelemente dar. In der Spinelektronik wird der Spin eines Elektrons anstatt der Ladung zum Kennzeichnen einer „1" oder „0" verwendet. Ein derartiges Spinelektronikbauelement stellt ein MRAM Bauelement dar, welches Leiterbahnen enthält, die in verschiedenen Richtungen zueinander in verschiedenen Metallebenen positioniert sind, wobei die Leiterbahnen oberhalb und unterhalb eines magnetischen Stapels liegen. Der Ort an dem die Leiterbahnen, z. B. Wortleitungen und Bitleitungen, sich schneiden wird als Kreuzungspunkt bezeichnet. Ein durch eine der Leiterbahnen fließender Strom erzeugt ein magnetisches Feld um die Leiterbahn und orientiert die magnetische Polarität in einer bestimmten Richtung entlang der Verdrahtung oder Leiterbahn. Ein durch die andere Leiterbahn fließender Strom induziert das magnetische Feld und kann die magnetische Polarität ebenso teilweise drehen. Mit „0" oder „1" gekennzeichnete digitale Information ist durch die Ausrichtung von magnetischen Momenten speicherbar. Der Widerstand der magnetischen Komponente hängt von der Ausrichtung des magnetischen Moments ab. Der gespeicherte Zustand lässt sich aus dem Element durch Detektieren des resistiven Zustands der Komponente lesen. Ein Speicherzellenfeld wird im Allgemeinen durch Plazieren der Leiterbahnen und Kreuzungspunkte in einer Matrix-Anordnung mit Zeilen und Spalten aufgebaut.
  • Ein Vorteil von MRAM Bauelementen verglichen mit herkömmlichen Halbleiterspeicherbauelementen wie DRAM Bauelementen liegt darin, dass MRAM Bauelemente nicht flüchtig sind. Beispielsweise würde die Zeit zum Booten eines Personalcomputers (PC) bei Verwenden von MRAM Bauelementen nicht so lang wie bei herkömmlichen PC's, welche DRAM Bauelemente verwenden, sein.
  • Ebenso ist es bei einem MRAM Bauelement nicht erforderlich, dieses hochzufahren und dieses hat ein „Erinnerungsvermögen" der gespeicherten Daten. MRAM Bauelemente haben das Potenzial den Boot-Prozess zu umgehen, mehr Daten zu speichern, auf diese Daten schneller zuzugreifen und weniger Leistung im Vergleich zu gegenwärtigen Speichertechnologien zu verbrauchen.
  • Da jedoch MRAM Bauelemente verschieden zu konventionellen Speicherbauelementen arbeiten, stellen diese Anforderungen an Design und Herstellung.
  • WO 00/31809 beschreibt ein MRAM bei welchem die Ätzprozesse für die resistiven Elemente optimiert sind.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Bevorzugte Ausführungsformen der Erfindungen sind in den Ansprüchen 1 und 14 definiert.
  • Vorteile von Ausführungsformen der Erfindung liegen in der Verbesserung des Prozessfensters für den reaktiven Ionenätz-(RIE)Prozess zum (Öffnen der Tunnel-Junction-Hartmaske und die nachfolgende Tunnel-Junction-Ätzung mit einem optionalen Ätzstopp auf einer Saatschicht zur Vermeidung von Korrosion des Metalls der ersten Leiterbahnen.
  • DETAILLIERTE BESCHREIBUNG DER ABBILDUNGEN
  • Die obigen Merkmale der Erfindung werden nach Betrachtung der folgenden Beschreibung mit Bezug zu den begleitenden Abbildungen klar verständlich:
  • 1 zeigt eine Ansicht eines bekannten MRAM Bauelements mit in einem Feld angeordneten magnetischen Stapelspeicherzellen mit unterhalb und oberhalb jeder Speicherzelle angeordneten Wortleitungen und Bitleitungen zum Ansteuern der Speicherzellen;
  • 2 zeigt eine Aufsicht auf das in 1 gezeigte MRAM Bauelement;
  • 3 zeigt eine Querschnittsansicht eines MRAM Bauelements;
  • 4 bis 6 zeigen Querschnittsansichten eines MRAM Bauelements nach verschiedenen Herstellungsabschnitten gemäß einer Ausführungsform der Erfindung;
  • 7 zeigt eine Querschnittsansicht einer Ausführungsform der Erfindung mit entfernter Hartmaske und
  • 8 zeigt eine Querschnittsansicht einer Ausführungsform der Erfindung in einer Kreuzungspunkt MRAM Architektur, bei der eine Oxidschicht auf den ersten Leiterbahnen fehlt.
  • Entsprechende Nummerierungen und Symbole in den verschiedenen Abbildungen beziehen sich auf korrespondierende Teile, sofern kein anderer Hinweis vorliegt. Die Figuren dienen der Veranschaulichung der relevanten Aspekte der bevorzugten Ausführungsform und sind nicht notwendigerweise maßstabsgetreu dargestellt.
  • DETAILLIERTE BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORM
  • Bekannte MRAM Prozessabläufe und Materialien werden beschrieben, gefolgt von einer Diskussion von einigen bevorzugten Ausführungsformen der Erfindung und deren Vorteile.
  • Zum Herstellen von MRAM Bauelementen werden typischerweise magnetische Metallstapel während der Herstellung der integrierten Schaltung (IC's) in die Back-End-Of-Line (BEOL) eingegliedert. Ein magnetischer Stapel weist typischerweise viele verschiedene Schichten von Metallen mit einer dazwischen liegenden dünnen dielektrischen Schicht auf. Der magnetische Stapel kann beispielsweise eine gesamte Dicke von einigen zehn Nanometern aufweisen. Bei Kreuzungspunkt MRAM Strukturen ist der magnetische Stapel beispielsweise üblicherweise an dem Schnittpunkt von zwei Metall-Verdrahtungsebenen lokalisiert, beispielsweise am Schnittpunkt von Metall 2 (M2) und Metall 3 (M3) Ebenen, welche in verschiedenen Richtungen verlaufen und mit einem Winkel zueinander ausgerichtet sind. Die Oberseiten und Unterseiten des magnetischen Stapels kontaktieren typischerweise die M(n) und M(n + 1) Leiterbahnen der entsprechenden Verdrahtungsebenen.
  • Beispielsweise ist in 1 ein bekanntes MRAM Bauelement 10 mit Leiterbahnen 12 und 22, die in einer ersten und in einer zweiten Richtung verlaufen und aus einem leitfähigen Material wie Aluminium oder Kupfer bestehen, dargestellt. Ein erstes Zwischenschichtdielektrikum (ILD, nicht dargestellt) wird auf einem Substrat (auch als Werkstück bezeichnet und nicht dargestellt) abgeschieden. Eine Metallisierungsebene wird typischerweise in dem Zwischenschichtdielektrikum unter Verwendung eines zur Ausbildung der Leiterbahnen 12 dienenden Damasceneprozesses ausgebildet. Ein magnetischer Stapel 14 wird auf den Leiterbahnen 12 ausgebildet.
  • Der magnetische Stapel 14 weist typischerweise eine erste magnetische Schicht 20 mit einer Mehrzahl von Schichten von Materialen wie beispielsweise PtMn, CoFe, Ru und NiFe auf. Die erste magnetische Schicht 20 wird oft als harte Schicht (hard layer) oder Referenzschicht bezeichnet. Die erste magnetische Schicht 20 kann eine auf den ersten Leiterbahnen 12 angeordnete Saatschicht enthalten (nicht dargestellt). Die Saatschicht weist typischerweise TaN zum Verhindern von Korrosion der ersten Leiterbahnen 12 während des Ätzens des magnetischen Stapels 14 auf.
  • Der magnetische Stapel 14 enthält ebenso eine Dielektrikumsschicht 18, welche beispielsweise auf der ersten magnetischen Schicht 20 abgeschiedenes Al2O3 aufweist. Die dielektrische Schicht 18 wird oft als Tunnelschicht, Tunnelbarriere oder T-Barriere bezeichnet. Der magnetische Stapel 14 enthält ebenso eine zweite magnetische Schicht 16 mit einer Multischichtstruktur mit ähnlichen Materialien wie die auf der dielektrischen Schicht 18 abgeschiede erste Schicht 20. Die zweite magnetische Schicht 16 wird oft als weiche Schicht oder freie Schicht bezeichnet. Die erste magnetische Schicht 20, die dielektrische Schicht 18 und die zweite magnetische Schicht 16 werden zur Ausbildung des magnetischen Stapels 14 strukturiert.
  • Leiterbahnen 22 innerhalb einer Metallisierungsschicht verlaufen in einer verschiedenen Richtung, z. B. senkrecht, im Vergleich zu Leiterbahnen 12 und werden auf dem magnetischen Stapel 14 unter Verwendung eines Damasceneprozesses innerhalb einer dielektrischen Schicht (nicht dargestellt), welche auf dem magnetischen Stapel 14 und Leiterbahnen 22 abgeschieden ist, ausgebildet. Leiterbahnen 12 und 22 dienen als Wortleitungen und Bitleitungen des Speicherfeldes 10. Die Reihenfolge der Schichten des magnetischen Stapels 14 können umgekehrt sein, z. B. kann die harte Schicht 20 auf der Oberseite und die weiche Schicht 16 auf der Unterseite der Isolationsschicht 18 liegen. Ebenso können die Wortleitungen 12 und Bitleitungen 22 entweder oberhalb oder unterhalb des magnetischen Stapels 14 angeordnet sein. Bei einem FET ähnlichen MRAM Design kann eine Oxidschicht (nicht dargestellt) beispielsweise jeweils zwischen den ersten und zweiten Leiterbahnen 12/22 angeordnet sein und die Oxidschicht kann zum Bereitstellen von Via-Löchern strukturiert werden, welche nachfolgend mit einem Leiter zur Kopplung des magnetischen Stapels 14 an einen Feldeffekttransistor (FET) aufgefüllt werden.
  • In einem MRAM Bauelement wird Information in der weichen magnetischen Schicht oder freien Schicht 16 des magnetischen Stapels 14 gespeichert. Zum Speichern der Information ist ein magnetisches Feld erforderlich. Dieses magnetische Feld wird von einem Wortleitungs- und Bitleitungsstrom, welcher durch Leiterbahnen 12 und 22 fließt, bereitgestellt. Die Information wird durch Anlegen einer Spannung an die bestimmte zu lesende Zelle und Bestimmen des Widerstands der Zelle, welcher einen logischen „1" oder „0" Zustand kennzeichnet, bestimmt.
  • 3 zeigt eine Querschnittsansicht eines MRAM Bauelements 10 mit verschiedenen Isolationsschichten zwischen leitfähigen Elementen des MRAM. Erste Leiterbahnen 12 sind voneinander durch ein erstes Zwischenschichtdielektrikum 24 (versteckt dargestellt, da das Dielektrikum 24 nicht sichtbar ist in 3) und zweite Leiterbahnen 22 sind voneinander durch ein zweites Zwischenschichtdielektrikum 34 isoliert. Die resistiven Speicherelemente oder TJ's 14, eine Deckschicht 28 und eine Hartmaske 30 sind voneinander durch eine Isolationsschicht oder TJ Seitenwandisolationsschicht 32 isoliert.
  • Prozessieren eines magnetischen MRAM Stapels 14 oder Tunnel-Junction (TJ) Prozessierung erfordert verschiedene Materialien für die Zwischenschichtdielektrika 24/34 zwischen den Metallisierungsebenen M(n) und M(n + 1) 12/22, die TJ 14 Seitenwandisolationsschicht 32, die Hartmaske 30 für die TJ 14 Ätzung und die TJ Stapel-Deckschicht 28. Es ist hinsichtlich der Hartmaske 30 wünschenswert, dass diese leitfähig ist und eine gute Stabilität während der TJ 14 Ätzung aufweist. Die TJ Stapel-Deckschicht 28 ist wünschenswerterweise leitfähig, schützt die magnetischen Materialien 16 vor der Oxidation und stellt einen Ätzstopp für das Öffnen der Hartmaske 30 und oder den reaktiven Ionenätzschritt (RIE) zum Entfernen der Hartmaske 30 dar.
  • Die vier Materialien, nämlich die Metallisierungsschicht-Zwischenschichtdielektrika (ILD's) 24/34, der TJ Seitenwandisolator 32, das Hartmaskenmaterial 30 und die Deckschicht 28 sind miteinander verknüpft, da diese selektiv zueinander zum Erreichen eines ausreichenden Prozessfensters geätzt werden können. Folglich sind die Materialauswahl für die Hartmaske 30 bezüglich der Deckschicht 28 und der ILD 24/34 bezüglich der Hartmaske 30 und der TJ Isolation 32 durch die Ätzselektivität der verschiedenen Materialien miteinander verknüpft.
  • Bei der MRAM Prozessierung wird typischerweise SiO2 als das Metallisierungsschicht ILD 24/34 verwendet, was bedeutet, dass das TJ Isolationsmaterial 32 verschieden von SiO2 wegen der Ätzselektivität sein sollte. Des Weiteren weisen sowohl das TJ Isolationsmaterial 32 und das TJ Hartmaskenmaterial 30 vorzugsweise ein Material mit guter Ätzselektivität zu SiO2 RIE, z. B. einer Metallisierungsschicht M3 Ätzung, auf.
  • Deshalb kann Si3N4 als TJ Isolationsmaterial 32 verwendet werden und TiN oder TaN kann als TJ Deckschicht 28 und/oder TJ Hartmaske 30 verwendet werden. Die TJ Hartmaske 30 weist vorzugsweise eine Dicke von ungefähr 150 nm auf.
  • Werden jedoch TiN oder TaN zum RIE Öffnen der Hartmaske 30 verwendet, z. B. Strukturieren der Hartmaske 30 mit RIE, so ist das Stoppen des RIE Öffnens der Hartmaske 30 auf der Deckschicht 28 problematisch. Um gute Prozessbreiten zu erreichen ist es wünschenswert einen präzisen Stopp beim RIE Öffnen der Hartmaske 30 zu erreichen, da dies beim präzisen Definieren, wie lang der TJ 14 Ätzprozess ohne Überätzen in die unterhalb der TJ 14 liegenden Metallisierungsleitungen 12 auszuführen ist, hilft.
  • Eine dünne TJ Stapel-Deckschicht 28 mit beispielsweise 10 nm TaN wird auf der TJ 14 unterhalb der Hartmaske 30 wie in 3 dargestellt angeordnet. Bei dieser Anwendung ist es wünschenswert, dass die Deckschicht 28 die folgenden Eigenschaften aufweist: Oxidationsschutz für magnetische Materialien des TJ Stapels 14, so gering als mögliche Ätzraten beim RIE Öffnen der Hartmaske und so gering als mögliche Schichtwiderstände, selbst nach Aussetzen der RIE Entfernung der Hartmaske 30.
  • Typischerweise weist die Deckschicht 28 TaN auf, das einen spezifischen Widerstand von 1.8 •μm und eine schlechte Ätzselektivität hinsichtlich des RIE Öffnens der Hartmaske 30 aufweist, was bedeutet, dass das RIE Öffnen der Hartmaske 30 zeitlich abgestimmt oder auf einer Endpunkterfassung basierend ausgeführt werden muss.
  • Die Saatschicht der Referenzschicht 20 des magnetischen Stapels 14 weist typischerweise TaN auf, was nachteilig ist, falls der Stapel 14 in einem Chlorplasma geätzt wird, da hierbei kein Ätzstopp für das TaN vorhanden ist ohne Risiko des Hindurchtretens mit dem Chlor durch das TaN und Korrodierens der darunter liegenden Leiterbahnen 12, welche beispielsweise Cu aufweisen können.
  • Ausführungsformen der Erfindung erzielen technische Vorteile durch Bereitstellen einer Mehrzahl von Materialkombinationen für die Tunnel- Junction Deckschicht, Tunnel-Junction Hartmaske und weiteren Materialschichten einschließlich der Stapel-Saatschicht, welche verbesserte Ätzprozessselektivität bereitstellen. Die Deckschicht oder die Hartmaskenschicht weist WN auf, was vorteilhaft ist, da WN stabil in einer oxidierenden Umgebung ist und exzellente Ätzselektivität hinsichtlich des Prozesses des RIE Öffnens der Hartmaske aufweist.
  • 4 bis 6 zeigen Querschnittsansichten eines MRAM Bauelements 110 nach verschiedenen Herstellungsschritten gemäß einer Ausführungsform der Erfindung. 4 zeigt einen Halbleiterwafer mit einem Substrat 138. Das Substrat 138 kann beispielsweise ein Halbleitersubstrat aus Silizium oder weiteren Halbleitermaterialien aufweisen, welche mit einer Isolationsschicht bedeckt sind. Das Substrat 138 kann ebenso weitere nicht dargestellte und in Front-End-Of-Line (FEOL) ausgebildete aktive Komponenten oder Schaltungen enthalten. Das Substrat 138 kann beispielsweise Siliziumoxid auf einkristallinem Silizium aufweisen. Das Substrat 138 kann weitere leitfähige Schichten oder weitere Halbleiterelemente, z. B. Transistoren, Dioden usw. enthalten. Verbindungshalbleiter wie GaAs, InP oder SiC oder Si/Ge können beispielsweise ebenso als Ersatz für Silizium eingesetzt werden.
  • Eine nicht dargestellte optionale dünne Deckschicht kann auf dem Substrat 138 ausgebildet werden. Die Deckschicht weist vorzugsweise eine dünne Schicht von schützendem Material auf, welches geeignet ist, Diffusion des für die ersten Leiterbahnen 112 eingesetzten Metalls in das Substrat 138 zu verhindern. Wird beispielsweise Kupfer als Material für die erste Leiterbahn 112 verwendet, so tendiert Kupfer zur Diffusion in darunter liegende oder darüber liegende Dielektrika sofern keine Deckschicht verwendet wird. Da Kupfer leicht oxidiert wird bei Verwenden von Kupfer für die ersten Leiterbahnen 112 die Deckschicht vorzugsweise aus einem von einem Oxid verschiedenen Material ausgebildet um Oxidation der ersten Leiterbahnen 112 zu verhindern. Die Deckschicht kann ein Nitrid wie beispielsweise Si3N4 sein.
  • Eine erste Isolationsschicht 124 (nicht in 4 sichtbar/verdeckt dargestellt) wird auf der Deckschicht abgeschieden. Die erste Isolationsschicht 124 weist vorzugsweise ein Zwischenschichtdielektrikum (ILD) auf, z. B. das erste Zwischenschichtdielektrikum des Wafers. Die erste Isolationsschicht 124 weist bevorzugt Siliziumdioxid (SiO2) auf und kann alternativ hierzu weitere dielektrische Materialien wie beispielsweise Materalien mit niedriger Dielektrizitätskonstante aufweisen. Bei einer bevorzugten Ausführungsform der Erfindung weist das ILD 124 ein organisches dielektrisches Material wie SILK, eine registrierte Marke von Dow Chemical Company um ein Beispiel zu nennen, auf, welches im weiteren Verlauf beschrieben wird.
  • Die erste Isolationsschicht 124 wird strukturiert, geätzt und mit einem leitfähigen Material zur Ausbildung der ersten Leiterbahnen 112 aufgefüllt, z. B. unter Verwendung eines Damasceneprozesses. Der Strukturierungs- und Füllprozess kann einen einzelnen Damascene- oder einen Dual-Damasceneprozess aufweisen, wobei Vias zum selben Zeitpunkt wie die ersten Leiterbahnen 112 gefüllt werden, was nicht beispielhaft dargestellt ist. Die erste Isolationsschicht 124 kann lithographisch strukturiert und mit reaktivem Ionenätzen (RIE) zum Ausbilden von Trenches im Bereich der auszubildenden ersten Leiterbahnen 112 geätzt werden. Die Trenches können beispielsweise 0.2 μm breit und 0.4 bis 0.6 μm tief sein.
  • Abhängig von dem verwendeten leitfähigen Material enthalten die Leiterbahnen 112 einen optionalen und nicht dargestellten Liner. Weisen beispielsweise die ersten Leiterbahnen 112 Kupfer auf, so wird vorzugsweise ein Liner verwendet, welcher einen auf der Waferoberfläche in den Trenches einschließlich der Trenchseitenwände abgeschiedenen Kupferliner aufweist. Leitfähiges Material wird sodann auf den Wafer 110 und innerhalb der Trenches abgeschieden. Die ersten Leiterbahnen 112 können Leiterbahnen mit minimalem Abstand sein (z. B. mit der geringsten Strukturgröße) oder ebenso größere Abstände aufweisen. Der Wafer 110 ist chemisch mechanisch poliert (mit CMP) zum Entfernen von überschüssigem leitfähigen Material 112 oberhalb der Oberseite der ersten Isolationsschicht 124.
  • Die ersten Leiterbahnen 112 weisen beispielsweise ein leitfähiges Material auf, welches vorzugsweise ein Metall wie Kupfer und alternativ hierzu weitere leitfähige Materialien wie Al, TiN, Ti, W, Kombinationen hieraus oder weitere leitfähige Materialien, welche durch physikalische Gasphasenabscheidungen (PVD) oder chemische Gasphasenabscheidung (CVD) abgeschieden werden, auf. Leiterbahnen 112 in einem MRAM weisen vorzugsweise Kupfer auf, welches wünschenswert ist auf Grund seiner vorteilhaften Leitfähigkeit und der Möglichkeit, kleinere Leiterbahnen wegen der verbesserten Leitfähigkeit des Kupfers zu verwenden. Ein Damasceneprozess wird vorzugsweise zur Ausbildung der Leiterbahnen 112 mit Kupfer verwendet, da Kupfer schwierig zu ätzen ist. Erste Leiterbahnen 112 können beispielsweise Teil einer M1 oder M2 Metallisierungsschicht sein.
  • Eine optionale Oxidschicht 126 ist auf den ersten Leiterbahnen 112 und dem ILD 124 abgeschieden. Die Oxidschicht 126 weist vorzugsweise SiO2 auf und kann alternativ hierzu beispielsweise weitere Oxide aufweisen. Eine Oxidschicht 126 wird typischerweise in einer FET ähnlichen MRAM Ar chitektur verwendet, wobei das Oxid 126 strukturiert ist und Leiter darin ausgebildet sind zur Kopplung an einen darunter liegenden FET innerhalb des Substrats 138, das zum Lesen von Information aus den resistiven Speicherelementen 114 verwendet wird.
  • Eine Saatschicht 136 ist auf der Oxidschicht 126 abgeschieden oder ausgebildet. In einer Ausführungsform der Erfindung weist die Saatschicht 136 vorzugsweise WN auf, obwohl alternativ hierzu die Saatschicht 136 beispielsweise TaN aufweisen kann. Weist die Saatschicht 136 für die Abscheidung des Stapels 114 WN auf, so stoppt die Ätzung des Stapels 114 auf der Saatschicht 136, falls eine Chlor basierte Chemie zur Ätzung des Stapels 114 verwendet wird. Nach dem Stopp auf der Saatschicht 136 kann das WN mit einer Fluor basierten Chemie geätzt werden.
  • Danach wird ein magnetischer Stapel 114 auf den ersten Leiterbahnen 112 und ersten Isolationsschichten 124 ausgebildet. Der magnetische Stapel 114 weist vorzugsweise eine erste magnetische Schicht 120 mit einer Mehrzahl von Schichten von Materialien wie beispielsweise PtMn, CoFe, Ru, Ni, Fe, Ni, Co und/oder Kombinationen hieraus unter Verwendung von verschiedenen Verhältnissen dieser chemischen Elemente auf.
  • Der magnetische Stapel 114 enthält eine dielektrische Schicht oder Tunnelbarriere 118 beispielsweise aus Aluminiumoxid (Al2O3), welche auf der ersten magnetischen Schicht 120 abgeschieden ist. Der magnetische Stapel 114 enthält ebenso eine zweite magnetische Schicht 116, die auf der dielektrischen Schicht 118 abgeschieden ist, wobei die zweite magnetische Schicht 116 eine ähnliche Multischichtstruktur unter Verwendung ähnlicher Materialien wie die erste magnetische Schicht 120 aufweist.
  • Eine Deckschicht 140 ist auf der zweiten magnetischen Schicht 116 wie in 4 dargestellt abgeschieden. Ein Hartmaskenmaterial 142 ist auf der Deckschicht 140 abgeschieden. Das Hartmaskenmaterial 142 und die Deckschicht 140 weisen vorzugsweise verschiedene Materialien auf. Bei einer Ausführungsform weist die Deckschicht 140 WN und das Hartmaskenmaterial 142 weist TiN oder TaN auf. Bei einer weiteren Ausführungsform weist das Hartmaskenmaterial 142 WN und die Deckschicht 140 weist TiN oder TaN auf. Das Hartmaskenmaterial 142 weist beispielsweise vorteilhaft ungefähr 200 bis 2000 Å eines leitfähigen Materials und die Deckschicht 140 weist vorzugsweise ungefähr 75 bis 250 Å eines Materials auf.
  • Eine Entspiegelungsbeschichtung (ARC) 144 ist vorzugsweise auf dem Hartmaskenmaterial 142 abgeschieden. Die Entspiegelungsbeschichtung kann beispielsweise ein Energie absorbierendes organisches Polymer oder kohlenstoffhaltiges Material sein. Ein Lack 146 ist auf der Entspiegelungs beschichtung 146 abgeschieden. Der Lack 146 ist strukturiert und Teile des Lacks 146 sind zur Freilegung von Bereichen des Hartmaskenmaterials 142 entfernt.
  • Das Hartmaskenmaterial 142 wird sodann strukturiert unter Verwendung des Lacks 146 als Maske und der Lack 146 und Teile der Entspiegelungsbeschichtung 144 und die Hartmaske 142 werden wie in 5 dargestellt entfernt. Die Hartmaske 142 wird sodann zum Strukturieren des zweiten magnetischen Stapels 116 und der Tunnelbarriere 118 des Stapels 114 wie in 6 dargestellt verwendet. Ein Ätzprozess wie beispielsweise reaktives Ionenätzen (RIE) oder Ionenabtragen kann zum Umwandeln der Struktur der zweiten magnetischen Schicht 116 und Tunnelbarriere 118 mit einem Stopp unterhalb der Tunnelbarriere 118 verwendet werden. Die Magnetic-Tunnel-Junctions (MTJ's) 114 können beispielsweise rechteckig oder oval geformt sein oder alternativ hierzu weitere Formen aufweisen.
  • Die erste magnetische Schicht 120 oder Referenzschicht weist ein verschiedenes Muster als die zweite magnetische Schicht 116 und Tunnelbarriere 118 auf und deshalb wird die erste magnetische Schicht 120 entweder vor oder nach der zweiten magnetischen Schicht 116 und der Tunnelbarriere 118 des Stapels 114 strukturiert.
  • Bei FET ähnlichen MRAM Architekturen ist es nicht kritisch wo die Ätzung des Stapels 114 stoppt, da die Oxidschicht 126 zwischen dem Stapel 114 und den Leiterbahnen 112 als Puffer für die Ätzung des Stapels 114 wirkt. Jedoch liegt in einer Kreuzungspunkt-Architektur keine Oxidschicht 126 zwischen dem Stapel 114 und der Leiterbahn 112, so dass es von Bedeutung ist, wo die Ätzung des Stapels 114 stoppt.
  • In einer derartigen Kreuzungspunkt-Architektur liegen die ersten Leiterbahnen unmittelbar unterhalb der Saatschicht 136, vergleiche hierzu Bezugszeichen 326 in 8. Wird die Saatschicht 136/336 mit einer Chlor basierten Chemie geätzt oder tritt die Stapelätzung 114/314 durch die verhältnismäßig dünne Saatschicht 136/336 hindurch, so werden die ersten Leiterbahnen 112/312, welche vorzugsweise Cu aufweisen, dem Chlor ausgesetzt, was zu einem hohen Risiko von Korrosion des Kupfers führt. Deshalb ist es von Vorteil eine Chlor basierte Chemie für die Saatschicht 136/336 und als Ätzstopp für die Ätzung des Stapels 114/314 auf der Saatschicht zu verwenden.
  • Die Prozessierung des MRAM Bauelements 110 wird wie in 6 dargestellt fortgesetzt. Eine Tunnel-Junction-Isolationsschicht 132 kann auf die MTJ's 114 und Hartmaske 142 wie dargestellt abgeschieden werden. Die Tunnel-Junction-Isolationsschicht 132 weist beispielsweise vorzugsweise ein Nitrid wie Si3N4 oder alternativ hierzu ein Oxid auf. Die Tunnel-Junction-Isolationsschicht 132 wird beispielsweise unter Verwendung von einem CMP Prozess planarisiert und eine zweite Isolationsschicht 134 wird auf dem Wafer 110 abgeschieden. Die dritte Isolationsschicht 134 kann beispielsweise ein Zwischenschichtdielektrikum wie beispielsweise SiO2 oder weitere Materalien mit niedriger Dielektrizitätskonstante wie SILKTM aufweisen.
  • Ein Damasceneprozess kann zur Ausbildung der zweiten Leiterbahnen 122 innerhalb der zweiten Isolationsschicht 150 wie in 6 dargestellt verwendet werden. Zweite Leiterbahnen 122 können Teil einer Metallisierungsebene sein und können beispielsweise dasselbe Material oder ein verschiedenes Material als die ersten Leiterbahnen 112 aufweisen.
  • 7, bei welcher entsprechende Nummerierungen korrespondierende Elemente wie in 4 bis 6 kennzeichnen, zeigt eine Querschnittsansicht einer Ausführungsform der Erfindung, bei welcher die Hartmaske 242 (nicht dargestellt) vor Ausbilden der zweiten Leiterbahnen 222 entfernt wird. 8 zeigt eine Ausführungsform der Erfindung, welche einen MRAM mit einer Kreuzungspunkt-Architektur betrifft, bei dem keine Oxidschicht 126/226 auf den ersten Leiterbahnen 312 abgeschieden ist, jedoch eine Saatschicht 336 die ersten Leiterbahnen 312 unmittelbar kontaktiert.
  • Tabelle 1 zeigt bevorzugte Materialkombinationen für die Hartmaske 142/242/342, TJ Isolationsschicht 132/232/332, Deckschicht 140/240/340 und erste und zweite ILD 124/224/324. Vorteilhaft kann eine Saatschicht 136/236/336, welche Kupfer aufweist, beispielsweise in Kombination mit jeder der in Beispielen 1, 2 und 3 gezeigten Ausführungsformen gemäß einer Ausführungsform der Erfindung kombiniert werden.
  • Figure 00110001
    Tabelle 1
  • Während jede der Materialkombinationen der in Tabelle 1 gezeigten Beispiele 1, 2 und 3 vorteilhaft sind, existieren verschiedene Merkmale für jedes Beispiel. In Beispiel 1 ist eine Deckschicht 140/240/340, welche WN aufweist, dahingehend vorteilhaft, dass eine gute Ätzselektivität zu TiN bereitgestellt wird und die Leitfähigkeit gewünschte Werte einnimmt, z. B. 0.5 •μm für WN bezogen auf eine Leitfähigkeit von 1.8 •μm für TaN. Beispiel 2 ist umgekehrt zu Beispiel 1, mit einer geringeren Selektivität hinsichtlich der Zwischenschicht-Dielektrikumsschicht 124/224/324 im Vergleich zu Beispiel 1. In Beispiel 3 zeigt das WN aufweisende Hartmaskenmaterial eine gute Selektivität zum Zwischenschichtdielektrikum 124/224/324, das SiO2 weist hiermit verbundene bekannte chemisch mechanische Polier (CMP) Prozesse auf, und die Verwendung von TiN als Deckschicht 140/240/340 stellt eine gute Selektivität zum Hartmaskenmaterial 142/242/342 bereit. Des Weiteren ist die Verwendung von organischen dielektrischen Materialien die SiLKTM bekannt, so dass keine besonderen Prozesse oder Ausrüstungen erforderlich sind.
  • Bei einer Ausführungsform der Erfindung wird WN als TJ Stapel-Deckschicht 140/240/340 verwendet. WN ist in einer oxidierenden Umgebung (z. B. Luft, Sauerstoff oder Halogen enthaltende Plasmen) hinsichtlich seines Schichtwiderstandes stabil. WN zeigt einen geringeren spezifischen Widerstand von 0.5 •μm als TaN und eine gute Ätzselektivität beim RIE Öffnen des Hartmaskenmaterials 142/242/343, da W oder dessen Legierungen kaum in Chlor basierten Plasmen geätzt werden (wobei TiN oder TaN unter Verwendung von Chlorchemie geätzt werden). Andererseits kann W oder dessen Legierungen leicht in Fluor basierten Plasmen zu dessen Strukturierung geätzt werden. Da W Legierungen leicht in Fluor basierten Plasmen geätzt werden, resultiert hieraus die Möglichkeit, sogar nach Vervollständigung der RIE Metallisierungsschicht M3 nach Freilegen des Hartmaskenmaterials das WN zur Verringerung des Kontaktwiderstands zu dünnen ohne das Risiko die TJ Deckschicht im Falle eines Hindurchtretens durch das Hartmaskenmaterial 142/242/343 zu zerstören.
  • Eine RIE Öffnung des Hartmaskenmaterials 142/242/342 kann auf WN stoppen, wodurch eine bessere Kontrolle über die Tunnel-Junction (TJ) Ätzung gegeben ist, vergleiche beispielsweise Beispiel 1 in Tabelle 1. Im Falle der Offset-Zelle wird die CJ Ätzung innerhalb des TJ Stapels zur Aufrechterhaltung des Transistorkontakt-Metallisierungsstreifens, z. B. derjenige der die magnetische Schicht 120 in 6 (nicht dargestellt) kontaktiert, gestoppt.
  • Die verbesserte Steuerung des Startpunkts der TJ Ätzung gemäß Ausführungsformen der Erfindung führt zum Aufrechterhalten der restlichen Dicke der magnetischen Schicht 120 innerhalb der Spezifikation, welche den Kontakt zum FET herstellt. Im Falle einer Überätzzeit einer TJ einer Kreu zungspunkt-Architektur Zelle, lässt sich die Ätzung minimieren um nicht in die Metallisierungsschicht 112/212/312 M2 aus Kupfer zu ätzen, was zu einer rauen Cu Oberfläche aufgrund einer entlang Körnern gerichteten Ätzung führen würde.
  • Die Verwendung einer WN TJ Stapel-Deckschicht 140/240/340 kann die übergeordneten Prozessbreiten verbessern, insbesondere hinsichtlich einer FET Zelle, bei der nach der TJ Ätzung die restliche Mx Dicke kritisch ist. Bei dieser Ausführungsform weist beispielsweise vorzugsweise das Hartmaskenmaterial 142/242/342 ein leitfähiges Nitrid wie TaN oder TiN auf.
  • Bei einer weiteren Ausführungsform, z. B. Beispiele 2 und 3 in Tabelle 1, kann WN als TJ Hartmaskenmaterial 142/242/342 verwendet werden; jedoch weist in diesem Fall die TJ Stapel-Deckschicht beispielsweise vorzugsweise ein leitfähiges Nitrid wie TaN oder TiN auf. Da die RIE Öffnung des Hartmaskenmaterials 142/242/342 auf Grund des WN Hartmaskenmaterials 142/242/342 ein Fluor basierter Prozess ist, stoppt dieser auf TaN oder TiN, da TiN sogar weniger in Fluorchemie geätzt wird. Eine Herausforderung mit dieser Kombination stellt die niedrigere Ätzselektivität des WN zu der herkömmlichen SiO2 ILD Trenchätzung für die nächste Metallebene dar. Um dies zu umgehen, kann ein organisches ILD wie SILKTM oder Polybenzoxazol anstelle Sio2 für das ILD Material 134/234/334 verwendet werden.
  • Hierin ebenso offenbart ist ein Verfahren zum Herstellen eines resistiven Halbleiterspeicherbauelements mit Bereitstellen eines Substrats, Ausbilden eines ersten Zwischenschichtdielektrikums auf dem Substrat und Anordnen einer Mehrzahl von ersten Leiterbahnen innerhalb des ersten Zwischenschichtdielektrikums. Das Verfahren enthält das Ausbilden einer Saatschicht auf den ersten Leiterbahnen, Ausbilden einer ersten magnetischen Schicht auf der Saatschicht und Ausbilden einer Tunnelbarrierenschicht auf der ersten magnetischen Schicht. Eine zweite magnetische Schicht wird auf der Tunnelbarriere abgeschieden, eine Deckschicht wird auf der zweiten magnetischen Schicht abgeschieden und ein Hartmaskenmaterial wird auf der Deckschicht abgeschieden. Das Verfahren enthält das Abscheiden eines Lacks auf der Hartmaske, Strukturieren des Lacks und Entfernen von Teilen des Lacks zum Freilegen von Bereichen des Hartmaskenmaterials. Der Lack wird zur Strukturierung des Hartmaskenmaterials und zur Ausbildung einer Hartmaske verwendet. Die strukturierte Hartmaske wird zum Strukturieren der Deckschicht, der zweiten magnetischen Schicht und Tunnelbarrierenschicht zur Ausbildung einer Mehrzahl von Tunnel-Junctions verwendet. Die Deckschicht oder Hartmaskenschicht und/oder Saatschicht weist WN auf.
  • In vorteilhafter Weise weist die Hartmaske 142 ein geeignetes Material auf, das nach dem Vervollständigen des MRAM Bauelements übrig bleiben kann, vergleiche hierzu 6 und 8. Alternativ hierzu kann die Hartmaske 142 von den MTJ's 214 entfernt werden bevor das MRAM Bauelement vervollständigt wird, wie in einer alternativen Ausführungsform in 7 dargestellt ist.
  • Vorteile von Ausführungsformen der Erfindung stellen das Bereitstellen eines wohldefinierten Ätzstopps bei der Öffnung der Hartmaske auf einer Deckschicht dar, wodurch der Tunnel-Junction Ätzprozess optimiert wird und Probleme mit Rück-Abscheidung aufgrund von Überätzung oder Unterätzung im Falle von nasschemischer Ätzung gelöst werden. Ausführungsformen der Erfindung sind insbesondere hilfreich in einer FET Zelle und in einer Chlor basierten RIE, da kein natürlicher Ätzstopp für die Metallisierungsschicht vorhanden ist oder für nasschemische Ätzung, welche auf der Tunnelbarrierenschicht stoppt.
  • Ausführungsformen der Erfindung werden mit Bezug zu besonderer Anwendung für FET ähnliche und Kreuzungspunkt-MRAM Bauelemente, welche hierin dargestellt sind, beschrieben. Jedoch haben die Ausführungsformen der Erfindung ebenso Bedeutung für Anwendungen in weiteren MRAM Bauelementen-Designs und weiteren resistiven Halbleiterbauelementen.
  • Obwohl die Erfindung mit Bezug zu anschaulichen Ausführungsformen beschrieben wurde ist es nicht beabsichtigt, dass die Beschreibung deshalb eingeschränkt betrachtet wird. Verschiedene Variationen durch Kombination der dargestellten Ausführungsformen als auch weitere Ausführungsformen der Erfindung sind einem Fachmann mit Bezug auf die Beschreibung ersichtlich. Zusätzlich kann die Abfolge von Verfahrensschritten von einem Fachmann umgeordnet werden, was zusätzlich innerhalb des Schutzbereichs der Erfindung fällt. Es ist deshalb beabsichtigt, dass die nachfolgenden Ansprüche jegliche derartiger Modifikationen oder Ausführungsformen abdecken. Zudem ist es nicht beabsichtigt, dass der Schutzbereich der Erfindung auf die besonderen Ausführungsformen hinsichtlich Prozess, Maschine, Herstellung, Materialzusammensetzung, Vorrichtung, Verfahren und Schritte, welche in der Spezifikation beschrieben sind, beschränkt wird. Demnach ist es beabsichtigt, dass die nachfolgenden Ansprüche innerhalb ihres Schutzbereiches derartige Prozesse, Maschinen, Herstellung, Materialzusammensetzungen, Vorrichtungen, Verfahren oder Schritte enthalten.

Claims (26)

  1. Verfahren zum Herstellen eines resistiven Halbleiterbauelements mit: Bereitstellen eines Substrats (138); Ausbilden eines ersten Zwischenschichtdielektrikums (124) auf dem Substrat (138); Anordnen einer Mehrzahl von ersten Leiterbahnen (112) innerhalb des ersten Zwischenschichtdielektrikums (124); Abscheiden eines Oxids (126) auf den ersten Leiterbahnen (112); Ausbilden einer Saatschicht (136) auf dem Oxid (126); Ausbilden einer ersten magnetischen Schicht (120) auf der Saatschicht (136); Ausbilden einer Tunnelbarrierenschicht (118) auf der ersten magnetischen Schicht (120); Abscheiden einer zweiten magnetischen Schicht (116) auf der Tunnelbarrierenschicht (118); Ausbilden einer Deckschicht (140) auf der zweiten magnetischen Schicht (116); Ausbilden eines Hartmaskenmaterials (142) auf der Deckschicht (140); Strukturieren des Hartmaskenmaterials (142) zum Ausbilden einer Hartmaske; und Verwenden der strukturierten Hartmaske zum Strukturieren der Deckschicht (140), der zweiten magnetischen Schicht (116) und der Tunnelbarrierenschicht (118) zum Ausbilden einer Mehrzahl von Tunnel-Junctions, wobei wenigstens einer der Schritte Abscheiden einer Deckschicht (140), Abscheiden eines Hartmaskenmaterials (142) oder Abscheiden einer Saatschicht (136) ein Abscheiden von WN aufweist.
  2. Verfahren nach Anspruch 1, wobei Abscheiden der Deckschicht (140) Abscheiden von WN einschließt und Abscheiden des Hartmaskenmaterials (142) Abscheiden eines leitfähigen Nitrids einschließt.
  3. Verfahren nach Anspruch 1, wobei Abscheiden des Hartmaskenmaterials (142) Abscheiden von WN einschließt und Abscheiden der Deckschicht Abscheiden eines leitfähigen Nitrids einschließt.
  4. Verfahren nach Anspruch 1 mit zusätzlichen Schritten: Strukturieren der ersten magnetischen Schicht (120); und Abscheiden eines Tunnel-Junction-Isolationsmaterials (132) zwischen der Mehrzahl von Tunnel-Junctions.
  5. Verfahren nach Anspruch 4, wobei Abscheiden des Tunnel-Junction-Isolationsmaterials (132) Abscheiden von Si3N4 oder SiO2 einschließt.
  6. Verfahren nach Anspruch 4 mit zusätzlichem Abscheiden eines zweiten Zwischenschichtdielektrikums (134) auf dem Tunnel-Junction Isolationsmaterial (132).
  7. Verfahren nach Anspruch 6, wobei Abscheiden des zweiten Zwischenschichtdielektrikums (134) Abscheiden von SiO2 oder eines organischen dielektrischen Materials einschließt.
  8. Verfahren nach Anspruch 1 mit zusätzlichem Entfernen des Hartmaskenmaterials (142) nach Strukturieren der Deckschicht (140).
  9. Verfahren nach Anspruch 1, wobei Abscheiden des Hartmaskenmaterials (142) Abscheiden von ungefähr 200 bis 2000 Å eines leitfähigen Materials einschließt und Abscheiden der Deckschicht (140) Abscheiden von ungefähr 75 bis 250 Å eines Materials einschließt.
  10. Verfahren nach Anspruch 1, wobei das resistive Halbleiterbauelement ein magnetisches Speicherbauelement von wahlfreiem Zugriff (MRAM) aufweist.
  11. Verfahren nach Anspruch 1, wobei das Oxid (126) mit einem Feldeffekttransistor gekoppelt ist.
  12. Verfahren nach Anspruch 1, wobei Strukturieren des Hartmaskenmaterials (142) zum Ausbilden einer Hartmaske die Schritte aufweist: Abscheiden eines Lacks (146) auf der Hartmaske; Strukturieren des Lacks (146); Entfernen von Teilen des Lacks (146) zum Freilegen von Gebieten des Hartmaskenmaterials (142); und Verwenden des Lacks (146) zum Strukturieren des Hartmaskenmaterials (142) und zum Ausbilden der Hartmaske.
  13. Resistives Halbleiterspeicherbauelement, hergestellt gemäß dem Verfahren nach Anspruch 1.
  14. Resistives Halbleiterspeicherbauelement mit: einer Mehrzahl von ersten Leiterbahnen (112); einer auf wenigstens einem Teil der ersten Leiterbahnen (112) angeordneten Saatschicht (136); einer auf der Saatschicht (136) angeordneten ersten magnetischen Schicht (120); einer auf der ersten magnetischen Schicht (120) angeordneten Tunnelbarrierenschicht (118); einer auf der Tunnelbarrierenschicht (118) angeordneten zweiten magnetischen Schicht (116); einer auf der zweiten magnetischen Schicht (116) angeordneten Deckschicht (140), wobei wenigstens eine Schicht aus Saatschicht (136) und Deckschicht (140) WN aufweist; gekennzeichnet durch ein auf den ersten Leiterbahnen (112) angeordnetes Oxid (126), wobei die Saatschicht (136) auf dem Oxid (126) angeordnet ist und das Oxid (126) mit einem Feldeffekttransistor gekoppelt ist.
  15. Resistives Halbleiterspeicherbauelement nach Anspruch 14, wobei die Deckschicht (140) ungefähr 75 bis 250 Å eines Materials aufweist.
  16. Resistives Halbleiterspeicherbauelement nach Anspruch 14 mit zusätzlich einem auf der Deckschicht (140) angeordneten Hartmaskenmaterial (142), wobei das Hartmaskenmaterial (142) und/oder die Deckschicht (140) WN aufweist.
  17. Resistives Halbleiterspeicherbauelement nach Anspruch 16, wobei das Hartmaskenmaterial (142) ungefähr 200 bis 2000 Å eines Materials aufweist.
  18. Resistives Halbleiterspeicherbauelement nach Anspruch 16, wobei das Hartmaskenmaterial (142) WN und die Deckschicht (140) ein leitfähiges Nitrid aufweisen.
  19. Resistives Halbleiterspeicherbauelement nach Anspruch 16, wobei das Hartmaskenmaterial (142) ein leitfähiges Nitrid und die Deckschicht (140) WN aufweisen.
  20. Resistives Halbleiterspeicherbauelement nach Anspruch 16, wobei die Saatschicht (126) WN aufweist.
  21. Resistives Halbleiterspeicherbauelement nach Anspruch 14, wobei das resistive Halbleiterspeicherbauelement ein magnetisches Speicherbauelement von wahlfreiem Zugriff (MRAM) aufweist, wobei die Saatschicht (126), die erste magnetische Schicht (120), die Tunnelbarrierenschicht (118) und die zweite magnetische Schicht (116) zur Ausbildung von Magnet-Tunnel-Junctions (MTJ's) strukturiert sind.
  22. Resistives Halbleiterspeicherbauelement nach Anspruch 2.1 mit zusätzlich einer Mehrzahl von auf den MTJ's angeordneten zweiten Leiterbahnen.
  23. Resistives Halbleiterspeicherbauelement nach Anspruch 22 mit zusätzlich: einem unterhalb der ersten Leiterbahn (112) angeordneten Substrat (138); einem auf dem Substrat (138) angeordneten ersten Zwischenschichtdielektrikum (124), wobei die ersten Leiterbahnen (112) innerhalb des ersten Zwischenschichtdielektrikums (124) ausgebildet sind; einem auf dem ersten Zwischenschichtdielektrikum (124) zwischen der Mehrzahl von MTJ's angeordneten Tunnel-Junction-Isolationsmaterial (132); und einem auf dem Tunnel-Junction-Isolationsmaterial (132) angeordneten zweiten Zwischenschichtdielektrikum (134), wobei die zweiten Leiterbahnen innerhalb des zweiten Zwischenschichtdielektrikums (134) ausgebildet sind.
  24. Resistives Halbleiterspeicherbauelement nach Anspruch 23, wobei Abscheiden des Tunnel-Junction-Isolationsmaterials (132) Abscheiden von Si3N4 oder SiO2 einschließt und Abscheiden des zweiten Zwischenschichtdielektrikums (134) Abscheiden von SiO2 oder eines organischen dielektrischen Materials einschließt.
  25. Resistives Halbleiterspeicherbauelement nach Anspruch 14, wobei die Deckschicht (140) WN aufweist.
  26. Resistives Halbleiterspeicherbauelement gemäß Anspruch 14, wobei die Saatschicht (136) WN aufweist.
DE60301344T 2002-04-18 2003-04-17 Materialkombination für tunnelübergangsdeckschicht, hartmaske und stackkeimschicht in der mram-herstellung Expired - Fee Related DE60301344T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/124,950 US6815248B2 (en) 2002-04-18 2002-04-18 Material combinations for tunnel junction cap layer, tunnel junction hard mask and tunnel junction stack seed layer in MRAM processing
US124950 2002-04-18
PCT/EP2003/004095 WO2003088253A1 (en) 2002-04-18 2003-04-17 Material combinations for tunnel junction cap layer, tunnel junction hard mask and tunnel junction stack seed layer in mram processing

Publications (2)

Publication Number Publication Date
DE60301344D1 DE60301344D1 (de) 2005-09-22
DE60301344T2 true DE60301344T2 (de) 2006-06-08

Family

ID=29214684

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60301344T Expired - Fee Related DE60301344T2 (de) 2002-04-18 2003-04-17 Materialkombination für tunnelübergangsdeckschicht, hartmaske und stackkeimschicht in der mram-herstellung

Country Status (8)

Country Link
US (1) US6815248B2 (de)
EP (1) EP1495470B1 (de)
JP (1) JP2005523575A (de)
KR (1) KR100727710B1 (de)
CN (1) CN100444280C (de)
DE (1) DE60301344T2 (de)
TW (1) TWI243378B (de)
WO (1) WO2003088253A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004043855B4 (de) * 2003-09-10 2011-04-21 International Business Machines Corp. Verfahren zur Herstellung einer Magnet-Tunnel-Junction-Vorrichtung

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6870758B2 (en) * 2002-10-30 2005-03-22 Hewlett-Packard Development Company, L.P. Magnetic memory device and methods for making same
US7183120B2 (en) * 2002-10-31 2007-02-27 Honeywell International Inc. Etch-stop material for improved manufacture of magnetic devices
EP1639653B1 (de) * 2003-06-24 2008-08-20 International Business Machines Corporation Selbstausgerichtete leitfähige linien für magnetische direktzugriffsspeicherbausteine auf fet-basis und herstellungsverfahren dafür
KR100512180B1 (ko) * 2003-07-10 2005-09-02 삼성전자주식회사 자기 랜덤 엑세스 메모리 소자의 자기 터널 접합 및 그의형성방법
US7112861B2 (en) * 2004-05-14 2006-09-26 International Business Machines Corporation Magnetic tunnel junction cap structure and method for forming the same
US7045368B2 (en) * 2004-05-19 2006-05-16 Headway Technologies, Inc. MRAM cell structure and method of fabrication
US7368299B2 (en) * 2004-07-14 2008-05-06 Infineon Technologies Ag MTJ patterning using free layer wet etching and lift off techniques
US7067330B2 (en) * 2004-07-16 2006-06-27 Headway Technologies, Inc. Magnetic random access memory array with thin conduction electrical read and write lines
KR100975803B1 (ko) 2004-07-16 2010-08-16 헤드웨이 테크놀로지스 인코포레이티드 Mtj mram 셀, mtj mram 셀들의 어레이, 및 mtj mram 셀을 형성하는 방법
US20060022286A1 (en) * 2004-07-30 2006-02-02 Rainer Leuschner Ferromagnetic liner for conductive lines of magnetic memory cells
US7169623B2 (en) 2004-09-09 2007-01-30 Tegal Corporation System and method for processing a wafer including stop-on-aluminum processing
US7645618B2 (en) * 2004-09-09 2010-01-12 Tegal Corporation Dry etch stop process for eliminating electrical shorting in MRAM device structures
KR100695135B1 (ko) * 2004-12-17 2007-03-14 삼성전자주식회사 TiN을 상지층으로 사용한 자기 저항 소자
US7251110B2 (en) * 2005-01-18 2007-07-31 Hitachi Global Storage Technologies Netherlands B.V. GMR sensor having layers treated with nitrogen for increased magnetoresistance
US7172908B2 (en) * 2005-02-15 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetic memory cells and manufacturing methods
JP4373938B2 (ja) * 2005-02-17 2009-11-25 株式会社東芝 磁気ランダムアクセスメモリ
US7334317B2 (en) * 2005-06-06 2008-02-26 Infineon Technologies Ag Method of forming magnetoresistive junctions in manufacturing MRAM cells
KR100644869B1 (ko) * 2005-06-24 2006-11-14 광주과학기술원 결정성 산화막의 저항변화를 이용한 비휘발성 기억소자
US20070054450A1 (en) 2005-09-07 2007-03-08 Magic Technologies, Inc. Structure and fabrication of an MRAM cell
US7623325B2 (en) * 2005-09-29 2009-11-24 Hitachi Global Storage Technologies Netherlands B.V. Method for providing an endpoint layer for ion milling of top of read sensor having top lead connection and sensor formed thereby
US7345911B2 (en) * 2006-02-14 2008-03-18 Magic Technologies, Inc. Multi-state thermally assisted storage
EP2001864A1 (de) * 2006-03-16 2008-12-17 Novartis Pharma AG Heterocyclische organische verbindungen, vor allem zur behandlung von melanomen
US8450119B2 (en) * 2006-03-17 2013-05-28 Magic Technologies, Inc. Magnetic tunnel junction patterning using Ta/TaN as hard mask
US7423282B2 (en) * 2006-07-06 2008-09-09 Infineon Technologies Ag Memory structure and method of manufacture
DE102006031339A1 (de) * 2006-07-06 2008-01-10 Qimonda Ag Speicherstruktur und Verfahren zu deren Herstellung
JP5076429B2 (ja) * 2006-10-02 2012-11-21 富士通セミコンダクター株式会社 半導体装置の製造方法
KR100809724B1 (ko) * 2007-03-02 2008-03-06 삼성전자주식회사 터널링층을 구비한 바이폴라 스위칭 타입의 비휘발성메모리소자
WO2008155832A1 (ja) * 2007-06-20 2008-12-24 Fujitsu Microelectronics Limited 半導体装置及びその製造方法
US9136463B2 (en) * 2007-11-20 2015-09-15 Qualcomm Incorporated Method of forming a magnetic tunnel junction structure
US9368716B2 (en) * 2009-02-02 2016-06-14 Qualcomm Incorporated Magnetic tunnel junction (MTJ) storage element and spin transfer torque magnetoresistive random access memory (STT-MRAM) cells having an MTJ
US7863060B2 (en) * 2009-03-23 2011-01-04 Magic Technologies, Inc. Method of double patterning and etching magnetic tunnel junction structures for spin-transfer torque MRAM devices
JP4746683B2 (ja) * 2009-03-24 2011-08-10 株式会社東芝 半導体装置の製造方法
JP2010045398A (ja) * 2009-11-17 2010-02-25 Canon Anelva Corp 磁気抵抗効果素子の製造方法
US8962493B2 (en) * 2010-12-13 2015-02-24 Crocus Technology Inc. Magnetic random access memory cells having improved size and shape characteristics
US8895323B2 (en) * 2011-12-19 2014-11-25 Lam Research Corporation Method of forming a magnetoresistive random-access memory device
US8748197B2 (en) * 2012-03-14 2014-06-10 Headway Technologies, Inc. Reverse partial etching scheme for magnetic device applications
US9007818B2 (en) 2012-03-22 2015-04-14 Micron Technology, Inc. Memory cells, semiconductor device structures, systems including such cells, and methods of fabrication
US9054030B2 (en) 2012-06-19 2015-06-09 Micron Technology, Inc. Memory cells, semiconductor device structures, memory systems, and methods of fabrication
US8923038B2 (en) * 2012-06-19 2014-12-30 Micron Technology, Inc. Memory cells, semiconductor device structures, memory systems, and methods of fabrication
JP5641026B2 (ja) * 2012-08-10 2014-12-17 ソニー株式会社 メモリ
US8901687B2 (en) 2012-11-27 2014-12-02 Industrial Technology Research Institute Magnetic device with a substrate, a sensing block and a repair layer
WO2014164520A1 (en) * 2013-03-11 2014-10-09 Dafna Beery Magnetic random access memory cells with isolating liners
US9379315B2 (en) 2013-03-12 2016-06-28 Micron Technology, Inc. Memory cells, methods of fabrication, semiconductor device structures, and memory systems
KR101470913B1 (ko) * 2013-05-20 2014-12-09 한국과학기술원 공유층을 구비한 mtj소자 어레이 및 이의 제조방법
US9368714B2 (en) 2013-07-01 2016-06-14 Micron Technology, Inc. Memory cells, methods of operation and fabrication, semiconductor device structures, and memory systems
US9466787B2 (en) 2013-07-23 2016-10-11 Micron Technology, Inc. Memory cells, methods of fabrication, semiconductor device structures, memory systems, and electronic systems
JP6134611B2 (ja) * 2013-08-29 2017-05-24 株式会社アルバック 磁気抵抗素子の製造方法
US9461242B2 (en) 2013-09-13 2016-10-04 Micron Technology, Inc. Magnetic memory cells, methods of fabrication, semiconductor devices, memory systems, and electronic systems
US9608197B2 (en) 2013-09-18 2017-03-28 Micron Technology, Inc. Memory cells, methods of fabrication, and semiconductor devices
US10454024B2 (en) 2014-02-28 2019-10-22 Micron Technology, Inc. Memory cells, methods of fabrication, and memory devices
US9281466B2 (en) 2014-04-09 2016-03-08 Micron Technology, Inc. Memory cells, semiconductor structures, semiconductor devices, and methods of fabrication
US9269888B2 (en) 2014-04-18 2016-02-23 Micron Technology, Inc. Memory cells, methods of fabrication, and semiconductor devices
US9349945B2 (en) 2014-10-16 2016-05-24 Micron Technology, Inc. Memory cells, semiconductor devices, and methods of fabrication
US9768377B2 (en) 2014-12-02 2017-09-19 Micron Technology, Inc. Magnetic cell structures, and methods of fabrication
US10439131B2 (en) 2015-01-15 2019-10-08 Micron Technology, Inc. Methods of forming semiconductor devices including tunnel barrier materials
KR102465539B1 (ko) 2015-09-18 2022-11-11 삼성전자주식회사 자기 터널 접합 구조체를 포함하는 반도체 소자 및 그의 형성 방법
US11569440B2 (en) * 2017-01-17 2023-01-31 Yimin Guo Making a memoristic array with an implanted hard mask
US10374013B2 (en) * 2017-03-30 2019-08-06 Sandisk Technologies Llc Methods and apparatus for three-dimensional nonvolatile memory
US9972777B1 (en) * 2017-04-05 2018-05-15 Headway Technologies, Inc. MTJ device process/integration method with pre-patterned seed layer
US10700263B2 (en) 2018-02-01 2020-06-30 International Business Machines Corporation Annealed seed layer for magnetic random access memory
US10797225B2 (en) * 2018-09-18 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual magnetic tunnel junction (DMTJ) stack design
US11424292B2 (en) * 2020-06-22 2022-08-23 Western Digital Technologies, Inc. Memory array containing capped aluminum access lines and method of making the same

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5650958A (en) * 1996-03-18 1997-07-22 International Business Machines Corporation Magnetic tunnel junctions with controlled magnetic response
CN1115723C (zh) * 1996-11-15 2003-07-23 三星电子株式会社 氮化钨层制造方法及使用同样原理的金属连线制造方法
US5801984A (en) * 1996-11-27 1998-09-01 International Business Machines Corporation Magnetic tunnel junction device with ferromagnetic multilayer having fixed magnetic moment
JP4226679B2 (ja) * 1998-03-23 2009-02-18 株式会社東芝 磁気記憶装置
US6127045A (en) * 1998-05-13 2000-10-03 International Business Machines Corporation Magnetic tunnel junction device with optimized ferromagnetic layer
JPH11339223A (ja) * 1998-05-26 1999-12-10 Tdk Corp 磁性層のエッチング方法、薄膜磁気ヘッドの磁極の形成方法および薄膜磁気ヘッドの製造方法
JP2002520874A (ja) * 1998-07-15 2002-07-09 インフィネオン テクノロジース アクチエンゲゼルシャフト メモリ素子の電気抵抗が情報でありかつ磁場により影響を与えることができるメモリセル装置及びその製造方法
US6097579A (en) * 1998-08-21 2000-08-01 International Business Machines Corporation Tunnel junction head structure without current shunting
US6219212B1 (en) * 1998-09-08 2001-04-17 International Business Machines Corporation Magnetic tunnel junction head structure with insulating antiferromagnetic layer
US6373092B1 (en) * 1998-09-29 2002-04-16 Texas Instruments Incorporated Staggered-edge capacitor electrode
TW446941B (en) * 1998-11-19 2001-07-21 Infineon Technologies Ag Magnetoresistive element
US6770382B1 (en) * 1999-11-22 2004-08-03 Headway Technologies, Inc. GMR configuration with enhanced spin filtering
US6392257B1 (en) * 2000-02-10 2002-05-21 Motorola Inc. Semiconductor structure, semiconductor device, communicating device, integrated circuit, and process for fabricating the same
US6727105B1 (en) * 2000-02-28 2004-04-27 Hewlett-Packard Development Company, L.P. Method of fabricating an MRAM device including spin dependent tunneling junction memory cells
US6211090B1 (en) * 2000-03-21 2001-04-03 Motorola, Inc. Method of fabricating flux concentrating layer for use with magnetoresistive random access memories
JP3877490B2 (ja) * 2000-03-28 2007-02-07 株式会社東芝 磁気素子およびその製造方法
JP2001358218A (ja) * 2000-04-13 2001-12-26 Canon Inc 有機膜のエッチング方法及び素子の製造方法
DE10043159A1 (de) * 2000-09-01 2002-03-21 Infineon Technologies Ag Speicherzellenanordnung und Verfahren zu deren Herstellung
US6713195B2 (en) * 2001-01-05 2004-03-30 Nve Corporation Magnetic devices using nanocomposite materials
US6611453B2 (en) * 2001-01-24 2003-08-26 Infineon Technologies Ag Self-aligned cross-point MRAM device with aluminum metallization layers
US6440753B1 (en) * 2001-01-24 2002-08-27 Infineon Technologies North America Corp. Metal hard mask for ILD RIE processing of semiconductor memory devices to prevent oxidation of conductive lines
US6538920B2 (en) * 2001-04-02 2003-03-25 Manish Sharma Cladded read conductor for a pinned-on-the-fly soft reference layer
US6633497B2 (en) * 2001-06-22 2003-10-14 Hewlett-Packard Development Company, L.P. Resistive cross point array of short-tolerant memory cells
US20030021908A1 (en) * 2001-07-27 2003-01-30 Nickel Janice H. Gas cluster ion beam process for smoothing MRAM cells
US6627913B2 (en) * 2001-09-10 2003-09-30 Micron Technology, Inc. Insulation of an MRAM device through a self-aligned spacer
US6635496B2 (en) * 2001-10-12 2003-10-21 Infineon Technologies, Ag Plate-through hard mask for MRAM devices
US6518588B1 (en) * 2001-10-17 2003-02-11 International Business Machines Corporation Magnetic random access memory with thermally stable magnetic tunnel junction cells
JP2003198005A (ja) * 2001-12-28 2003-07-11 Tdk Corp 磁気抵抗効果素子、これを用いた磁気ヘッド及びその製造方法、並びにヘッドサスペンションアセンブリ
US6638774B2 (en) * 2002-01-15 2003-10-28 Infineon Technologies, Ag Method of making resistive memory elements with reduced roughness
US6821907B2 (en) * 2002-03-06 2004-11-23 Applied Materials Inc Etching methods for a magnetic memory cell stack
JP3790183B2 (ja) * 2002-03-29 2006-06-28 株式会社東芝 磁気抵抗効果素子及びその製造方法、磁気ヘッド並びに磁気再生装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004043855B4 (de) * 2003-09-10 2011-04-21 International Business Machines Corp. Verfahren zur Herstellung einer Magnet-Tunnel-Junction-Vorrichtung

Also Published As

Publication number Publication date
TW200405337A (en) 2004-04-01
WO2003088253A1 (en) 2003-10-23
TWI243378B (en) 2005-11-11
KR20050000518A (ko) 2005-01-05
US6815248B2 (en) 2004-11-09
EP1495470B1 (de) 2005-08-17
CN1647206A (zh) 2005-07-27
JP2005523575A (ja) 2005-08-04
DE60301344D1 (de) 2005-09-22
KR100727710B1 (ko) 2007-06-13
CN100444280C (zh) 2008-12-17
US20030199104A1 (en) 2003-10-23
EP1495470A1 (de) 2005-01-12

Similar Documents

Publication Publication Date Title
DE60301344T2 (de) Materialkombination für tunnelübergangsdeckschicht, hartmaske und stackkeimschicht in der mram-herstellung
DE102005036073B4 (de) Verfahren zum Herstellen einer Leiterbahn mit ferromagnetischem Liner einer magnetischen Speichereinrichtung
DE112004001023B4 (de) Verfahren zum Herstellen einer magnetischen Speicherzelle und magnetisches Speicherbauelement
DE102005034386B4 (de) Tiefe Justiermarken auf Rand-Chips zum anschließenden Ausrichten von opaken Schichten
DE102005034665B4 (de) Verfahren zum Herstellen einer Leiterbahn einer resistiven Speichereinrichtung
DE102005033916B4 (de) Ausrichtung eines MTJ-Stapels an Leiterbahnen in Abwesenheit von Topographie
DE112004001017B4 (de) Integrationsschema zum Vermeiden von Plasmaschäden in MRAM Technologie für ein Verfahren zur Herstellung eines magnetischen Speicherbauelements und ein magnetisches Speicherbauelement
DE102016114870B4 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE60303664T2 (de) Layout für thermisch ausgewählte kreuzpunkt mram zelle
DE102016116301B4 (de) Verfahren zur herstellung eines magnetischen tunnelkontakts mit reduzierten schäden
DE102018119672A1 (de) Techniken für mram mtj top elektrode auf metallschicht-interface mit einem abstandhalter
DE60032281T2 (de) Magnetischer dynamischer Direktzugriffspeicher und dessen Herstellungsverfahren
DE10324866B4 (de) Verfahren zum Herstellen eines magnetischen Direktzugriffsspeichers
DE102019124033A1 (de) Stapel-entwurf mit doppel-magnettunnelübergang (dmtj)
DE112016006556T5 (de) Ansätze zum Einbetten von Spin-Hall-MTJ-Vorrichtungen in einen Logikprozessor und die daraus resultierenden Strukturen
DE102019127079B4 (de) Tunnelkontaktselektor-MRAM und Verfahren zu dessen Herstellung
DE102004027663B4 (de) Maskenlose Array-Schutz-Prozessabfolge zur Bildung von Durchgangsverbindungen in magnetischen Zufallszugriffsspeichern
DE102006001107B4 (de) Verfahren zum Herstellen von MRAM-Zellen
DE102004034822A1 (de) MRAM und Verfahren zu dessen Herstellung
DE102005034667A1 (de) Einkapseln von Leiterbahnen von Halbleiter-Einrichtungen
DE112017001644T5 (de) Nichtflüchtige speichervorrichtung und verfahren zur herstellung der nichtflüchtigen speichervorrichtung
DE112020003407T5 (de) Mehrschichtige untere elektrode für mtk-enthaltende einheiten
DE102020132375A1 (de) Halbleitervorrichtungen und herstellungsverfahren
DE112022003125T5 (de) Magnetoresistives spin-orbit-torque-direktzugriffsspeicher-array
DE10328350A1 (de) Isolierende Deckschicht und leitende Deckschicht in Halbleiterbauelementen mit magnetischen Materiallagen

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee