DE102020114854A1 - Verfahren zum reinigen eines substrats - Google Patents

Verfahren zum reinigen eines substrats Download PDF

Info

Publication number
DE102020114854A1
DE102020114854A1 DE102020114854.2A DE102020114854A DE102020114854A1 DE 102020114854 A1 DE102020114854 A1 DE 102020114854A1 DE 102020114854 A DE102020114854 A DE 102020114854A DE 102020114854 A1 DE102020114854 A1 DE 102020114854A1
Authority
DE
Germany
Prior art keywords
mixture
water
applying
substrate
conductive nanoparticles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020114854.2A
Other languages
English (en)
Inventor
Hao-Ming Chang
Chia-Shih Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/828,743 external-priority patent/US11440060B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020114854A1 publication Critical patent/DE102020114854A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • B08B3/12Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration by sonic or ultrasonic vibrations
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B2203/00Details of cleaning machines or methods involving the use or presence of liquid or steam
    • B08B2203/005Details of cleaning machines or methods involving the use or presence of liquid or steam the liquid being ozonated
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Nanotechnology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Environmental & Geological Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

Ein Verfahren zum Reinigen eines Substrats umfasst das Aufnehmen eines Fotomaskensubstrats, welches eine mehrschichtige reflektierende Struktur angeordnet über einer Fläche des Fotomaskensubstrats, eine Kappenschicht angeordnet auf der mehrschichtigen reflektierenden Struktur und einen Absorber aufweist, wobei das Fotomaskensubstrat eine Mehrzahl leitfähiger Nanopartikel angeordnet über der Fläche aufweist; das Aufbringen eines ersten Gemischs, welches eine SCi-Lösung, ein DI-Wasser und O3enthält, auf das Fotomaskensubstrat zum Entfernen der leitfähigen Nanopartikel; und das Anwenden von DI-Wasser zum Abspülen des Fotomaskensubstrats. Eine Entfernungsrate der leitfähigen Nanopartikel ist größer als ungefähr 90%.

Description

  • PRIORITÄTSDATEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 62/907,510 , eingereicht am 27. September 2019, deren Offenbarung durch Bezugnahme vollumfänglich in die vorliegende Anmeldung aufgenommen wird.
  • STAND DER TECHNIK
  • Die Industrie integrierter Halbleiterschaltungen hat in den vergangenen Jahrzehnten ein rasches Wachstum erlebt. Technologische Fortschritte bei Halbleitermaterialien und -design haben fortlaufend kleinere und komplexere Schaltungen hervorgebracht. Diese Material- und Designfortschritte sind möglich geworden, da auch die Technologien in Zusammenhang mit der Verarbeitung und Fertigung technische Fortschritte erfahren haben. Im Laufe der Entwicklung der Halbleiter hat sich die Anzahl miteinander verbundener Vorrichtungen/Bauelemente pro Flächeneinheit erhöht, da sich die Größe der kleinsten Komponente, welche zuverlässig geschaffen werden kann, verringert hat.
  • Die Halbleiterfertigung stützt sich stark auf den Prozess der Fotolithografie, bei welchem Licht einer bestimmten Frequenz dazu verwendet wird, eine gewünschte Struktur auf einen Wafer zu übertragen, welcher eine Halbleiterverarbeitung durchläuft. Um die Struktur auf den Wafer zu übertragen, wird oftmals eine Fotomaske (auch als eine Maske oder eine Strichplatte bezeichnet) verwendet. Die Fotomaske lässt Licht in einer gewünschten Struktur auf eine Schicht auf dem Wafer gelangen. Dabei handelt es sich zum Beispiel um eine Fotolackschicht (PR-Schicht), welche auf die Belichtung chemisch reagiert, wodurch einige Abschnitte der PR-Schicht entfernt werden, während andere Abschnitte zurückbleiben. Der verbleibende PR wird dann dazu verwendet, eine darunterliegende Schicht zu strukturieren. Da die Merkmalsgrößen zurückgegangen sind, hat sich auch die Wellenlänge des Lichts, welches bei der Fotolithografie dazu benutzt wird, Schichten zu strukturieren, verringert, was zu weiteren Schwierigkeiten geführt und technologische Fortschritte notwendig gemacht hat, unter anderem die Verwendung extremer ultravioletter Strahlung (EUV) als eine Lichtquelle, sowie Phasenverschiebungsmasken. Die Verbesserung der Fotomasken ist unabdingbar zur Ermöglichung fortlaufender Fortschritte in der Industrie, insbesondere da sich Mängel in der strukturierten Schicht während nachfolgender Schritte der Herstellung von Halbleitervorrichtungen und integrierten Schaltungen verstärken können. Daher sind Verbesserungen der Fotomasken, unter anderem Verbesserungen in Zusammenhang mit Verunreinigung oder der Entfernung von Partikeln, erforderlich.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 ist ein Flussdiagramm, welches ein Verfahren zum Reinigen einer Fotomaske im Einklang mit Aspekten der vorliegenden Offenbarung darstellt.
    • 2A bis 2D sind schematische Zeichnungen der Fotomaske in verschiedenen Phasen der Fertigung im Einklang mit Aspekten der vorliegenden Offenbarung in einer oder mehreren Ausführungsformen.
    • 3A bis 3D sind schematische Zeichnungen der Fotomaske in verschiedenen Phasen der Fertigung im Einklang mit Aspekten der vorliegenden Offenbarung in einer oder mehreren Ausführungsformen.
    • 4A bis 4D sind schematische Zeichnungen, welche eine Halbleiterstruktur in verschiedenen Phasen der Fertigung im Einklang mit Aspekten der vorliegenden Offenbarung in einer oder mehreren Ausführungsformen darstellen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen, oder Beispiele, zur Umsetzung verschiedener Merkmale des bereitgestellten Gegenstands bereit. Nachfolgend sind spezifische Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind natürlich nur Beispiele, und sollen keinesfalls als Einschränkung ausgelegt werden. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste und das zweite Merkmal in direktem Kontakt miteinander gebildet sind, können jedoch auch Ausführungsformen umfassen, in welchen zusätzliche Merkmale derart zwischen dem ersten Merkmal und dem zweiten Merkmal gebildet sein können, dass das erste und das zweite Merkmal nicht in direktem Kontakt miteinander sein können. Darüber hinaus kann die vorliegende Offenbarung Bezugsziffern und/oder -zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit, und schreibt für sich selbst keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Diese Beschreibung veranschaulichender Ausführungsformen soll in Verbindung mit den begleitenden Zeichnungen gelesen werden, welche als Teil der gesamten schriftlichen Beschreibung anzusehen sind. In der Beschreibung hierin offenbarter Ausführungsformen dient jegliche Bezugnahme auf eine Richtung oder Ausrichtung ausschließlich einer einfacheren Beschreibung und schränkt den Umfang der vorliegenden Offenbarung in keiner Weise ein. Relative Begriffe, wie zum Beispiel „untere/r/s“, „obere/r/s“, „horizontal“, „vertikal“, „über“, „unter“, „nach oben“, „nach unten“, „oben“ und „unten“, sowie deren Ableitungen (z.B. „horizontal (als Adverb)“, „abwärts“, „aufwärts“, etc.), sind als Bezugnahmen auf die an dieser Stelle beschriebene oder in der jeweiligen Zeichnung gezeigte Ausrichtung auszulegen. Diese relativen Begriffe dienen nur der einfacheren Beschreibung und erfordern nicht, dass die Vorrichtung in einer bestimmten Ausrichtung konstruiert oder betrieben wird. Begriffe wie zum Beispiel „angebracht“, „befestigt“, „angeschlossen“ und „miteinander verbunden“ beziehen sich auf eine Beziehung, in welcher Strukturen entweder direkt oder indirekt durch dazwischenliegende Strukturen aneinander festgemacht oder angebracht sind, sowie sowohl bewegliche als auch starre Verbindungen oder Beziehungen, sofern nicht ausdrücklich anders beschrieben. Darüber hinaus sind die Merkmale und Vorteile der Offenbarung durch Bezugnahmen auf die Ausführungsformen veranschaulicht. Folglich ist die Offenbarung ausdrücklich nicht auf derartige Ausführungsformen beschränkt, welche einige mögliche, nicht einschränkende Kombinationen von Merkmalen darstellen, welche für sich alleine oder in anderen Kombinationen von Merkmalen bestehen können. Vielmehr ist der Umfang der Offenbarung durch die hier beigefügten Patentansprüche definiert.
  • Obwohl die numerischen Bereiche und Parameter, welche das breite Spektrum der Offenbarung darlegen, Annäherungen sind, werden die in den spezifischen Beispielen dargelegten numerischen Werte so präzise wie möglich angegeben. Jeglicher Zahlenwert enthält jedoch unweigerlich bestimmte Fehler, welche sich zwingend aus der in den betreffenden Testmessungen auftretenden Standardabweichung ergeben. Wie hierin verwendet bedeuten die Begriffe „im Wesentlichen“, „ungefähr“ oder „etwa“ in der Regel innerhalb eines von durchschnittlich ausgebildeten Fachleuten erwogenen Wertes oder Bereiches. Alternativ dazu bedeuten die Begriffe „im Wesentlichen“, „ungefähr“ oder „etwa“ bei der Erwägung durch durchschnittlich ausgebildete Fachleute innerhalb eines annehmbaren Standardfehlers des Mittelwerts. Durchschnittlich ausgebildete Fachleute können verstehen, dass der annehmbare Standardfehler für unterschiedliche Technologien variieren kann. Abgesehen von den Betriebs- /Anwendungsbeispielen, oder falls nicht ausdrücklich anders angegeben, sind sämtliche numerischen Bereiche, Mengen, Werte und Prozentangaben, wie zum Beispiel jene für Materialmengen, Zeitspannen, Temperaturen, Betriebsbedingungen, Mengenverhältnisse und dergleichen, welche hierin offenbart sind, in sämtlichen Fällen als modifiziert durch die Begriffe „im Wesentlichen“, „ungefähr“ oder „etwa“ anzusehen. Falls nicht anders angegeben, sind die in der vorliegenden Offenbarung und den beigefügten Ansprüchen dargelegten numerischen Parameter somit Näherungswerte, welche auf Wunsch auch abweichen können. Zumindest ist jeder numerische Parameter unter Berücksichtigung der Anzahl angegebener signifikanter Ziffern und durch Anwendung üblicher Rundungstechniken zu verstehen. Bereiche können hierin als von einem Endpunkt zu einem anderen Endpunkt oder zwischen zwei Endpunkten ausgedrückt sein. Sämtliche hierin offenbarten Bereiche gelten einschließlich der Endpunkte, falls nicht anders angegeben.
  • Der Begriff „Nanopartikel“ bezieht sich auf atomare, molekulare oder makromolekulare Partikel typischerweise in der Größenordnung von ungefähr 1 bis 100 Nanometern. Typischerweise werden die neuartigen und differenzierenden Eigenschaften und Funktionen von Nanopartikeln bei einer kritischen Längengrößenordnung von Materie typischerweise unter 100 nm beobachtet oder entwickelt.
  • EUV-Fotomasken und Phasenverschiebungsfotomasken werden beim fortschrittlichen Halbleiterdesign kleinerer oder komplexerer Schaltungen verwendet. Die Herstellung der EUV-Fotomaske ist herausfordernd, da diese die Anforderungen der Lithografiebedingungen erfüllen muss. Typischerweise weist das Material, welches an einer von einem Maskenhaus gelieferten Maske verbleibt, eine absorbierende Schicht, eine Kappenschicht, einen mehrschichtigen Stapel und ein Material mit geringer Wärmeausdehnung (LTEM oder Substrat) auf. Die absorbierende Schicht dient als Abschirmungsschicht, da das Konzept der EUV-Maske auf reflektiertem Licht basiert. Der reflektierende mehrschichtige Stapel weist eine Dicke auf, welche dafür optimiert worden ist, die maximale konstruktive Interferenz (Bragg-Reflexion) bereitzustellen, um bei der maximalen Strahlungswellenlänge (13,5 nm für EUV) eine Reflektivität von ungefähr 60 bis 75 % zu erzielen. Die Kappenschicht ist zwischen der absorbierenden Schicht und der Mehrfachschicht angeordnet. Die Kappenschicht dient dazu, die optische Reflektivität zu bewahren, da der mehrschichtige Stapel während der Belichtung innerhalb eine EUV-Kammer oxidieren kann. In einigen vergleichenden Ansätzen kann eine Dicke der Kappenschicht derart angepasst sein, dass sie weniger als ungefähr 5 nm beträgt, sodass das EUV-Licht von der Kappenschicht nicht absorbiert wird, während die Kappenschicht nach wie vor thermische und chemische Stabilität bereitzustellen hat. Folglich ist zwar eine dünne Kappenschicht für die EUV-Lithografie notwendig, jedoch muss diese dünne Kappenschicht einen hohen Grad an Integrität bewahren.
  • Ein wirksamer Reinigungsvorgang ist ein weiterer wichtiger Faktor bei der Fotolithografie. Um eine langfristige Stabilität bei Herstellungsvorgängen zu erzielen, erfordern die optischen Fotomasken und EUV-Fotomasken eine regelmäßige Reinigung, um Verunreinigungen entweder vor oder nach dem optischen Lithografievorgang zu entfernen. Während eines Belichtungsvorgangs werden innerhalb der Kammer zum Beispiel aktive Ätzmittel, Radikale oder chemische Gase verteilt, weswegen unerwünschte Nanopartikel gebildet werden, falls eine unsachgemäße chemische Reaktion hervorgerufen wird. Eine solche Verunreinigung sowie unerwünschte Nanopartikel müssen vollständig entfernt werden, um die Qualität der optischem Fotomasken und der EUV-Fotomasken zu bewahren. In vergleichenden Ansätzen werden Nanopartikel aufgrund der hohen katalytischen Eigenschaften und der Leitfähigkeit der Kappenschicht von der Kappenschicht angezogen. Solche Nanopartikel sind durch die bisherigen Reinigungsvorgänge nicht einfach zu entfernen. Ferner ist es auch erforderlich, dass die dünne Kappenschicht einer Beschädigung durch die Reinigungsvorgänge widersteht.
  • Die vorliegende Offenbarung stellt daher ein Verfahren zum Entfernen von Verunreinigung oder Nanopartikeln von einem Substrat bereit. In einigen Ausführungsformen umfasst das Verfahren das Ausführen eines Reinigungsvorgangs zum Entfernen von Nanopartikeln von einem Fotomaskensubstrat oder einem Halbleitersubstrat. In einigen Ausführungsformen umfasst das Verfahren einen Reinigungsvorgang zum Entfernen leitfähiger Nanopartikel vom Fotomaskensubstrat oder dem Halbleitersubstrat. Eine Entfernungsrate der leitfähigen Nanopartikel durch den Reinigungsvorgang kann auf mehr als ungefähr 90 % verbessert werden, während eine dünne Schicht über dem Fotomaskensubstrat oder dem Halbleitersubstrat bestehen bleibt.
  • 1 ist ein Flussdiagramm, welches ein Verfahren zum Reinigen eines Substrats 10 im Einklang mit Aspekten der vorliegenden Offenbarung darstellt. Das Verfahren 10 umfasst eine Anzahl von Vorgängen (12, 14, 15 und 16). Das Verfahren zum Reinigen des Substrats 10 wird ferner im Einklang mit einer oder mehreren Ausführungsformen beschrieben. Es ist anzumerken, dass die Vorgänge des Verfahrens zum Reinigen des Substrats 10 innerhalb des Umfangs der verschiedenen Aspekte neu angeordnet oder anderweitig modifiziert werden können. Es ist ferner anzumerken, dass zusätzliche Prozesse vor, während und nach dem Verfahren 10 bereitgestellt werden können, und dass einige andere Prozesse hierin nur kurz beschrieben sein können. Somit sind andere Umsetzungen innerhalb des Umfangs der verschiedenen hierin beschriebenen Aspekte möglich.
  • 2A bis 2D sind schematische Zeichnungen einer Fotomaske in verschiedenen Phasen im Einklang mit Aspekten der vorliegenden Offenbarung in einer oder mehreren Ausführungsformen, und 3A bis 3D sind schematische Zeichnungen einer weiteren Fotomaske in verschiedenen Phasen im Einklang mit Aspekten der vorliegenden Offenbarung in einer oder mehreren Ausführungsformen. Bei Vorgang 12 wird ein Fotomaskensubstrat aufgenommen.
  • In einigen Ausführungsformen kann das Fotomaskensubstrat ein Substrat einer EUV-Fotomaske 200 sein, wie in 2A gezeigt. In anderen Ausführungsformen kann das Fotomaskensubstrat ein Substrat einer optischen Fotomaske sein, wie zum Beispiel einer in 3A gezeigten Phasenverschiebungsfotomaske. Folglich weist die EUV-Fotomaske 200 ein Fotomaskensubstrat 202 auf, wie in 2A gezeigt, und die Phasenverschiebungsfotomaske 300 weist ein Fotomaskensubstrat 302 auf, wie in 3A gezeigt. Die Fotomaskensubstrate 202 beziehungsweise 302 können von beliebiger zur Verwendung als eine Fotomaske geeigneter Größe sein. In einigen Ausführungsformen weisen die Fotomaskensubstrate 202 beziehungsweise 302 ein Material auf Siliziumbasis, wie zum Beispiel Quarz (d.h. Siliziumoxid), Quarzglas und dergleichen, auf. In einigen Ausführungsformen weisen die Fotomaskensubstrate 202 beziehungsweise 302 ein Material geringer Wärmeausdehnung (LTEM) auf. In anderen Ausführungsformen weisen die Fotomaskensubstrate 202 beziehungsweise 302 ein geeignetes lichtdurchlässiges Material auf.
  • Bezugnehmend auf 2A weist in einigen Ausführungsformen die EUV-Fotomaske 200 eine mehrschichtige reflektierende Struktur 210 angeordnet über einer Fläche des Fotomaskensubstrats 202 auf. In einigen Ausführungsformen weist die mehrschichtige reflektierende Struktur 210 eine mehrschichtige reflektierende Ru/Si-Struktur, eine mehrschichtige reflektierende Mo/Be-Struktur, eine mehrschichtige reflektierende Struktur aus Mo-Verbindung/Si-Verbindung, eine mehrschichtige reflektierende Si/Mo/Ru-Struktur, eine mehrschichtige reflektierende Si/Mo/Ru/Mo-Struktur oder eine mehrschichtige reflektierende Si/Ru/Mo/Ru-Struktur auf. Weist die mehrschichtige reflektierende Struktur 210 zum Beispiel die mehrschichtige reflektierende Struktur aus Mo-Verbindung/Si-Verbindung auf, können die Mo-Schicht und die Si-Schicht in bis zu zwischen 40 und 50 Paaren abwechselnd angeordnet sein. Jedes Paar aus Mo-Schicht und Si-Schicht kann eine Mo-Schicht mit einer Dicke von ungefähr 3 nm und eine Si-Schicht mit einer Dicke von ungefähr 4 nm aufweisen, wobei die Offenbarung jedoch nicht darauf beschränkt ist. In einigen Ausführungsformen werden die Menge der Mo/Si-Paare, die Dicke der Mo-Schichten und die Dicke der Si-Schichten derart angepasst, dass die mehrschichtige reflektierende Struktur 210 bei einer Wellenlänge von 13,5 nm eine EUV-Lichtreflektivität von ungefähr 67 % aufweisen kann, wobei die Offenbarung jedoch nicht darauf beschränkt ist.
  • Die Kappenschicht 220 der EUV-Fotomaske 200 kann eine Ru-haltige Schicht aufweisen. Zum Beispiel kann die Kappenschicht 220 eine Rutheniumoxidschicht aufweisen. In einigen Ausführungsformen beträgt eine Dicke der Kappenschicht 220 zwischen ungefähr 1 nm und ungefähr 5 nm. Da die Kappenschicht 220 als ein Puffer zwischen der mehrschichtigen reflektierenden Struktur 210 und einer Hartmaskenschicht (nicht gezeigt) dient, sollte die Dicke der Kappenschicht 220 weniger als ungefähr 5 nm betragen, sodass die Kappenschicht 220 die EUV-Belichtung nicht beeinträchtigt.
  • Der Absorber 230 ist eine lichtundurchlässig, lichtabschirmende Schicht. In einer Ausführungsform weist der Absorber 230 eine Dicke von nicht weniger als ungefähr 50 nm auf, um ein Entweichen von Licht oder schlechten Kontrast während des Waferlithografieprozesses zu verhindern. In einer alternativen Ausführungsformen weist der Absorber 230 eine Dicke von weniger als ungefähr 150 nm auf, um die Notwendigkeit zu vermeiden, eine optische Näherungskorrektur (OPC) zum Bilden der Maske vorzunehmen. In einer weiteren Ausführungsform weist der Absorber 230 dieselbe Dicke auf, wie die Gesamtdicke der mehrschichtigen reflektierenden Struktur 210 und der Kappenschicht 220. In einer weiteren Ausführungsform weist der Absorber 230 dieselbe Dicke auf, wie die Gesamtdicke der mehrschichtigen reflektierenden Struktur 210, der Kappenschicht 220 und der Hartmaskenschicht (nicht gezeigt). In einer Ausführungsform enthält der Absorber 230 Materialien auf Tantalbasis im Wesentlichen ohne Sauerstoff, wie zum Beispiel Materialien auf Tantal-Silizid-Basis (TaSi), mit Stickstoff angereicherte Materialien auf Tantal-Borid-Basis (TaBN) und Materialen auf Tantal-Nitrid-Basis (TaN). In einer weiteren Ausführungsform enthält der Absorber 230 Materialien auf Basis von Tantal und Sauerstoff, wie zum Beispiel oxidierte und mit Stickstoff angereicherte Materialien auf Tantal- und Silizium-Basis (TaSiON), Materialien auf Tantal-Boroxid-Basis (TaBO) und oxidierte und mit Stickstoff angereicherte Materialien auf Tantalbasis (TaON).
  • Darüber hinaus kann die EUV-Fotomaske 200 andere Schichten aufweisen, wie zum Beispiel eine rückseitige Überzugsschicht und/oder eine antireflektierende Beschichtung (ARC), die jedoch nicht abgebildet sind. Ferner können auch andere Fotomaskenanordnungen als die EUV-Fotomaske 200 bereitgestellt werden.
  • Bezugnehmend auf 3A weist die Phasenverschiebungsfotomaske 300 in einigen Ausführungsformen eine Phasenverschiebungsschicht (PSL) 320, welche über einer Fläche des Fotomaskensubstrats 302 gebildet ist, und eine Abschirmungsschicht 310 auf. Die Phasenverschiebungsschicht 320 ist gebildet worden, um weniger als ungefähr 20 % des einfallenden Lichts durchzulassen und eine Phasenverschiebung von ungefähr 180 Grad in Bezug auf durchgelassenes Licht (d.h. Licht, welches das lichtdurchlässige Substrat durchlässt) zu erzeugen. In einigen Ausführungsformen enthält die Phasenverschiebungsschicht 320 Molybdän-Silizid (MoSi), Molybdän-Silizid-Nitrid (MoSiN), Molybdän-Silizid-Oxynitrid (MoSiON), Titannitrid, Titan-Siliziumnitrid oder Siliziumnitrid, wobei die Offenbarung jedoch nicht darauf beschränkt ist. Die Abschirmungsschicht 310 kann Chrom (Cr) enthalten, und kann andere Schichten aufweisen, wie zum Beispiel Chromoxid, Chromnitrid und Chromoxynitrid. Obwohl einige Beispiele aus Materialien hergestellt sind, welche sowohl für das Substrat 302 als auch für die Phasenverschiebungsschicht 320 sowie die Abschirmungsschicht 310 verwendet werden können, versteht sich, dass ebenso auch andere im Fachgebiet bekannte geeignete Materialien verwendet werden können, ohne vom Umfang der vorliegenden Offenbarung abzuweichen. Darüber hinaus kann die Phasenverschiebungsfotomaske 300 andere Schichten aufweisen, wie zum Beispiel eine rückseitige Überzugsschicht und/oder eine antireflektierende Beschichtung (ARC), die jedoch nicht abgebildet sind. Ferner können auch andere Fotomaskenanordnungen als die Phasenverschiebungsfotomaske 300 bereitgestellt werden.
  • In einigen Ausführungsformen können die EUV-Fotomaske 200 und die Phasenverschiebungsfotomaske 300 eine Membranabdeckung (nicht gezeigt) aufweisen, welche als eine Schutzabdeckung verwendet wird. Die Membranabdeckung kann die Strukturen über der EUV-Fotomaske 200 und der Phasenverschiebungsfotomaske 300 vor Beschädigung und/oder Verunreinigungspartikeln schützen. Die Membranabdeckung kann ein dünner Film auf einem Rahmen sein, welcher eine strukturierte Fläche der EUV-Fotomaske 200 und der Phasenverschiebungsfotomaske 300 bedeckt.
  • Bezugnehmend auf 2A und 3A können in einem Belichtungsvorgang verschiedene Gase in die Belichtungsvorrichtung eingebracht werden. Zum Beispiel können Cl, H und N, welche im Betrieb besonders üblich sind, eingebracht werden. Cl wird dazu verwendet, ein bestimmtes Material, wie zum Beispiel Al, Si, Cr und andere, zu ätzen, während H und N als Kammerreinigungsgase oder Passivierungsgase verwendet werden, um die Hauptätzgase zu unterstützen. In einem solchen Fall ist es unvermeidbar, dass die Restgase vermischt werden, Reaktionen auftreten und somit ein unerwünschtes Produkt gebildet werden kann. Zum Beispiel können Gase auf Cl-Basis gemäß den folgenden chemischen Gleichungen HCl erzeugen: 3Cl2 + 3H2O → 5HCl + HClO3 CCl4 + H2O → COCl2 + 2HCl NOCl + H2O → HNO2 + HCl COCl2 + H2O → 2HCl + CO2
  • Gase auf N-Basis können gemäß den folgenden chemischen Gleichungen HNO3 erzeugen: N2 + 2O2 → 2NO2 4NO2 + 2H2O + O2 → 4HNO3 2NO2 + H2O → HNO3 + HNO2 2HNO2 → NO + NO2 + H2O
  • In einigen Ausführungsformen sind Metalle, wie zum Beispiel Edelmetalle, in der Lage, den meisten Säuren und Laugen zu widerstehen, reagieren jedoch, wenn sie einer Behandlung mit Salpetersäure-Hydrochlorid ausgesetzt werden. Wenn sich Gase auf Cl-Basis und Gase auf N-Basis vermischen, können sich HCl und HNO3 bilden. Ferner kann sich in der Verarbeitungsvorrichtung Salpetersäure-Hydrochlorid bilden und führt zu einer Salpetersäure-Hydrochlorid-Ätzung, wobei die Offenbarung jedoch nicht auf diese Materialien beschränkt ist.
  • Wenn Metalle, wie zum Beispiel die Edelmetalle, in einigen Ausführungsformen durch Salpetersäure-Hydrochlorid geätzt werden, können die geätzten Metallnanopartikel auf die Fotomasken 200 und 300 fallen. Bezugnehmend auf 2A und 3A kann das Fotomaskensubstrat 202 daher eine Mehrzahl leitfähiger Nanopartikel P angeordnet über der Fläche des Fotomaskensubstrates 202 aufweisen, und das Fotomaskensubstrat 302 kann eine Mehrzahl leitfähiger Nanopartikel P angeordnet über der Fläche des Fotomaskensubstrats 302 aufweisen. Die leitfähigen Nanopartikel P können Metall enthalten. In einigen Ausführungsformen können die leitfähigen Nanopartikel P Edelmetalle, wie zum Beispiel Gold (Au), Ruthenium (Ru), Rhodium (Rh), Palladium (Pd), Silber (Ag), Osmium (Os), Iridium (Ir) oder Platin (Pt), enthalten.
  • Bei Vorgang 14 wird ein erstes Gemisch MIXi auf das Fotomaskensubstrat 202 oder 302 aufgebracht. In einigen Ausführungsformen wird das erste Gemisch MIX1 aufgebracht, um die leitfähigen Nanopartikel P zu entfernen, wie in 2B und 3B gezeigt. Das erste Gemisch MIX 1 enthält eine SCi-Lösung, deionisiertes Wasser (DI-Wasser) und Ozon (O3). Die SC1-Lösung enthält NH4OH, H2O2 und H2O in einem ausgewählten Verhältnis. In einigen Ausführungsformen beträgt ein Verhältnis des DI-Wasser zur SC1-Lösung 1:1. In einigen Ausführungsformen beträgt eine Temperatur des ersten Gemischs MIX1 zwischen ungefähr 20 °C und 40 °C. Es ist anzumerken, dass eine Entfernungsrate der leitfähigen Nanopartikel verringert ist, falls die Temperatur des ersten Gemischs MIX1 weniger als 20 °C beträgt, und dass das erste Gemisch MIX1 eine unerwünschte Beschädigung anderer Schichten, wie zum Beispiel der Kappenschicht 220 oder der Abschirmungsschicht 310 hervorrufen kann, falls die Temperatur des ersten Gemischs MIX1 mehr als 40 °C beträgt. In einigen Ausführungsformen kann eine Strömungsgeschwindigkeit des ersten Gemischs MIX1 zwischen ungefähr 1000 ml/min. und ungefähr 5000 ml/min. betragen. In einigen Ausführungsformen beträgt eine Dauer des Aufbringens des ersten Gemischs MIX1 zwischen ungefähr 8 Minuten und ungefähr 15 Minuten.
  • In einigen Ausführungsformen umfasst das Aufbringen des ersten Gemischs MIX1 ferner eine Megaschallbewegung, und eine Frequenz der Megaschallbewegung beträgt zwischen ungefähr 1 MHz und ungefähr 10 MHz, wobei die Offenbarung jedoch nicht darauf beschränkt ist.
  • In einigen Ausführungsformen umfasst das Verfahren 10 ferner die Ausführung eines Schleudervorgangs nach dem Aufbringen des ersten GemischsMIX1, sodass das erste Gemisch MIX1 und die im ersten Gemisch MIX1 gelösten leitfähigen Nanopartikel P von der Oberfläche des Fotomaskensubstrats 202 oder 302 entfernt werden können.
  • In einigen Ausführungsformen kann das Verfahren 10 einen Vorgang 15 umfassen, welcher nach dem Vorgang 14 oder nach der Ausführung des Schleudervorgangs ausgeführt werden kann. Bezugnehmend auf 2C und 3C wird bei Vorgang 15 ein zweites Gemisch MIX2 auf das Fotomaskensubstrat 202 oder 302 aufgebracht. Das zweite Gemisch MIX2 enthält DI-Wasser und H2. In einigen Ausführungsformen beträgt eine Temperatur des zweiten Gemischs MIX2 zwischen ungefähr 20 °C und 40 °C. In einigen Ausführungsformen kann eine Strömungsgeschwindigkeit des zweiten Gemischs MIX2 zwischen ungefähr 1000 ml/min. und ungefähr 3000 ml/min. betragen. In einigen Ausführungsformen beträgt eine Dauer des Aufbringens des zweiten Gemischs MIX2 zwischen ungefähr 5 Minuten und ungefähr 15 Minuten.
  • In einigen Ausführungsformen umfasst das Aufbringen des zweiten Gemischs MIX2 ferner eine Megaschallbewegung, und eine Frequenz der Megaschallbewegung beträgt zwischen ungefähr 1 MHz und ungefähr 10 MHz, wobei die Offenbarung jedoch nicht darauf beschränkt ist.
  • In einigen Ausführungsformen umfasst das Verfahren 10 ferner die Ausführung eines Schleudervorgangs nach dem Aufbringen des zweiten GemischsMIX2, sodass das zweite Gemisch MIX2 und etwaige Rückstände von der Oberfläche des Fotomaskensubstrats 202 oder 302 entfernt werden können.
  • Bei Vorgang 16 wird DI-Wasser angewendet. Wie in 2D und 3D gezeigt, wird das DI-Wasser DIW auf das Fotomaskensubstrat 202 oder 302 aufgebracht. In einigen Ausführungsformen beträgt eine Temperatur des DI-Wassers DIW zwischen ungefähr 20 °C und 40 °C. In einigen Ausführungsformen kann eine Strömungsgeschwindigkeit des DI-Wassers DIW zwischen ungefähr 1000 ml/min. und ungefähr 1500 ml/min. betragen. In einigen Ausführungsformen beträgt eine Dauer der Anwendung des DI-Wassers DIW zwischen ungefähr 1 Minute und ungefähr 5 Minuten.
  • In einigen Ausführungsformen umfasst das Verfahren 10 ferner die Ausführung eines Schleudervorgangs nach dem Aufbringen des DI-Wassers DIW, sodass etwaige Rückstände von der Oberfläche des Fotomaskensubstrats 202 oder 302 entfernt werden können.
  • In einigen Ausführungsformen können die leitfähigen Nanopartikel P durch das erste Gemisch MIX1 entfernt werden, und etwaige Rückstände können durch die Spülung mit DI-Wasser entfernt werden. In anderen Ausführungsformen können die leitfähigen Nanopartikel P durch das erste Gemisch MIX1 entfernt werden, und etwaige Rückstände können durch ein zweites Gemisch MIX2 und die Spülung mit DI-Wasser entfernt werden. In einigen Ausführungsformen beträgt eine Gesamtdauer für die Ausführung der Vorgänge 14, 15 und 16 zwischen ungefähr 5 Minuten und ungefähr 30 Minuten, wobei die Offenbarung jedoch nicht darauf beschränkt ist. In einigen Ausführungsformen ist eine Entfernungsrate der leitfähigen Nanopartikel größer als ungefähr 90 %. In einigen Ausführungsformen ist die Entfernungsrate der leitfähigen Nanopartikel größer als ungefähr 94 %, wenn die Gesamtdauer für die Ausführung der Vorgänge 14, 15 und 16 ungefähr 8 Minuten beträgt. In einigen Ausführungsformen beträgt die Entfernungsrate der leitfähigen Nanopartikel ungefähr 100 %, wenn die Gesamtdauer für die Ausführung der Vorgänge 14, 15 und 16 ungefähr 10 Minuten beträgt.
  • In manchen vergleichenden Ansätzen kann eine SPM-Lösung, welche H2SO4, H2O2 und H2O enthält, zum Entfernen der leitfähigen Nanopartikel verwendet werden. In manchen vergleichenden Ansätzen kann ein Gemisch, welches die SPM-Lösung und DI-Wasser enthält, zum Entfernen der leitfähigen Nanopartikel verwendet werden. In manchen vergleichenden Ansätzen kann eine SC1-Lösung zum Entfernen der leitfähigen Nanopartikel verwendet werden. In manchen vergleichenden Ansätzen kann ein ozonisiertes deionisiertes Wasser (DIO3) zum Entfernen der leitfähigen Nanopartikel verwendet werden. In manchen vergleichenden Ansätzen kann O3 mit H2O und UV-Belichtung zum Entfernen der leitfähigen Nanopartikel verwendet werden. Man hat festgestellt, dass die obenerwähnten vergleichenden Ansätze für eine Dauer in einem Bereich von 5 Minuten bis 40 Minuten ausgeführt werden können, welche länger ist, als die Dauer der Ausführung der Vorgänge 14, 15 und 16 des Verfahrens. Die Entfernungsrate der leitfähigen Nanopartikel beträgt in solchen vergleichenden Ansätzen ungefähr 0 %.
  • Im Einklang mit dem Verfahren zum Reinigen des Substrats 10 werden die leitfähigen Nanopartikel von einer Fläche aufweisend leitfähiges Material, wie zum Beispiel der Phasenverschiebungsschicht und der Kappenschicht, entfernt. Die Entfernungsrate der leitfähigen Nanopartikel ist größer als ungefähr 90 % bei Verwendung einer kürzeren Dauer als jener der vergleichenden Ansätze. Ferner hat man festgestellt, dass eine Dicke und Oberflächenintegrität der Kappenschicht und der Phasenverschiebungsschicht durch das Verfahren zum Reinigen der Fotomaske nicht vermindert werden.
  • In einigen Ausführungsformen kann das Verfahren zum Reinigen des Substrats 10 in Halbleitersubstratherstellungsvorgängen verwendet werden. 4A bis 4D sind schematische Zeichnungen einer Halbleiterstruktur in verschiedenen Phasen im Einklang mit Aspekten der vorliegenden Offenbarung in einer oder mehreren Ausführungsformen.
  • Bei Vorgang 12 wird ein Halbleitersubstrat aufgenommen.
  • Wie in 4A gezeigt, wird in einigen Ausführungsformen ein Halbleitersubstrat 402 aufgenommen. In einigen Ausführungsformen kann das Halbleitersubstrat 402 eine darauf angeordnete leitfähige Schicht aufweisen. In einigen Ausführungsformen kann das Halbleitersubstrat 402 eine darauf angeordnete Halbleiterschicht aufweisen. In solchen Ausführungsformen kann das Verfahren zum Reinigen des Substrats 10 ausgeführt werden, um Nanopartikel vom Halbleitersubstrat 402 zu entfernen. In solchen Ausführungsformen beträgt eine Gesamtdauer für die Ausführung der Vorgänge 14, 15 und 16 zwischen ungefähr 5 Minuten und ungefähr 30 Minuten, wobei die Offenbarung jedoch nicht darauf beschränkt ist.
  • In einigen Ausführungsformen kann das Halbleitersubstrat 402 eine darauf angeordnete Isolierschicht 410 aufweisen, wie in 4A gezeigt. In einigen Ausführungsformen kann das Halbleitersubstrat 402 unter der Isolierschicht 410 ein Grundmaterial aufweisen. In anderen Ausführungsformen kann das Halbleitersubstrat 402 darin gebildete und durch die Isolierschicht 410 bedeckte leitfähige und/oder halbleitende Merkmale aufweisen. In solchen Ausführungsformen können die leitfähigen und/oder halbleitenden Merkmale Vorrichtungen bilden, welche dazu verwendet werden, eine integrierte Schaltung zu schaffen, wobei die Offenbarung jedoch nicht darauf beschränkt ist. Die Isolierschicht 410 kann Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbid, Materialien mit niedrigem k oder dergleichen enthalten.
  • In einigen Ausführungsformen können während den Herstellungsvorgängen leitfähige Nanopartikel P gebildet werden und auf das Halbleitersubstrat 402 fallen, wie in 4A gezeigt. Die Bildung der leitfähigen Nanopartikel P ist ähnlich jener der oben beschriebenen leitfähigen Nanopartikel, weswegen eine erneute Beschreibung der Kürze wegen weggelassen wird.
  • Bei Vorgang 14 wird ein erstes Gemisch MIX1 auf das Halbleitersubstrat 402 aufgebracht. In einigen Ausführungsformen wird das erste Gemisch MIX1 aufgebracht, um die leitfähigen Nanopartikel P zu entfernen, wie in 4B gezeigt. Das erste Gemisch MIX1 enthält eine SC1-Lösung, DI-Wasser und O3. Die SC1-Lösung enthält NH4OH, H2O2 und H2O in einem ausgewählten Verhältnis. In einigen Ausführungsformen beträgt ein Verhältnis des DI-Wasser zur SC1-Lösung 1:1. In einigen Ausführungsformen beträgt eine Temperatur des ersten Gemischs MIX1 zwischen ungefähr 20 °C und 40 °C. Es ist anzumerken, dass eine Entfernungsrate der leitfähigen Nanopartikel verringert ist, falls die Temperatur des ersten Gemischs MIX1 weniger als 20 °C beträgt, und dass das erste Gemisch MIX1 die darunterliegende Schicht beschädigen kann, falls die Temperatur des ersten Gemischs MIX1 mehr als 40 °C beträgt. In einigen Ausführungsformen kann eine Strömungsgeschwindigkeit des ersten Gemischs MIX1 zwischen ungefähr 1000 ml/min. und ungefähr 5000 ml/min. betragen. In einigen Ausführungsformen kann eine Dauer des Aufbringens des ersten Gemischs MIX1 weniger als 10 Minuten betragen. In einigen Ausführungsformen beträgt die Dauer des Aufbringens des ersten Gemischs MIX1 zwischen ungefähr 6 Minuten und ungefähr 10 Minuten.
  • In einigen Ausführungsformen umfasst das Aufbringen des ersten Gemischs MIX1 ferner eine Megaschallbewegung, und eine Frequenz der Megaschallbewegung beträgt zwischen ungefähr 1 MHz und ungefähr 10 MHz, wobei die Offenbarung jedoch nicht darauf beschränkt ist.
  • In einigen Ausführungsformen umfasst das Verfahren 10 ferner die Ausführung eines Schleudervorgangs nach dem Aufbringen des ersten Gemischs MIX1, sodass das erste Gemisch MIX1 und die im ersten Gemisch MIX1 gelösten leitfähigen Nanopartikel P von der Oberfläche des Halbleitersubstrats 402 entfernt werden können.
  • Bei Vorgang 15, welcher nach dem Vorgang 14 oder nach dem Ausführen des Schleudervorgangs ausgeführt werden kann, wird ein zweites Gemisch MIX2 auf das Halbleitersubstrat 402 aufgebracht. Das zweite Gemisch MIX2 enthält DI-Wasser und H2. In einigen Ausführungsformen beträgt eine Temperatur des zweiten Gemischs MIX2 zwischen ungefähr 20 °C und 40 °C. In einigen Ausführungsformen kann eine Strömungsgeschwindigkeit des zweiten Gemischs MIX2 zwischen ungefähr 1000 ml/min. und ungefähr 3000 ml/min. betragen. In einigen Ausführungsformen beträgt eine Dauer des Aufbringens des zweiten Gemischs MIX2 zwischen ungefähr 5 Minuten und ungefähr 15 Minuten.
  • In einigen Ausführungsformen umfasst das Aufbringen des zweiten Gemischs MIX2 ferner eine Megaschallbewegung, und eine Frequenz der Megaschallbewegung beträgt zwischen ungefähr 1 MHz und ungefähr 10 MHz, wobei die Offenbarung jedoch nicht darauf beschränkt ist.
  • In einigen Ausführungsformen umfasst das Verfahren 10 ferner die Ausführung eines Schleudervorgangs nach dem Aufbringen des zweiten Gemischs MIX2, sodass das zweite Gemisch MIX2 und etwaige Rückstände von der Oberfläche des Halbleitersubstrats 402 entfernt werden können, wie in 4C gezeigt.
  • Bei Vorgang 16 wird DI-Wasser angewendet. Wie in 4D gezeigt, wird das DI-Wasser DIW auf das Halbleitersubstrat 402 aufgebracht. In einigen Ausführungsformen beträgt eine Temperatur des DI-Wassers DIW zwischen ungefähr 20 °C und 40 °C. In einigen Ausführungsformen kann eine Strömungsgeschwindigkeit des DI-Wassers DIW zwischen ungefähr 1000 ml/min. und ungefähr 1500 ml/min. betragen. In einigen Ausführungsformen beträgt eine Dauer der Anwendung des DI-Wassers DIW zwischen ungefähr 1 Minute und ungefähr 5 Minuten.
  • In einigen Ausführungsformen umfasst das Verfahren 10 ferner die Ausführung eines Schleudervorgangs nach dem Aufbringen des DI-Wassers DIW, sodass etwaige Rückstände von der Oberfläche des Halbleitersubstrats 402 entfernt werden können.
  • In einigen Ausführungsformen können die leitfähigen Nanopartikel P durch das erste Gemisch MIX1 entfernt werden, und etwaige Rückstände können durch die Spülung mit DI-Wasser entfernt werden. In anderen Ausführungsformen können die leitfähigen Nanopartikel P durch das erste Gemisch MIX1 entfernt werden, und etwaige Rückstände können durch das zweite Gemisch MIX2 und die Spülung mit DI-Wasser entfernt werden. In einigen Ausführungsformen kann die Dauer des Vorgangs 14 auf weniger als 10 Minuten verringert werden, da die leitfähigen Nanopartikel P von einer isolierenden Fläche entfernt werden. In einigen Ausführungsformen ist die Entfernungsrate der leitfähigen Nanopartikel größer als ungefähr 99 %, wenn die Dauer für den Vorgang 14 ungefähr 6 Minuten beträgt. In einigen Ausführungsformen beträgt die Entfernungsrate der leitfähigen Nanopartikel ungefähr 100 %, wenn die Dauer für den Vorgang 14 ungefähr 10 Minuten beträgt.
  • In vergleichenden Ansätzen, welche eine SPM-Lösung, welche H2SO4, H2O2 und H2O enthält, ein Gemisch, welches die SPM-Lösung und DI-Wasser enthält, eine SC1-Lösung, DIO3, oder O3 mit H2O und UV-Belichtung als eine Reinigungslösung für eine Dauer von 5 Minuten bis 40 Minuten verwenden, hat man festgestellt, dass die Entfernungsrate der leitfähigen Nanopartikel ungefähr 0 % beträgt.
  • Im Einklang mit dem Verfahren zum Reinigen des Substrats 10 werden die leitfähigen Nanopartikel von einer isolierenden Oberfläche entfernt. Die Entfernungsrate der leitfähigen Nanopartikel ist größer als ungefähr 90 %, wenn das Verfahren für eine Dauer angewendet wird, welche kürzer ist, als jene vergleichender Ansätze. Ferner hat man festgestellt, dass eine Dicke und Oberflächenintegrität der Isolierschicht durch das Verfahren zum Reinigen des Substrats 10 nicht vermindert werden.
  • Folglich stellt die vorliegende Offenbarung ein Verfahren zum Entfernen von Verunreinigung oder Nanopartikeln von einem Substrat bereit. In einigen Ausführungsformen stellt das Verfahren zum Entfernen der Nanopartikel einen Reinigungsvorgang zum Entfernen von Nanopartikeln vom Fotomaskensubstrat oder einem Halbleitersubstrat bereit. In einigen Ausführungsformen stellt das Verfahren zum Entfernen von Verunreinigung einen Reinigungsvorgang zum Entfernen leitfähiger Nanopartikel vom Fotomaskensubstrat oder einem Halbleitersubstrat bereit. Die Entfernungsrate der leitfähigen Nanopartikel kann durch den Reinigungsvorgang auf mehr als ungefähr 90 % verbessert werden, während eine dünne Schicht über dem Fotomaskensubstrat oder einem Halbleitersubstrat während des Reinigungsvorgangs intakt gehalten werden kann. Wenn das Substrat eine auf diesem gebildete leitfähige Materialschicht aufweist, kann wie oben erwähnt die Entfernungsrate der leitfähigen Nanopartikel auf mehr als ungefähr 90 % verbessert werden, während die Dicke und die Oberflächenintegrität der leitfähigen Materialschicht im Gegensatz zu vergleichenden Ansätzen, welche eine Entfernungsrate von ungefähr 0 % der leitfähigen Nanopartikel bereitstellen, nicht vermindert werden. Wenn das Substrat, wie oben erwähnt, eine auf diesem gebildete isolierende Materialschicht aufweist, kann die Entfernungsrate leitfähiger Nanopartikel auf mehr als ungefähr 90 % verbessert werden, sogar wenn das Verfahren für eine kürzere Dauer als bei der leitfähigen Materialschicht angewendet wird.
  • Im Einklang mit einigen Ausführungsformen der vorliegenden Offenbarung ist ein Verfahren zum Reinigen eines Substrats bereitgestellt. Das Verfahren umfasst die folgenden Vorgänge. Ein Fotomaskensubstrat wird bereitgestellt. In einigen Ausführungsformen weist das Fotomaskensubstrat eine mehrschichtige reflektierende Struktur, welche über einer Fläche des Fotomaskensubstrat angeordnet ist, eine Kappenschicht angeordnet auf der mehrschichtigen reflektierenden Struktur und einen Absorber auf. In einigen Ausführungsformen weist das Fotomaskensubstrat eine Mehrzahl leitfähiger Nanopartikel auf, welche über der Fläche des Fotomaskensubstrats angeordnet sind. Ein erstes Gemisch wird auf das Fotomaskensubstrat aufgebracht, um die leitfähigen Nanopartikel zu entfernen. In einigen Ausführungsformen enthält das erste Gemisch eine SC1-Lösung, DI-Wasser und Ozon (O3). Ferner wird DI-Wasser aufgebracht, um das Fotomaskensubstrat abzuspülen. In einigen Ausführungsformen ist eine Entfernungsrate der leitfähigen Nanopartikel größer als ungefähr 90 %.
  • Im Einklang mit einigen Ausführungsformen der vorliegenden Offenbarung ist ein weiteres Verfahren zum Reinigen eines Substrats bereitgestellt. Das Verfahren umfasst die folgenden Vorgänge. Ein Fotomaskensubstrat wird aufgenommen. In einigen Ausführungsformen weist das Fotomaskensubstrat eine Phasenverschiebungsschicht angeordnet über einer Fläche des Fotomaskensubstrats und eine Abschirmungsschicht angeordnet auf der Phasenverschiebungsschicht auf. In einigen Ausführungsformen weist das Fotomaskensubstrat ferner eine Mehrzahl leitfähiger Nanopartikel auf, welche über der Fläche des Fotomaskensubstrats angeordnet sind. Ein erstes Gemisch wird auf das Fotomaskensubstrat aufgebracht, um die leitfähigen Nanopartikel zu entfernen. In einigen Ausführungsformen enthält das erste Gemisch eine SC1-Lösung, DI-Wasser und O3. Ferner wird DI-Wasser aufgebracht, um das Fotomaskensubstrat abzuspülen. In einigen Ausführungsformen ist eine Entfernungsrate der leitfähigen Nanopartikel größer als ungefähr 90%.
  • Im Einklang mit einigen Ausführungsformen der vorliegenden Offenbarung ist ein weiteres Verfahren zum Reinigen eines Substrats bereitgestellt. Das Verfahren umfasst die folgenden Vorgänge. Ein Halbleitersubstrat wird aufgenommen. In einigen Ausführungsformen weist das Halbleitersubstrat eine Fläche und eine Mehrzahl von auf der Fläche angeordneten Strukturen auf. In einigen Ausführungsformen weist das Halbleitersubstrat ferner eine Mehrzahl leitfähiger Nanopartikel auf, welche über der Fläche angeordnet sind. Ein erstes Gemisch wird auf das Halbleitersubstrat aufgebracht, um die leitfähigen Nanopartikel zu entfernen. In einigen Ausführungsformen enthält das erste Gemisch eine SC1-Lösung, DI-Wasser und O3. Ferner wird DI-Wasser aufgebracht, um das Halbleitersubstrat abzuspülen. In einigen Ausführungsformen ist eine Entfernungsrate der leitfähigen Nanopartikel größer als ungefähr 90 %.
  • Das Vorstehende umreißt Merkmale verschiedener Ausführungsformen derart, dass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten verstehen, dass sie die vorliegende Offenbarung problemlos als eine Grundlage zum Designen oder Modifizieren weiterer Prozesse und Strukturen zum Ausführen derselben Zwecke und/oder zum Erlangen derselben Vorteile der hierin vorgestellten Ausführungsformen verwenden können. Fachleute sollten auch erkennen, dass derartige äquivalente Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sie verschiedenste Änderungen, Ersetzungen und Neugestaltungen daran vornehmen können, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/907510 [0001]

Claims (20)

  1. Verfahren zum Reinigen eines Substrats, umfassend: Aufnehmen eines Fotomaskensubstrats mit einer mehrschichtigen reflektierenden Struktur angeordnet über einer Fläche des Fotomaskensubstrats, einer Kappenschicht angeordnet auf der mehrschichtigen reflektierenden Struktur und einem Absorber, wobei das Fotomaskensubstrat eine Mehrzahl leitfähiger Nanopartikel angeordnet über der Fläche des Fotomaskensubstrats aufweist; Aufbringen eines ersten Gemischs, welches eine SC1-Lösung, deionisiertes Wasser (DI-Wasser) und Ozon (O3) enthält, um die leitfähigen Nanopartikel zu entfernen; und Anwenden von DI-Wasser zum Abspülen des Fotomaskensubstrats, wobei eine Entfernungsrate der leitfähigen Nanopartikel größer als ungefähr 90 % ist.
  2. Verfahren nach Anspruch 1, wobei die mehrschichtige reflektierende Struktur eine mehrschichtige reflektierende Ru/Si-Struktur, eine mehrschichtige reflektierende Mo/Be-Struktur, eine mehrschichtige reflektierende Struktur aus Mo-Verbindung/Si-Verbindung, eine mehrschichtige reflektierende Si/Mo/Ru-Struktur, eine mehrschichtige reflektierende Si/Mo/Ru/Mo-Struktur oder eine mehrschichtige reflektierende Si/Ru/Mo/Ru-Struktur aufweist.
  3. Verfahren nach Anspruch 1 oder 2, wobei die Kappenschicht eine Ru-haltige Schicht aufweist.
  4. Verfahren nach irgendeinem der vorstehenden Ansprüche, wobei die leitfähigen Nanopartikel Edelmetalle enthalten.
  5. Verfahren nach irgendeinem der vorstehenden Ansprüche, wobei ein Verhältnis des DI-Wassers zur SC1-Lösung 1:1 beträgt.
  6. Verfahren nach irgendeinem der vorstehenden Ansprüche, wobei eine Temperatur des ersten Gemischs zwischen ungefähr 20 °C und 40 °C beträgt.
  7. Verfahren nach irgendeinem der vorstehenden Ansprüche, ferner umfassend das Aufbringen eines zweiten Gemischs auf das Fotomaskensubstrat zwischen dem Aufbringen des ersten Gemisches und dem Anwenden des DI-Wassers, wobei das zweite Gemisch DI-Wasser und H2 enthält.
  8. Verfahren nach irgendeinem der vorstehenden Ansprüche, wobei das Aufbringen des ersten Gemischs ferner eine Megaschallbewegung umfasst, und eine Frequenz der Megaschallbewegung zwischen ungefähr 1 MHz und ungefähr 10 MHz beträgt.
  9. Verfahren nach irgendeinem der vorstehenden Ansprüche, ferner umfassend das Ausführen eines Schleudervorgangs nach dem Aufbringen des ersten Gemischs beziehungsweise dem Anwenden des DI-Wassers.
  10. Verfahren zum Reinigen eines Substrats, umfassend: Aufnehmen eines Fotomaskensubstrats mit einer Phasenverschiebungsschicht angeordnet über einer Fläche des Fotomaskensubstrats und einer Abschirmungsschicht angeordnet auf der Phasenverschiebungsschicht, wobei das Fotomaskensubstrat eine Mehrzahl leitfähiger Nanopartikel aufweist, welche über der Fläche des Fotomaskensubstrats angeordnet sind; Aufbringen eines ersten Gemischs, welches eine SC1-Lösung, deionisiertes Wasser (DI-Wasser) und Ozon (O3) enthält auf das Substrat, um die leitfähigen Nanopartikel zu entfernen; und Anwenden von DI-Wasser zum Abspülen des Fotomaskensubstrats, wobei eine Entfernungsrate der leitfähigen Nanopartikel größer als ungefähr 90 % ist.
  11. Verfahren nach Anspruch 10, wobei die Phasenverschiebungsschicht Molybdän-Silizid (MoSi), Molybdän-Silizid-Nitrid (MoSiN), Molybdän-Silizid-Oxynitrid (MoSiON), Titannitrid, Titan-Siliziumnitrid oder Siliziumnitrid enthält.
  12. Verfahren nach Anspruch 10 oder 11, wobei die Abschirmungsschicht Chrom (Cr), Chromoxid, Chromnitrid und Chromoxynitrid enthält.
  13. Verfahren nach irgendeinem der vorstehenden Ansprüche 10 bis 12, wobei ein Verhältnis des DI-Wassers zur SC1-Lösung 1:1 beträgt.
  14. Verfahren nach irgendeinem der vorstehenden Ansprüche 10 bis 13, ferner umfassend das Aufbringen eines zweiten Gemischs auf das Fotomaskensubstrat zwischen dem Aufbringen des ersten Gemisches und dem Anwenden des DI-Wassers, wobei das zweite Gemisch DI-Wasser und H2 enthält.
  15. Verfahren nach irgendeinem der vorstehenden Ansprüche 10 bis 14, wobei das Aufbringen des ersten Gemischs ferner eine Megaschallbewegung umfasst, und eine Frequenz der Megaschallbewegung zwischen ungefähr 1 MHz und ungefähr 10 MHz beträgt.
  16. Verfahren nach irgendeinem der vorstehenden Ansprüche 10 bis 15, wobei eine Temperatur des ersten Gemischs zwischen ungefähr 20 °C und 40 °C beträgt.
  17. Verfahren zum Reinigen eines Substrats, umfassend: Aufnehmen eines Halbleitersubstrats aufweisend eine Fläche und eine Mehrzahl von Strukturen, welche auf der Fläche angeordnet sind, wobei das Halbleitersubstrat eine Mehrzahl leitfähiger Nanopartikel angeordnet über der Fläche des Halbleitersubstrats aufweist; Aufbringen eines ersten Gemischs, welches eine SC1-Lösung, deionisiertes Wasser (DI-Wasser) und Ozon (O3) enthält, auf das Halbleitersubstrat, um die leitfähigen Nanopartikel zu entfernen; und Anwenden von DI-Wasser zum Abspülen des Halbleitersubstrats, wobei eine Entfernungsrate der leitfähigen Nanopartikel größer als ungefähr 90 % ist.
  18. Verfahren nach Anspruch 17, wobei die Strukturen isolierende Materialien aufweisen.
  19. Verfahren nach Anspruch 18, wobei eine Dauer des Aufbringens des ersten Gemisches kürzer als ungefähr 10 Minuten ist.
  20. Verfahren nach irgendeinem der vorstehenden Ansprüche 17 bis 19, wobei ein Verhältnis des DI-Wassers zur SC1-Lösung 1:1 beträgt.
DE102020114854.2A 2019-09-27 2020-06-04 Verfahren zum reinigen eines substrats Pending DE102020114854A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962907510P 2019-09-27 2019-09-27
US62/907,510 2019-09-27
US16/828,743 2020-03-24
US16/828,743 US11440060B2 (en) 2019-09-27 2020-03-24 Method for cleaning substrate

Publications (1)

Publication Number Publication Date
DE102020114854A1 true DE102020114854A1 (de) 2021-04-01

Family

ID=74873012

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020114854.2A Pending DE102020114854A1 (de) 2019-09-27 2020-06-04 Verfahren zum reinigen eines substrats

Country Status (4)

Country Link
US (2) US11691187B2 (de)
CN (1) CN112570356B (de)
DE (1) DE102020114854A1 (de)
TW (1) TWI748656B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102402742B1 (ko) * 2021-04-30 2022-05-26 에스케이씨솔믹스 주식회사 포토마스크 블랭크 및 이를 이용한 포토마스크

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5942356A (en) * 1996-03-30 1999-08-24 Hoya Corporation Phase shift mask and phase shift mask blank
US6726848B2 (en) * 2001-12-07 2004-04-27 Scp Global Technologies, Inc. Apparatus and method for single substrate processing
TWI223342B (en) * 2003-06-03 2004-11-01 Macronix Int Co Ltd Method for clean residues on an upper surface of a substrate
US20070068558A1 (en) * 2005-09-06 2007-03-29 Applied Materials, Inc. Apparatus and methods for mask cleaning
US20070093406A1 (en) * 2005-10-24 2007-04-26 Omoregie Henryson Novel cleaning process for masks and mask blanks
JP5019370B2 (ja) * 2007-07-12 2012-09-05 ルネサスエレクトロニクス株式会社 基板の洗浄方法および洗浄装置
US20100258142A1 (en) * 2009-04-14 2010-10-14 Mark Naoshi Kawaguchi Apparatus and method for using a viscoelastic cleaning material to remove particles on a substrate
US10005990B2 (en) * 2013-02-01 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning method for semiconductor device fabrication
US9739913B2 (en) 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
KR102359795B1 (ko) * 2015-05-20 2022-02-08 에이씨엠 리서치 (상하이) 인코포레이티드 반도체 웨이퍼를 세정하는 방법 및 장치
US9885952B2 (en) * 2015-07-29 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of EUV mask cleaning
US9740094B2 (en) * 2015-08-21 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Damage prevention on EUV mask
WO2017164186A1 (ja) * 2016-03-25 2017-09-28 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP6894264B2 (ja) * 2016-03-25 2021-06-30 株式会社Screenホールディングス 基板処理方法および基板処理装置
KR101972212B1 (ko) 2017-04-27 2019-04-25 한양대학교 에리카산학협력단 Euv 마스크 세정 용액 및 그 세정 방법

Also Published As

Publication number Publication date
CN112570356A (zh) 2021-03-30
US20220362814A1 (en) 2022-11-17
US20230278077A1 (en) 2023-09-07
TWI748656B (zh) 2021-12-01
US11691187B2 (en) 2023-07-04
CN112570356B (zh) 2023-07-25
TW202113055A (zh) 2021-04-01

Similar Documents

Publication Publication Date Title
DE102016114882B4 (de) Extrem-Ultraviolett-Fotomaske mit hoher Beständigkeit und Verfahren zu deren Herstellung
DE112018005569B4 (de) Verfahren zum bilden eines dünnschichtstapels aus strukturierungsmaterial mit metallhaltiger deckschicht für erhöhte empfindlichkeit in der extrem-ultraviolett- (euv-) lithografie
DE19844443A1 (de) Verfahren und Vorrichtung zur Reinigung einer Fotomaske
DE102005029499A1 (de) Lithographische Maske und Herstellungsverfahren hierfür
DE102015116964B4 (de) Verfahren zur Lithografie-Strukturierung
DE102007028800A1 (de) Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske
DE102021114398A1 (de) Euv-maskenabsorber mit tantal-basierter legierung
US4377734A (en) Method for forming patterns by plasma etching
DE112007001722T5 (de) Verfahren zum Entfernen von Fremdmaterialien von einer Substratoberfläche
US20230278077A1 (en) Method for cleaning substrate
DE112004002199B4 (de) Verfahren zur Herstellung einer Extrem-Ultraviolettstrahlung reflektierenden Maske unter Verwendung von Rasterkraftmikroskop-Lithographie
DE102020102450B4 (de) EUV-Fotomasken und Herstellungsverfahren von diesen
DE102020103552A1 (de) Euv-masken zur vorbeugung von kohlenstoffverunreinigung
DE10235255B4 (de) Reflektierender Spiegel zur lithographischen Belichtung und Herstellungsverfahren
US11440060B2 (en) Method for cleaning substrate
JP2776583B2 (ja) 半導体基板の処理液及び処理方法
DE102020129846A1 (de) Euv-fotomasken und herstellungsverfahren dafür
DE602005004175T2 (de) Reflektierende lithographiemaske und verfahren zu deren herstellung
DE102019124781A1 (de) Verfahren zum herstellen und behandeln einer fotomaske
DE102022122378A1 (de) Euv-maske mit abdeckungsschicht
DE2852134A1 (de) Lithografische maske
DE102023111715B3 (de) Verfahren und Trägersubstrat zur Herstellung eines Bauelementes
KR20130031801A (ko) 전사용 마스크의 제조 방법
DE102022119429A1 (de) Verfahren zum Reinigen eines Substrats für eine Rohmaske, Substrat für eine Rohmaske und eine das Substrat umfassende Rohmaske
DE102020114143B4 (de) Verfahren zur defektkontrolle

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication