DE102020129846A1 - Euv-fotomasken und herstellungsverfahren dafür - Google Patents

Euv-fotomasken und herstellungsverfahren dafür Download PDF

Info

Publication number
DE102020129846A1
DE102020129846A1 DE102020129846.3A DE102020129846A DE102020129846A1 DE 102020129846 A1 DE102020129846 A1 DE 102020129846A1 DE 102020129846 A DE102020129846 A DE 102020129846A DE 102020129846 A1 DE102020129846 A1 DE 102020129846A1
Authority
DE
Germany
Prior art keywords
layer
hard mask
absorber
reflective
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020129846.3A
Other languages
English (en)
Inventor
Hsin-Chang Lee
Chia-Jen Chen
Pei-Cheng Hsu
Ta-Cheng Lien
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020129846A1 publication Critical patent/DE102020129846A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/56Organic absorbers, e.g. of photo-resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)

Abstract

In einem Verfahren zum Herstellen einer reflektierenden Maske wird eine Fotoresistschicht über einem Maskenrohling ausgebildet. Der Maskenrohling weist ein Substrat, eine reflektierende Mehrfachschicht auf dem Substrat, eine Deckschicht auf der reflektierenden Mehrfachschicht, eine Absorberschicht auf der Deckschicht und eine Hartmaskenschicht auf, und die Absorberschicht ist aus Cr, CrO oder CrON hergestellt. Die Fotoresistschicht wird strukturiert, die Hartmaskenschicht wird unter Verwendung der strukturierten Fotoresistschicht strukturiert, die Absorberschicht wird unter Verwendung der strukturierten Hartmaskenschicht strukturiert, und ein zusätzliches Element wird in die strukturierte Absorberschicht eingebracht, um eine umgewandelte Absorberschicht auszubilden.

Description

  • VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/045,444 , eingereicht am 29. Juni 2020, deren gesamter Inhalt durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • HINTERGRUND
  • Fotolithografievorgänge gehören zu den entscheidenden Vorgängen bei der Halbleiterfertigung. Zu Fotolithografiemethoden zählen Ultraviolett-Lithografie, Tief-Ultraviolett-Lithografie und Extrem-Ultraviolett-Lithografie (EUVL). Die Fotomaske ist eine wichtige Komponente bei Fotolithografievorgängen. Es ist entscheidend, EUV-Fotomasken, die einen hohen Kontrast aufweisen, mit einem Teil mit hohem Reflexionsvermögen und einem Teil mit hoher Absorption herzustellen.
  • Figurenliste
  • Die vorliegende Offenbarung lässt sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Figuren verstehen. Es wird betont, dass gemäß der branchenüblichen Praxis verschiedene Elemente nicht maßstabsgetreu dargestellt sind und nur Zwecken der Veranschaulichung dienen. Tatsächlich können die Abmessungen der verschiedenen Elemente zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1A, 1B, 1C, 1D und 1E zeigen EUV-Fotomaskenrohlinge gemäß Ausführungsformen der vorliegenden Offenbarung.
    • 2A, 2B, 2C, 2D, 2E und 2F stellen schematisch ein Verfahren zum Herstellen einer EUV-Fotomaske gemäß einer Ausführungsform der vorliegenden Offenbarung dar.
    • 3A, 3B, 3C, 3D und 3E stellen schematisch ein Verfahren zum Herstellen einer EUV-Fotomaske gemäß einer Ausführungsform der vorliegenden Offenbarung dar.
    • 4 zeigt eine Querschnittansicht einer EUV-Fotomaske gemäß Ausführungsformen der vorliegenden Offenbarung.
    • 5A, 5B und 5C zeigen Querschnittansichten einer Mehrschichtenstruktur einer Absorberschicht gemäß einer anderen Ausführungsform der vorliegenden Offenbarung.
    • 6 zeigt eine Querschnittansicht einer EUV-Fotomaske gemäß Ausführungsformen der vorliegenden Offenbarung.
    • 7 zeigt ein Flussdiagramm der Herstellung eines Maskenrohlings für eine EUV-Fotomaske gemäß Ausführungsformen der vorliegenden Offenbarung.
    • 8A zeigt ein Flussdiagramm eines Verfahrens zum Herstellen einer Halbleitervorrichtung, und 8B, 8C, 8D und 8E zeigen einen sequenziellen Herstellungsvorgang eines Verfahrens zum Herstellen einer Halbleitervorrichtung gemäß Ausführungsformen der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Es versteht sich, dass die nachstehende Offenbarung viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale der Erfindung bereitstellt. Nachstehend werden konkrete Ausführungsformen oder Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und nicht als einschränkend zu verstehen. Zum Beispiel sind die Abmessungen von Elementen nicht auf den offenbarten Bereich oder die offenbarten Werte beschränkt, sondern können von Prozessbedingungen und/oder angestrebten Eigenschaften der Vorrichtung abhängig sein. Darüber hinaus kann in der nachstehenden Beschreibung die Ausbildung eines ersten Elements über oder auf einem zweiten Element Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element ausgebildet sein können, derart, dass das erste und das zweite Element möglicherweise nicht in direktem Kontakt sind. Der Einfachheit und Übersichtlichkeit halber können verschiedene Elemente beliebig in unterschiedlichen Maßstäben dargestellt sein.
  • Ferner können in diesem Dokument räumlich relative Begriffe wie „darunter“, „unter“, „untere“, „über“, „obere“ und dergleichen zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en), wie in den Figuren dargestellt, zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Ausrichtung andere Ausrichtungen der Vorrichtung bei der Verwendung oder beim Betrieb mit einschließen. Die Vorrichtung kann auf eine andere Weise ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen), und die in diesem Dokument verwendeten räumlich relativen Bezeichnungen können desgleichen dementsprechend ausgelegt werden. Darüber hinaus kann der Begriff „hergestellt aus“ entweder „umfassend“ oder „bestehend aus“ bedeuten. In der vorliegenden Offenbarung bedeutet eine Formulierung „eines von A, B und C“ „A, B und/oder C“ (A, B, C; A und B; A und C; B und C; oder A, B und C) und bedeutet nicht ein Element von A, ein Element von B und ein Element von C, sofern nichts anderes beschrieben ist.
  • Ausführungsformen der vorliegenden Offenbarung stellen ein Verfahren zum Herstellen einer EUV-Fotomaske bereit. Insbesondere stellt die vorliegende Offenbarung Methoden bereit, um Schäden an einer leitfähigen Rückseitenschicht einer EUV-Fotomaske zu verhindern oder zu unterdrücken.
  • Die EUV-Lithografie (EUVL) benutzt Scanner, die Licht im extremen Ultraviolettbereich (EUV-Bereich) mit einer Wellenlänge von etwa 1 nm bis etwa 100 nm, beispielsweise 13,5 nm, verwenden. Die Maske ist eine entscheidende Komponente eines EUVL-Systems. Da die optischen Werkstoffe nicht für EUV-Strahlung durchlässig sind, sind EUV-Fotomasken reflektierende Masken. Schaltungsstrukturen sind in einer Absorberschicht ausgebildet, die über der reflektierenden Struktur angeordnet ist. Der Absorber weist niedriges EUV-Reflexionsvermögen, beispielsweise von kleiner als 3-5%, auf.
  • Die vorliegende Offenbarung stellt eine EUV-reflektierende Fotomaske mit einer schwach reflektierenden (hoch absorbierenden) Absorberstruktur bereit.
  • 1A und 1B zeigen einen EUV-reflektierenden Fotomaskenrohling gemäß einer Ausführungsform der vorliegenden Offenbarung. 1A ist eine Draufsicht (von oben gesehen), und 1B ist eine Querschnittansicht entlang der X-Richtung.
  • Bei manchen Ausführungsformen wird die EUV-Fotomaske mit Schaltungsstrukturen aus einem EUV-Fotomaskenrohling 5 hergestellt. Der EUV-Fotomaskenrohling 5 weist ein Substrat 10, einen mehrschichtigen Mo/Si-Stapel 15 aus mehreren abwechselnden Silizium- und Molybdän-Schichten, eine Deckschicht 20, eine Schutzschicht 22, eine Absorberschicht 25, eine erste Hartmaskenschicht 30 und eine zweite Hartmaskenschicht 32 auf. Ferner ist, wie in 1B dargestellt, an der Rückseite des Substrats 10 eine leitfähige Rückseitenschicht 45 ausgebildet. Bei manchen Ausführungsformen ist, wie in 1B dargestellt, an der oberen Oberfläche der Absorberschicht 25 eine Oxidschicht 27 ausgebildet. Bei anderen Ausführungsformen ist, wie in 1D dargestellt, an der oberen Oberfläche der Absorberschicht 25 keine Oxidschicht ausgebildet.
  • Das Substrat 10 ist bei manchen Ausführungsformen aus einem Werkstoff mit geringer Wärmedehnung hergestellt. Bei manchen Ausführungsformen ist das Substrat aus einem Glas oder Quarz mit geringer Wärmedehnung, beispielsweise aus geschmolzenem Siliziumdioxid oder geschmolzenem Quarz. Bei manchen Ausführungsformen lässt das Substrat aus Glas mit geringer Wärmedehnung Licht im sichtbaren Wellenlängenbereich, einen Teil der Infrarotwellenlängen nahe dem sichtbaren Spektrum (nahinfrarot) und einen Teil der Ultraviolettwellenlängen durch. Bei manchen Ausführungsformen absorbiert das Substrat aus Glas mit geringer Wärmedehnung Extrem-Ultraviolett-Wellenlängen und Tief-Ultraviolett-Wellenlängen nahe dem extremen Ultraviolett. Bei manchen Ausführungsformen ist die Größe des Substrats 10 152 mm × 152 mm, bei einer Dicke von etwa 20 mm. Bei anderen Ausführungsformen ist die Größe des Substrats 10 kleiner als 152 mm x 152 mm und größer gleich 148 mm × 148 mm. Die Form des Substrats 10 ist quadratisch oder rechteckig.
  • Bei manchen Ausführungsformen weisen die funktionalen Schichten über dem Substrat (der mehrschichtige Mo/Si-Stapel 15, die Deckschicht 20, die Schutzschicht 22, die Absorberschicht 25, die erste Hartmaskenschicht 30 und die zweite Hartmaskenschicht 32) eine geringere Breite als das Substrat 10 auf. Bei manchen Ausführungsformen liegt die Größe der funktionalen Schichten in einem Bereich von etwa 138 mm × 138 mm bis 142 mm × 142 mm. Die Form der funktionalen Schichten ist bei manchen Ausführungsformen in der Draufsicht gesehen quadratisch oder rechteckig.
  • Bei anderen Ausführungsformen weisen, wie in 1C dargestellt, die Schutzschicht 22, die Absorberschicht 25, die erste Hartmaskenschicht 30 und die zweite Hartmaskenschicht 32 eine kleinere Größe im Bereich von etwa 138 mm × 138 mm bis 142 mm × 142 mm als das Substrat 10, der mehrschichtige Mo/Si-Stapel 15 und die Deckschicht 20 auf. Die kleinere Größe einer oder mehrerer der funktionalen Schichten kann durch Verwendung einer rahmenförmigen Abdeckung, die eine Öffnung in einem Bereich von etwa 138 mm × 138 mm bis 142 mm × 142 mm aufweist, beim Ausbilden der jeweiligen Schichten, beispielsweise durch Sputtern, ausgebildet werden. Bei anderen Ausführungsformen weisen alle Schichten über dem Substrat 10 dieselbe Größe wie das Substrat 10 auf.
  • Bei manchen Ausführungsformen weist der mehrschichtige Mo/Si-Stapel 15 von etwa 30 abwechselnden Silizium- und Molybdänschichten bis etwa 60 abwechselnde Silizium- und Molybdänschichten auf. Bei bestimmten Ausführungsformen sind von je etwa 40 bis etwa 50 abwechselnde Schichten aus Silizium und Molybdän ausgebildet. Bei manchen Ausführungsformen ist das Reflexionsvermögen höher als etwas 70% für relevante Wellenlängen, z.B. 13,5 nm. Bei manchen Ausführungsformen werden die Silizium- und Molybdänschichten durch chemische Gasphasenabscheidung (CVD), plasmaunterstützte CVD (PECVD), Atomlagenabscheidung (ALD), physikalische Gasphasenabscheidung (PVD) (Sputtern) oder jedwedes andere geeignete Filmbildungsverfahren ausgebildet. Jede Schicht aus Silizium bzw. Molybdän ist etwa 2 nm bis etwa 10 nm dick. Bei manchen Ausführungsformen weisen die Schichten aus Silizium bzw. Molybdän in etwa dieselbe Dicke auf. Bei anderen Ausführungsformen weisen die Schichten aus Silizium bzw. Molybdän unterschiedliche Dicken auf. Bei manchen Ausführungsformen beträgt die Dicke jeder Siliziumschicht etwa 4 nm, und die Dicke jeder Molybdänschicht beträgt etwa 3 nm.
  • Bei anderen Ausführungsformen weist der mehrschichtige Stapel 15 einander abwechselnde Molybdänschichten und Berylliumschichten auf. Bei manchen Ausführungsformen liegt die Anzahl von Schichten in dem mehrschichtigen Stapel 15 in einem Bereich von etwa 20 bis etwa 100, wenngleich jedwede Anzahl von Schichten zulässig ist, solange ausreichendes Reflexionsvermögen zum Abbilden des Zielsubstrats erhalten bleibt. Bei manchen Ausführungsformen ist das Reflexionsvermögen höher als etwa 70% für relevante Wellenlängen, z.B. 13,5 nm. Bei manchen Ausführungsformen weist der mehrschichtige Stapel 15 etwa 30 bis etwa 60 abwechselnde Schichten aus Mo bzw. Be auf. Bei anderen Ausführungsformen der vorliegenden Offenbarung weist der mehrschichtige Stapel 15 je etwa 40 bis etwa 50 abwechselnde Schichten aus Mo bzw. Be auf.
  • Die Deckschicht 20 ist über der Mo/Si-Mehrfachschicht 15 angeordnet, um bei manchen Ausführungsformen das Oxidieren des mehrschichtigen Stapels 15 zu verhindern. Bei manchen Ausführungsformen ist die Deckschicht 20 aus Ruthenium, einer Rutheniumlegierung (z.B. RuNb, RuZr, RuZrN, RuRh, RuNbN, RuRhN, RuV oder RuVN) oder einem Oxid auf Rutheniumbasis (z.B. RuO2, RuNbO, RiVO oder RuON) hergestellt und weist eine Dicke von etwa 2 nm bis etwa 10 nm auf. Bei bestimmten Ausführungsformen liegt die Dicke der Deckschicht 20 im Bereich von etwa 2 nm bis etwa 5 nm. Bei manchen Ausführungsformen weist die Deckschicht 20 eine Dicke von 3,5 nm ± 10% auf. Bei manchen Ausführungsformen wird die Deckschicht 20 durch chemische Gasphasenabscheidung, plasmaunterstützte chemische Gasphasenabscheidung, Atomlagenabscheidung, physikalische Gasphasenabscheidung (z.B., Sputtern) oder jedwedes andere geeignete Filmbildungsverfahren ausgebildet. Bei anderen Ausführungsformen wird eine Si-Schicht als Deckschicht 20 verwendet.
  • Bei manchen Ausführungsformen ist zwischen der Deckschicht 20 und der Absorberschicht 25 eine Schutzschicht (Zwischenschicht) 22 ausgebildet. Die Schutzschicht 22 dient bei manchen Ausführungsformen zum Schutz der Deckschicht 20. Bei manchen Ausführungsformen enthält die Schutzschicht 22: einen Ta-basierten Werkstoff wie etwa TaB, TaO, TaBO oder TaBN; Silizium; eine Silizium-basierte Verbindung (z.B. Siliziumoxid, SiN, SiON oder MoSi); Ruthenium; oder eine Ruthenium-basierte Verbindung (Ru oder RuB). Bei manchen Ausführungsformen weist die Schutzschicht 22 eine Dicke von etwa 2 nm bis etwa 20 nm auf. Bei manchen Ausführungsformen wird die Schutzschicht 22 durch chemische Gasphasenabscheidung, plasmaunterstützte chemische Gasphasenabscheidung, Atomlagenabscheidung, physikalische Gasphasenabscheidung oder jedwedes andere geeignete Filmbildungsverfahren ausgebildet. Bei manchen Ausführungsformen dient die Schutzschicht 22 als Ätzstoppschicht während eines Strukturierungsvorgangs der Absorberschicht.
  • Bei anderen Ausführungsformen ist die Zwischenschicht 22 eine fotokatalytische Schicht, welche auf der Fotomaske gebildete Kohlenwasserstoffreste mit EUV-Strahlung zu CO2 und/oder H2O katalysieren kann. Somit erfolgt eine In-Situ-Selbstreinigung der Maskenoberfläche. Bei manchen Ausführungsformen werden in dem EUV-Scannersystem Sauerstoff- und Wasserstoffgase in die EUV-Kammer eingespeist, um den Kammerdruck beizubehalten (z.B. auf etwa 2 Pa). Das Kammerhintergrundgas kann eine Sauerstoffquelle sein. Zusätzlich zu der fotokatalytischen Funktion ist die fotokatalytische Schicht dazu ausgebildet, ausreichende Dauerhaftigkeit und Widerstandsfähigkeit gegenüber verschiedenen Chemikalien und verschiedenen chemikalischen Prozessen, beispielsweise Reinigen und Ätzen, aufzuweisen. Ozonisiertes Wasser, das verwendet wird, um die EUV-reflektierende Maske in einem nachfolgenden Prozess herzustellen, kann die aus Ru hergestellte Deckschicht 20 beschädigen und führt zu einer erheblichen Reduktion des EUV-Reflexionsvermögens. Ferner wird nach dem Oxidieren von Ru Ru-Oxid problemlos mit einem Ätzmittel, beispielsweise C12- oder CF4-Gas, weggeätzt. Bei manchen Ausführungsformen enthält die fotokatalytische Schicht eines oder mehrere von Titandioxid (TiO2), Zinnoxid (SnO), Zinkoxid (ZnO) und Kadmiumsulfid (CdS). Die Dicke der fotokatalytischen Schicht 22 liegt bei manchen Ausführungsformen in einem Bereich von etwa 2 nm bis etwa 10 nm und bei anderen Ausführungsformen in einem Bereich von etwa 3 nm bis etwa 7 nm. Wenn die Dicke zu gering ist, kann die fotokatalytische Schicht möglicherweise nicht hinlänglich als Ätzstoppschicht dienen. Wenn die Dicke zu groß ist, kann die fotokatalytische Schicht möglicherweise die EUV-Strahlung absorbieren.
  • Die Absorberschicht 25 ist über der Zwischenschicht (Schutzschicht) 22 angeordnet. Bei Ausführungsformen der vorliegenden Offenbarung enthält die Absorberschicht 25 einen Cr-basierten Werkstoff wie etwa Cr, CrN, CrON und/oder CrCON. Im Fall von CrON oder CrCON liegt bei manchen Ausführungsformen eine Stickstoffmenge in einem Bereich von etwa 10 Atom-% bis etwa 30 Atom-%. Bei manchen Ausführungsformen weist die Absorberschicht 25 eine mehrschichtige Struktur aus Cr, CrN, CrON und/oder CrCON auf.
  • Bei bestimmten Ausführungsformen wird eine CrN-Schicht als die Absorberschicht 25 verwendet. Wenn die CrN-Schicht verwendet wird, liegt bei manchen Ausführungsformen die Stickstoffmenge in einem Bereich von etwa 16 Atom% bis etwa 40 Atom-%. Wenn die Stickstoffmenge in einem Bereich von etwa 16 Atom% bis etwa 30 Atom-% liegt, weist die CrN-Absorberschicht eine Cr- und eine Cr2N-Phase auf. Wenn die Stickstoffmenge in einem Bereich von etwa 30 Atom-% bis etwa 33 Atom-% liegt, besteht die CrN-Absorberschicht im Wesentlichen aus einer Cr2N-Phase (z.B. mehr als 95 Vol.-%). Wenn die Stickstoffmenge in einem Bereich von etwa 33 Atom-% bis etwa 40 Atom-% liegt, weist die CrN-Absorberschicht eine Cr2N- und eine CrN-Phase auf. Die Phasen können mittels Elektronenenergieverlustspektroskopie (EELS), Transmissionselektronenmikroskop (TEM) und/oder Röntgendiffraktions(XRD)-Analyse betrachtet werden. Bei manchen Ausführungsformen bilden die beiden Phasen eine Feststofflösung.
  • Bei manchen Ausführungsformen ist eine Stickstoffkonzentration in der Absorberschicht 25 nicht gleichmäßig. Bei manchen Ausführungsformen ist die Stickstoffkonzentration in der Mitte oder dem Zentrum der Absorberschicht 25 höher als an einer Oberflächenregion der Absorberschicht 25. Bei manchen Ausführungsformen enthält die CrN-Absorberschicht einen oder mehrere Fremdstoffe, die nicht Cr und N sind, in einer Menge von weniger als etwa 5 Atom-%. Bei manchen Ausführungsformen enthält die Absorberschicht 25 ferner ein oder mehrere Elemente von Co, Te, Hf und/oder Ni.
  • Bei manchen Ausführungsformen liegt die Dicke der Absorberschicht 25 in einem Bereich von etwa 20 nm bis etwa 50 nm, und bei anderen Ausführungsformen liegt sie in einem Bereich von 35 nm bis etwa 46 nm.
  • Bei manchen Ausführungsformen ist eine Antireflexschicht (nicht dargestellt) optional über der Absorberschicht 25 angeordnet. Die Antireflexschicht ist bei manchen Ausführungsformen aus einem Siliziumoxid hergestellt und weist eine Dicke von etwa 2 nm bis etwa 10 nm auf. Bei anderen Ausführungsformen wird eine TaBO-Schicht mit einer Dicke im Bereich von etwa 12 nm bis etwa 18 nm als Antireflexschicht verwendet. Bei manchen Ausführungsformen liegt die Dicke der Antireflexschicht im Bereich von etwa 3 nm bis etwa 6 nm. Bei manchen Ausführungsformen wird die Antireflexschicht durch chemische Gasphasenabscheidung, plasmaunterstützte chemische Gasphasenabscheidung, Atomlagenabscheidung, physikalische Gasphasenabscheidung oder jedwedes andere geeignete Filmbildungsverfahren ausgebildet.
  • Die Oxidschicht 27 enthält bei manchen Ausführungsformen eines oder mehrere von Cr2O3 oder CrO2. Bei manchen Ausführungsformen wird die Oxidschicht 27 während des Herstellungsvorgangs für einen Maskenrohling ausgebildet. Bei manchen Ausführungsformen liegt die Dicke der Oxidschicht 27 in einem Bereich von etwa 1 nm bis etwa 3 nm. Bei manchen Ausführungsformen wird, wie in 1D dargestellt ist, keine Oxidschicht ausgebildet.
  • Bei manchen Ausführungsformen ist die erste Hartmaskenschicht 30 über der Oxidschicht 27 angeordnet. Bei manchen Ausführungsformen ist die erste Hartmaskenschicht 30 über der Antireflexschicht ausgebildet. Bei manchen Ausführungsformen ist die erste Hartmaskenschicht 30 aus einem Ta-basierten Werkstoff wie etwa TaB, TaO, TaBO oder TaBN hergestellt. Bei anderen Ausführungsformen ist die Hartmaskenschicht 30 aus Silizium, einer Silizium-basierten Verbindung (z.B. Siliziumoxid, SiN, SiON oder MoSi), Ruthenium oder einer Ruthenium-basierten Verbindung (Ru oder RuB) hergestellt. Bei manchen Ausführungsformen ist die erste Hartmaskenschicht 30 aus demselben oder einem ähnlichen Werkstoff wie die Schutzschicht 22 hergestellt. Die erste Hartmaskenschicht 30 weist bei manchen Ausführungsformen eine Dicke von etwa 2 nm bis etwa 20 nm auf. Bei manchen Ausführungsformen wird die erste Hartmaskenschicht 30 durch chemische Gasphasenabscheidung, plasmaunterstützte chemische Gasphasenabscheidung, Atomlagenabscheidung, physikalische Gasphasenabscheidung oder jedwedes andere geeignete Filmbildungsverfahren ausgebildet.
  • Bei manchen Ausführungsformen ist die zweite Hartmaskenschicht 32 über der ersten Hartmaskenschicht 30 angeordnet. Bei manchen Ausführungsformen ist die zweite Hartmaskenschicht 32 aus einem oder mehreren von GaN, CrON, CrCON, Siliziumoxid, SiCO und/oder Yttriumoxid hergestellt. Die zweite Hartmaskenschicht 32 weist bei manchen Ausführungsformen eine Dicke von etwa 2 nm bis etwa 20 nm auf. Die zweite Hartmaskenschicht 32 ist bei manchen Ausführungsformen kleiner oder größer als die Dicke der ersten Hartmaskenschicht. Bei manchen Ausführungsformen wird die zweite Hartmaskenschicht 32 durch chemische Gasphasenabscheidung, plasmaunterstützte chemische Gasphasenabscheidung, Atomlagenabscheidung, physikalische Gasphasenabscheidung oder jedwedes andere geeignete Filmbildungsverfahren ausgebildet.
  • Bei manchen Ausführungsformen ist die zweite Hartmaskenschicht 32 aus einem Werkstoff hergestellt, der eine höhere Ätzrate für ein Chlor und Sauerstoff enthaltendes Plasma aufweist als ein Werkstoff der ersten Hartmaskenschicht 30. Bei manchen Ausführungsformen ist die erste Hartmaskenschicht 30 aus einem Werkstoff hergestellt, der eine höhere Ätzrate für ein Fluor enthaltendes Plasma aufweist als ein Werkstoff der zweiten Hartmaskenschicht 32.
  • Bei manchen Ausführungsformen weisen eine oder mehrere der funktionalen Schichten über dem Substrat (der mehrschichtige Mo/Si-Stapel 15, die Deckschicht 20, die Schutzschicht 22, die Absorberschicht 25, die Oxidschicht 27, die erste Hartmaskenschicht 30 und die zweite Hartmaskenschicht 32) eine polykristalline Struktur (z.B. nanokristalline Struktur) oder eine amorphe Struktur auf.
  • Bei manchen Ausführungsformen ist eine leitfähige Rückseitenschicht 45 auf einer zweiten Hauptoberfläche des Substrats 10 angeordnet, die der ersten Hauptoberfläche des Substrats 10, auf welcher die Mo/Si-Mehrfachschicht 15 ausgebildet ist, entgegengesetzt ist. Bei manchen Ausführungsformen ist die leitfähige Rückseitenschicht 45 aus TaB (Tantalborid) oder einem anderen Ta-basierten leitfähigen Werkstoff hergestellt. Bei manchen Ausführungsformen ist das Tantalborid kristallin. Zu dem kristallinen Tantalborid zählen TaB, Ta5B6, Ta3B4 und TaB2. Bei anderen Ausführungsformen ist das Tantalborid polykristallin oder amorph. Bei anderen Ausführungsformen ist die leitfähige Rückseitenschicht 45 aus einem Cr-basierten leitfähigen Werkstoff (CrN oder CrON) hergestellt. Bei manchen Ausführungsformen ist der Schichtwiderstand der leitfähigen Rückseitenschicht 45 kleiner gleich 20 12 /□. Bei bestimmten Ausführungsformen ist der Schichtwiderstand der leitfähigen Rückseitenschicht 45 größer gleich 0,1 Ω /□. Bei manchen Ausführungsformen ist die Oberflächenrauheit Ra der leitfähigen Rückseitenschicht 45 kleiner gleich 0,25 nm. Bei bestimmten Ausführungsformen ist die Oberflächenrauheit Ra der leitfähigen Rückseitenschicht 45 größer gleich 0,05 nm. Ferner ist bei manchen Ausführungsformen die Ebenheit der leitfähigen Rückseitenschicht 45 kleiner gleich 50 nm (innerhalb der EUV-Fotomaske). Bei manchen Ausführungsformen ist die Ebenheit der leitfähigen Rückseitenschicht 45 größer als 1 nm. Eine Dicke der leitfähigen Rückseitenschicht 45 liegt bei manchen Ausführungsformen in einem Bereich von etwa 50 nm bis etwa 400 nm. Bei anderen Ausführungsformen weist die leitfähige Rückseitenschicht 45 eine Dicke von etwa 50 nm bis etwa 100 nm auf. Bei bestimmten Ausführungsformen liegt die Dicke in einem Bereich von etwa 65 nm bis etwa 75 nm. Bei manchen Ausführungsformen wird die leitfähige Rückseitenschicht 45 durch atmosphärische chemische Gasphasenabscheidung (CVD), Niederdruck-CVD, plasmaunterstützte CVD, laserunterstützte CVD, Atomlagenabscheidung (ALD), Molekularstrahlepitaxie (MBE), physikalische Gasphasenabscheidung einschließlich thermisches Verdampfen, Laserstrahlverdampfen, Elektronenstrahlverdampfen, ionenstrahlunterstütztes Verdampfen und Sputtern oder jedwedes andere geeignete Filmbildungsverfahren ausgebildet. In Fällen von CVD umfassen bei manchen Ausführungsformen die Quellgase TaCl5 und BCl3.
  • Bei manchen Ausführungsformen ist, wie in 1E dargestellt, zwischen dem Substrat 10 und dem mehrschichtigen Stapel 15 eine Substratschutzschicht 12 ausgebildet. Bei manchen Ausführungsformen ist die Substratschutzschicht 12 aus Ru oder einer Ru-Verbindung wie etwa RuO, RuNb, RuNbO, RuZr und RuZrO hergestellt. Bei manchen Ausführungsformen ist die Substratschutzschicht 12 aus demselben Werkstoff wie oder einem anderen Werkstoff als die Deckschicht 20 hergestellt. Die Dicke der Substratschutzschicht 12 liegt bei manchen Ausführungsformen in einem Bereich von etwa 2 nm bis etwa 10 nm.
  • 2A-2F und 3A-3E veranschaulichen schematisch ein Verfahren zum Herstellen einer EUV-Fotomaske zur Verwendung bei der Extrem-Ultraviolett-Lithografie (EUVL). Es versteht sich, dass für zusätzliche Ausführungsformen des Verfahrens vor, während und nach den in 2A-3E dargestellten Prozessen zusätzliche Vorgänge vorgesehen und manche der nachstehend beschriebenen Vorgänge ersetzt oder weggelassen werden können. Die Reihenfolge der Vorgänge/Prozesse kann austauschbar sein.
  • Bei der Herstellung einer EUV-Fotomaske wird, wie in 2A dargestellt, eine erste Fotoresistschicht 35 über der zweiten Hartmaskenschicht 32 des EUV-Fotomaskenrohlings ausgebildet, und die Fotoresistschicht 35 wird, wie in 2B dargestellt, selektiv mit aktinischer Strahlung EB belichtet. Ehe die erste Fotoresistschicht 35 ausgebildet wird, wird bei manchen Ausführungsformen der EUV-Fotomaskenrohling einer Kontrolle unterzogen. Die selektiv belichtete erste Fotoresistschicht 35 wird entwickelt, um, wie in 2C dargestellt, eine Struktur 40 in der ersten Fotoresistschicht 35 auszubilden. Bei manchen Ausführungsformen ist die aktinische Strahlung EB ein Elektronenstrahl oder ein Ionenstrahl. Bei manchen Ausführungsformen entspricht die Struktur 40 einer Struktur aus Halbleitervorrichtungsmerkmalen, für deren Ausbildung die EUV-Fotomaske in nachfolgenden Vorgängen verwendet wird. Bei manchen Ausführungsformen liegt die Dicke der ersten Fotoresistschicht auf der zweiten Hartmaskenschicht 32 in einem Bereich von etwa 500 nm bis etwa 1000 nm.
  • Als Nächstes wird die Struktur 40 in der ersten Fotoresistschicht 35 in die zweite Hartmaskenschicht 32 hinein erweitert, wodurch in der zweiten Hartmaskenschicht 32 eine Struktur 41 ausgebildet wird, die Abschnitte der ersten Hartmaskenschicht 30 freilegt, wie in 2D ersichtlich ist. Die Struktur 41, die in die zweite Hartmaskenschicht 30 hinein erweitert wird, wird, bei manchen Ausführungsformen, durch Ätzen mittels eines geeigneten Nass- oder Trockenätzmittels ausgebildet, das für die erste Hartmaskenschicht 30 selektiv ist. Bei manchen Ausführungsformen wird ein Plasma-Trockenätzvorgang unter Verwendung eines chlorhaltigen Gases (z.B. Cl2, HCl, BCI und CCl4) und eines sauerstoffhaltigen Gases (z.B. O2) verwendet, um die zweite Hartmaskenschicht 32 zu strukturieren. Bei manchen Ausführungsformen wird der Werkstoff der ersten Hartmaskenschicht 30 so ausgewählt, dass er einen höheren Ätzwiderstand (eine geringere Ätzrate) gegenüber dem Plasma-Trockenätzvorgang mittels Chlor und Sauerstoff aufweist und das Ätzen im Wesentlichen an der ersten Hartmaskenschicht 30 endet. Nachdem die Struktur 41 in der zweiten Hartmaskenschicht 32 ausgebildet wurde, wird die erste Fotoresistschicht 35 durch einen Fotoresist-Stripper entfernt, um die obere Oberfläche der zweiten Hartmaskenschicht 32 freizulegen, wie in 2E ersichtlich ist.
  • Als Nächstes wird die Struktur 41 in der zweiten Hartmaskenschicht 32 in die erste Hartmaskenschicht 30 hinein erweitert, wodurch Abschnitte der Oxidschicht 27 freigelegt werden, wie in 2F ersichtlich ist. Die Struktur 41, die in die erste Hartmaskenschicht 30 hinein erweitert wird, wird, bei manchen Ausführungsformen, durch Ätzen mittels eines geeigneten Nass- oder Trockenätzmittels ausgebildet, das für die Oxidschicht 27 selektiv ist. Bei manchen Ausführungsformen wird ein Plasma-Trockenätzvorgang unter Verwendung eines fluorhaltigen Gases (z.B. eines Fluorwasserstoffes (CF4, CHF3 usw.) und SF6) verwendet, um die erste Hartmaskenschicht 30 zu strukturieren. Bei manchen Ausführungsformen wird der Werkstoff der Absorberschicht 25 so ausgewählt, dass er einen höheren Ätzwiderstand (geringere Ätzrate) gegenüber dem Plasma-Trockenätzvorgang mittels Fluor aufweist und das Ätzen im Wesentlichen an der Oxidschicht 27 endet.
  • Dann wird die Struktur 41 in der ersten und der zweiten Hartmaskenschicht 30, 32 in die Absorberschicht 25 hinein erweitert, wodurch in der Absorberschicht 25 eine Struktur 42 ausgebildet wird, welche Abschnitte der Zwischenschicht 22 freilegt, wie aus 3A hervorgeht. Die Oxidschicht 27 und die Absorberschicht 25 werden mittels eines geeigneten Nass- oder Trockenätzmittels geätzt, das für die erste Hartmaskenschicht 30 und/oder die Zwischenschicht 22 selektiv ist. Bei manchen Ausführungsformen wird ein Plasma-Trockenätzvorgang unter Verwendung eines chlorhaltigen Gases (z.B. C12, HCl, BCI und CCl4) und eines sauerstoffhaltigen Gases (z.B. O2) verwendet, um die Absorberschicht 25 zu strukturieren. Bei manchen Ausführungsformen wird der Werkstoff der Zwischenschicht 22 so ausgewählt, dass er einen höheren Ätzwiderstand (eine geringere Ätzrate) gegenüber dem Plasma-Trockenätzvorgang mittels Chlor und Sauerstoff aufweist und das Ätzen im Wesentlichen an der Zwischenschicht 22 endet. Bei manchen Ausführungsformen wird, wie in 3A dargestellt, die zweite Hartmaskenschicht 32 während des Ätzens der Oxidschicht 27 und der Absorberschicht 25 entfernt. Insbesondere wird, wenn die zweite Hartmaskenschicht 32 aus einem Cr-basierten Werkstoff (z.B. CrON oder CrCON) hergestellt ist, die zweite Hartmaskenschicht 32 während des Ätzens der Oxidschicht 27 und der Absorberschicht 25 entfernt. Wenn die zweite Hartmaskenschicht 32 nach dem Ätzen der Absorberschicht 25 bestehen bleibt, wird bei manchen Ausführungsformen ein zusätzlicher Entfernungsvorgang der zweiten Hartmaskenschicht 32 durch geeignetes Nass- oder Trockenätzen durchgeführt.
  • Dann wird die erste Hartmaskenschicht 30 gemeinsam mit einem Teil der Absorberschicht 25 am unteren Ende der Strukturöffnungen entfernt, wie in 3B ersichtlich ist. Bei manchen Ausführungsformen ist das Ätzen Nassätzen und/oder Trockenätzen. Bei manchen Ausführungsformen wird ein Plasma-Trockenätzvorgang unter Verwendung eines fluorhaltigen Gases (z.B. eines Fluorwasserstoffes (CF4, CHF3 usw.) und SF6) verwendet, um die erste Hartmaskenschicht 30 und die Zwischenschicht 22 zu entfernen. Insbesondere wird, wenn die erste Hartmaskenschicht 30 aus demselben oder einem ähnlichen Werkstoff wie die Zwischenschicht 22 hergestellt ist, die erste Hartmaskenschicht 32 gemeinsam mit der Zwischenschicht 22 entfernt. Bei manchen Ausführungsformen wird der Werkstoff der Deckschicht 20 so ausgewählt, dass er einen höheren Ätzwiderstand (eine geringere Ätzrate) gegenüber dem Plasma-Trockenätzvorgang mittels Fluor aufweist und das Ätzen im Wesentlichen an der Deckschicht 20 endet.
  • Wie in 3C dargestellt ist, ist über der Absorberschicht 25 eine zweite Fotoresistschicht 50 ausgebildet, welche die Struktur 42 in der Absorberschicht 25 ausfüllt. Die zweite Fotoresistschicht 50 wird mit aktinischer Strahlung wie etwa einem Elektronenstrahl, einem Ionenstrahl oder UV-Strahlung selektiv belichtet. Die selektiv belichtete zweite Fotoresistschicht 50 wird entwickelt, um eine Struktur 55 in der zweiten Fotoresistschicht 50 auszubilden, wie in 3C dargestellt ist. Die Struktur 55 entspricht einem schwarzen Rand, welcher die Schaltungsstrukturen umgibt. Ein schwarzer Rand ist ein rahmenförmiger Bereich, der durch Entfernen jeder der Mehrfachschichten auf der EUV-Fotomaske in der Region um einen Schaltungsstrukturbereich geschaffen wird. Er wird geschaffen, um beim Drucken einer EUV-Fotomaske auf einen Wafer die Belichtung angrenzender Felder zu verhindern. Die Breite des schwarzen Randes liegt bei manchen Ausführungsformen in einem Bereich von etwa 1 mm bis etwa 5 mm.
  • Als Nächstes wird die Struktur 55 in der zweiten Fotoresistschicht 50 in die Oxidschicht 27, die Absorberschicht 25, die optionale Zwischenschicht 22, die Deckschicht 20 und die Mo/Si-Mehrfachschicht 15 hinein erweitert, wodurch eine Struktur 57 (siehe 3E) in der Oxidschicht 27, der Absorberschicht 25, der Zwischenschicht 22, der Deckschicht 20 und der Mo/Si-Mehrfachschicht 15 ausgebildet wird, welche Abschnitte des Substrats 10 freilegt, wie in 3D ersichtlich ist. Die Struktur 57 wird bei manchen Ausführungsformen durch Ätzen unter Verwendung eines oder mehrerer Nass- oder Trockenätzmittel ausgebildet, die für jede der geätzt werdenden Schichten selektiv sind. Bei manchen Ausführungsformen wird Plasma-Trockenätzen verwendet.
  • Dann wird die zweite Fotoresistschicht 50 mittels eines geeigneten Fotoresist-Strippers entfernt, um die obere Oberfläche der Oxidschicht 27 freizulegen, wie in 3E ersichtlich ist. Die schwarze Randstruktur 57 in der Oxidschicht 27, der Absorberschicht 25, der Zwischenschicht 22, der Deckschicht 20 und der Mo/Si-Mehrfachschicht 15 definiert bei manchen Ausführungsformen der Offenbarung einen schwarzen Rand der Fotomaske. Ferner durchläuft die Fotomaske einen Reinigungsvorgang, eine Kontrolle, und die Fotomaske wird erforderlichenfalls repariert, um eine fertige Fotomaske bereitzustellen.
  • 4 ist eine Querschnittansicht einer fertigen EUV-Fotomaske gemäß Ausführungsformen der vorliegenden Offenbarung. Bei manchen Ausführungsformen weist die EUV-Fotomaske mit Schaltungsstrukturen 42, wie sie in 4 dargestellt ist, ein Substrat 10, einen mehrschichtigen Mo/Si-Stapel 15 aus mehreren abwechselnden Schichten aus Silizium bzw. Molybdän, eine Deckschicht 20, eine strukturierte Absorberschicht 25 und eine strukturierte Oxidschicht 27 auf. Ferner ist eine schwarze Randstruktur 57 in der Oxidschicht 27, der Absorberschicht 25, der Zwischenschicht 22, der Deckschicht 20, und der Mo/Si-Mehrfachschicht 15 ausgebildet, und eine leitfähige Rückseitenschicht 45 ist auf der Rückseite des Substrats 10 ausgebildet. Bei manchen Ausführungsformen weist die strukturierte Absorberschicht 25 eine CrN-Schicht oder eine stickstoffreiche CrON- oder CrCON-Schicht mit einer Stickstoffmenge in einem Bereich von etwa 10 Atom-% bis etwa 30 Atom-% bei manchen Ausführungsformen auf.
  • 5A, 5B und 5C zeigen Querschnittansichten einer mehrschichtigen Struktur einer Absorberschicht gemäß einer anderen Ausführungsform der vorliegenden Offenbarung. Es versteht sich, dass für zusätzliche Ausführungsformen des Verfahrens zusätzliche Vorgänge vor, während und nach Prozessen, die durch 2A-3E dargestellt sind, vorgesehen und manche der nachstehend beschriebenen Vorgänge ersetzt oder weggelassen werden können. Die Reihenfolge der Vorgänge/Prozesse kann austauschbar sein. Werkstoffe, Ausgestaltungen, Prozesse und/oder Abmessungen, wie sie in Bezug auf die vorangehenden Ausführungsformen erläutert wurden, können bei den folgenden Ausführungsformen angewandt werden, und auf eine ausführliche Beschreibung derselben kann verzichtet werden. Die Ausführungsform von 5A, 5B und 5C ist für einen Maskenrohling, wie er in 1D dargestellt ist, bestimmt, wo keine Oxidschicht auf der Absorberschicht 25 ausgebildet ist. 5A zeigt eine Struktur nach der Hartmaskenschicht 30, die ähnlich 2F strukturiert ist. Das Ätzen der ersten Hartmaskenschicht 30 endet im Wesentlichen an der Absorberschicht 25.
  • Dann wird die Absorberschicht 25 durch Verwendung der strukturierten ersten und zweiten Hartmaskenschicht wie in 5B dargestellt strukturiert. Bei manchen Ausführungsformen wird, wie in 5B dargestellt, die zweite Hartmaskenschicht 32 während des Ätzens der Absorberschicht 25 entfernt. Bei manchen Ausführungsformen endet, wenn die Zwischenschicht 22 aus demselben Werkstoff oder einem ähnlichen Werkstoff wie die erste Hartmaskenschicht 30 hergestellt ist, das Ätzen im Wesentlichen an der Zwischenschicht 22. Dann wird, wie in 5C dargestellt, die erste Hartmaskenschicht 30 gemeinsam mit einem Teil der Zwischenschicht 22 an dem unteren Ende der Öffnungsstrukturen der Absorberschicht 25 entfernt.
  • 6 zeigt eine Querschnittansicht einer fertigen EUV-Fotomaske gemäß Ausführungsformen der vorliegenden Offenbarung. Bei manchen Ausführungsformen weist die EUV-Fotomaske mit Schaltungsstrukturen 42, wie sie in 6 dargestellt ist, ein Substrat 10, einen mehrschichtigen Mo/Si-Stapel 15 aus mehreren abwechselnden Schichten aus Silizium bzw. Molybdän, eine Deckschicht 20 und eine strukturierte Absorberschicht 25 auf. Ferner ist eine schwarze Randstruktur 57 in der Absorberschicht 25, der Deckschicht 20 und der Mo/Si-Mehrfachschicht 15 ausgebildet, und eine leitfähige Rückseitenschicht 45 ist auf der Rückseite des Substrats 10 ausgebildet. Bei manchen Ausführungsformen weist die strukturierte Absorberschicht 25 eine CrN-Schicht oder eine stickstoffreiche CrON- oder CrCON-Schicht mit einer Stickstoffmenge in einem Bereich von etwa 10 Atom-% bis etwa 30 Atom-% bei manchen Ausführungsformen auf.
  • Im Allgemeinen weist ein Cr-basierter Werkstoff (CrN, CrON oder CrCON) einen hohen EUV-Absorptionskoeffizienten (Extinktionskoeffizienten) k auf. Beispielsweise weist CrN einen k-Wert von 0,0387 auf, der höher als der k-Wert (0,031) von TaBN und der k-Wert (0,027) von TaBO ist. Dementsprechend ist es möglich, die Dicke der Absorberschicht (z.B. von 70 nm für TaBN auf 46 nm für CrN) zu reduzieren, wodurch dreidimensionale Effekte der strukturierten Absorberschicht unterdrückt werden können. Allerdings ist eine CrN-Schicht oder eine stickstoffreiche CrON- oder CrCON-Schicht aufgrund ihrer niedrigen Ätzrate schwierig zu ätzen. Somit kann direktes Strukturieren der CrN-Schicht ein dürftiges Strukturprofil ergeben, was die Auflösung der EUV-Lithografie beeinträchtigt. Bei den vorliegenden Ausführungsformen werden zwei Hartmaskenschichten verwendet, um die Absorberschicht zu strukturieren, und da die Dicke jeder der Hartmaskenschichten relativ dünn ist (2-20 nm), ist es möglich, das Strukturprofil der geätzten Strukturen zu steuern. Somit ist es möglich, ein gutes Strukturprofil mit einer höheren Ätzrate und einem höheren EUV-Absorptionskoeffizienten zu erhalten.
  • 7 zeigt ein Flussdiagramm des Herstellens eines Maskenrohlings für eine EUV-Fotomaske gemäß Ausführungsformen der vorliegenden Offenbarung.
  • Bei manchen Ausführungsformen wird bei S701 ein mehrschichtiger Stapel 15 über einem Substrat 10 ausgebildet. Dann wird bei S702 eine Deckschicht 20 auf dem mehrschichtigen Stapel 15 ausgebildet, und bei S703 wird eine Schutzschicht 22 auf der Deckschicht 20 ausgebildet. Als Nächstes wird bei S704 eine Absorberschicht 25 auf der Deckschicht ausgebildet. Danach werden bei S705 bzw. 706 eine erste Hartmaskenschicht 30 bzw. eine zweite Hartmaskenschicht 32 ausgebildet. Bei manchen Ausführungsformen wird, nachdem die Absorberschicht 25 ausgebildet wurde, und vor den Hartmaskenschichten, eine Oxidschicht 27 durch Oxidation ausgebildet. Wenn nach der Ausbildung der Absorberschicht die Hartmaskenschichten ausgebildet werden, ohne das Vakuum zu unterbrechen, wird bei manchen Ausführungsformen keine Oxidschicht auf der oberen Oberfläche der Absorberschicht 25 ausgebildet.
  • 8A zeigt ein Flussdiagramm eines Verfahrens zum Herstellen einer Halbleitervorrichtung, und 8B, 8C, 8D und 8E zeigen einen sequenziellen Herstellungsvorgang des Verfahrens zum Herstellen einer Halbleitervorrichtung gemäß Ausführungsformen der vorliegenden Offenbarung. Ein Halbleitersubstrat oder ein anderes geeignetes Substrat, das strukturiert werden soll, um darauf eine integrierte Schaltung auszubilden, wird bereitgestellt. Bei manchen Ausführungsformen enthält das Halbleitersubstrat Silizium. Alternativ oder zusätzlich dazu enthält das Halbleitersubstrat Germanium, Siliziumgermanium oder einen anderen geeigneten Halbleiterwerkstoff wie etwa einen Gruppe-III-V-Halbleiterwerkstoff. Bei S801 von 8A wird eine zu strukturierende Zielschicht über dem Halbleitersubstrat ausgebildet. Bei bestimmten Ausführungsformen ist die Zielschicht das Halbleitersubstrat. Bei manchen Ausführungsformen weist die Zielschicht auf: eine leitfähige Schicht wie etwa eine metallische Schicht oder eine Polysiliziumschicht; eine dielektrische Schicht wie etwa Siliziumoxid, Siliziumnitrid, SiON, SiOC, SiOCN, SiCN, Hafniumoxid oder Aluminiumoxid; oder eine Halbleiterschicht wie etwa eine epitaktisch ausgebildete Halbleiterschicht. Bei manchen Ausführungsformen wird die Zielschicht über einer darunter liegenden Struktur wie etwa Isolationsstrukturen, Transistoren oder Verdrahtungen ausgebildet. Bei S802 von 8A wird über der Zielschicht eine Fotoresistschicht ausgebildet, wie in 8B ersichtlich ist. Die Fotoresistschicht ist gegenüber der Strahlung von der Belichtungsquelle während eines nachfolgenden Fotolithografie-Belichtungsprozesses empfindlich. Bei der vorliegenden Ausführungsform ist die Fotoresistschicht gegenüber EUV-Licht empfindlich, das in dem Fotolithografie-Belichtungsprozess verwendet wird. Die Fotoresistschicht kann durch Aufschleudern oder eine andere geeignete Methode über der Zielschicht ausgebildet werden. Die aufgebrachte Fotoresistschicht kann ferner gebrannt werden, um Lösemittel in der Fotoresistschicht auszutreiben. Bei S803 von 8A wird die Fotoresistschicht unter Verwendung einer EUV-reflektierenden Maske wie oben dargelegt strukturiert, wie in 8B dargestellt ist. Das Strukturieren der Fotoresistschicht umfasst Durchführen eines Fotolithografie-Belichtungsprozesses mittels eines EUV-Belichtungssystems unter Verwendung der EUV-Maske. Während des Belichtungsprozesses wird die IC-Entwurfsstruktur, die auf der EUV-Maske definiert ist, auf die Fotoresistschicht abgebildet, um darauf eine latente Struktur auszubilden. Das Strukturieren der Fotoresistschicht umfasst ferner Entwickeln der belichteten Fotoresistschicht, um eine strukturierte Fotoresistschicht mit einer oder mehreren Öffnungen auszubilden. Bei einer Ausführungsform, wo die Fotoresistschicht eine Positivton-Fotoresistschicht ist, werden die belichteten Abschnitte der Fotoresistschicht während des Entwicklungsprozesses entfernt. Das Strukturieren der Fotoresistschicht kann ferner andere Prozessschritte umfassen wie etwa verschiedene Brennschritte an verschiedenen Stufen. Beispielsweise kann ein Nachbelichtungsbrennprozess (PEB-Prozess) nach dem Fotolithografiebelichtungsprozess und vor dem Entwicklungsprozess implementiert werden.
  • Bei S804 von 8A wird die Zielschicht unter Verwendung der strukturierten Fotoresistschicht als Ätzmaske strukturiert, wie in 8D dargestellt ist. Bei manchen Ausführungsformen umfasst das Strukturieren der Zielschicht Anwenden eines Ätzprozesses auf die Zielschicht unter Verwendung der strukturierten Fotoresistschicht als Ätzmaske. Die Abschnitte der Zielschicht, die innerhalb der Öffnungen der strukturierten Fotoresistschicht freiliegen, werden geätzt, während die übrigen Abschnitte vor dem Ätzen geschützt sind. Ferner kann die strukturierte Fotoresistschicht durch Nass-Strippen oder Plasmaveraschen entfernt werden, wie in 8E dargestellt ist.
  • Bei den vorliegenden Ausführungsformen werden zwei Hartmaskenschichten verwendet, um die Absorberschicht zu strukturieren, und da die Dicke jeder der Hartmaskenschichten relativ gering ist (2-20 nm), ist es möglich, das Strukturprofil der geätzten Strukturen zu steuern. Somit ist es möglich, ein gutes Strukturprofil mit einer höheren Ätzrate und einem höheren EUV-Absorptionskoeffizienten zu erhalten. Ferner ist es, da eine CrN- oder eine stickstoffreiche CrON- oder CrCON-Schicht einen höheren EUV-Absorptionskoeffizienten aufweist, möglich, die Dicke der Absorberschicht zu reduzieren, was wiederum dreidimensionale Effekte in der EUV-Lithografie unterdrückt.
  • Es versteht sich, dass in diesem Dokument nicht unbedingt alle Vorteile besprochen wurden, kein bestimmter Vorteil für alle Ausführungsformen oder Beispiele erforderlich ist und andere Ausführungsformen oder Beispiele andere Vorteile bieten können. Gemäß einem Aspekt der vorliegenden Anmeldung weist eine reflektierende Maske ein Substrat, eine reflektierende Mehrfachschicht, die auf dem Substrat angeordnet ist, eine Deckschicht, die auf der reflektierenden Mehrfachschicht angeordnet ist, und eine Absorberschicht, die auf der Deckschicht angeordnet ist, auf. Die Absorberschicht weist eine CrN-Schicht, eine CrON-Schicht mit einer Stickstoffkonzentration von 10 Atom-% bis 30 Atom-% oder eine CrCON-Schicht mit einer Stickstoffkonzentration von 10 Atom-% bis 30 Atom-% auf. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen liegt eine Dicke der Absorberschicht in einem Bereich von 20 nm bis 50 nm. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen weist die Absorberschicht eine CrN-Schicht mit einer Stickstoffkonzentration von 16 Atom-% bis 40 Atom-% auf. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen weist die CrN-Schicht eine Cr-Phase und eine CrN2-Phase auf. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen besteht die CrN-Schicht aus einer CrN2-Phase. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen weist die CrN-Schicht eine CrN2-Phase und eine CrN-Phase auf. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen weist die reflektierende Maske ferner eine Zwischenschicht auf, die auf der Deckschicht angeordnet ist. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthält die Zwischenschicht mindestens eines von TaB, TaO, TaBO oder TaBN, Silizium, einer Silizium-basierten Verbindung, Ruthenium oder einer Ruthenium-basierten Verbindung. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthält die Zwischenschicht mindestens eines von Titandioxid (TiO2), Zinnoxid (SnO), Zinkoxid (ZnO) oder Kadmiumsulfid (CdS). Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen ist eine Größe eines äußeren Umfangs der Absorberschicht kleiner als eine Größe eines äußeren Umfangs des Substrats in der Draufsicht. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen liegt die Größe des äußeren Umfangs der Absorberschicht in einem Bereich von 138 mm × 138 mm bis 142 mmx 142 mm in der Draufsicht, und die Größe des äußeren Umfangs des Substrats liegt in einem Bereich von 148 mm × 148 mm bis 152 mm × 152 mm in der Draufsicht.
  • Gemäß einem anderen Aspekt der vorliegenden Offenbarung weist eine reflektierende Maske ein Substrat, eine reflektierende Mehrfachschicht, die auf dem Substrat angeordnet ist, eine Deckschicht, die auf der reflektierenden Mehrfachschicht angeordnet ist, eine Absorberschicht, die auf der Zwischenschicht angeordnet ist, und eine Cr-Oxid-Schicht, die auf der Absorberschicht angeordnet ist, auf. Die Absorberschicht weist eine CrN-Schicht, eine CrON-Schicht mit einer Stickstoffkonzentration von 10 Atom-% bis 30 Atom-% oder eine CrCON-Schicht mit einer Stickstoffkonzentration von 10 Atom-% bis 30 Atom-% auf. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthält die Cr-Oxid-Schicht Cr2O3 oder CrO2. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen weist die Cr-Oxid-Schicht eine Dicke in einem Bereich von 1 nm bis 3 nm auf. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen weist die reflektierende Maske ferner eine Zwischenschicht auf, die auf der Deckschicht angeordnet ist. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthält die Zwischenschicht mindestens eines von TaB, TaO, TaBO oder TaBN.
  • Gemäß einem anderen Aspekt der vorliegenden Offenbarung weist ein reflektierender Maskenrohling für eine EUV-Maske ein Substrat, eine reflektierende Mehrfachschicht, die auf dem Substrat angeordnet ist, eine Deckschicht, die auf der reflektierenden Mehrfachschicht angeordnet ist, eine Zwischenschicht, die auf der Deckschicht angeordnet ist, eine Absorberschicht, die auf der Deckschicht angeordnet ist, eine erste Hartmaskenschicht, die über der Absorberschicht angeordnet ist, und eine zweite Hartmaskenschicht, die auf der ersten Hartmaskenschicht angeordnet ist, auf. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen ist die zweite Hartmaskenschicht aus einem Werkstoff hergestellt, der eine höhere Ätzrate für ein Chlor und Sauerstoff enthaltendes Plasma aufweist als ein Werkstoff der ersten Hartmaskenschicht. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen ist die erste Hartmaskenschicht aus einem Werkstoff hergestellt, der eine höhere Ätzrate für ein Fluor enthaltendes Plasma aufweist als ein Werkstoff der zweiten Hartmaskenschicht. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen weist die Absorberschicht eine CrN-Schicht, eine CrON-Schicht mit einer Stickstoffkonzentration von 10 Atom-% bis 30 Atom-% oder eine CrCON-Schicht mit einer Stickstoffkonzentration von 10 Atom-% bis 30 Atom-% auf.
  • Gemäß einem anderen Aspekt der vorliegenden Offenbarung wird in einem Verfahren zum Herstellen einer reflektierenden Maske eine Fotoresistschicht über einem Maskenrohling ausgebildet. Der Maskenrohling weist ein Substrat, eine reflektierende Mehrfachschicht auf dem Substrat, eine Deckschicht auf der reflektierenden Mehrfachschicht, eine Zwischenschicht auf der Deckschicht, eine Absorberschicht auf der Zwischenschicht, eine erste Hartmaskenschicht über der Absorberschicht und eine zweite Hartmaskenschicht auf der ersten Hartmaskenschicht auf. Die Fotoresistschicht wird strukturiert, die zweite Hartmaskenschicht wird unter Verwendung der strukturierten Fotoresistschicht strukturiert, die erste Hartmaskenschicht wird unter Verwendung der strukturierten zweiten Resistschicht strukturiert, und die Absorberschicht wird unter Verwendung der strukturierten ersten Hartmaskenschicht und der strukturierten zweiten Hartmaskenschicht strukturiert. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen wird beim Strukturieren der zweiten Hartmaskenschicht ein erstes Plasma-Trockenätzen mittels eines chlorhaltigen Gases und eines sauerstoffhaltigen Gases angewandt. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen wird die zweite Hartmaskenschicht aus einem Werkstoff hergestellt, der eine höhere Ätzrate beim Plasma-Trockenätzen aufweist als ein Werkstoff der ersten Hartmaskenschicht. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen wird beim Strukturieren der Absorberschicht ein zweites Plasma-Trockenätzen mittels eines chlorhaltigen Gases und eines sauerstoffhaltigen Gases angewandt. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen wird die strukturierte zweite Hartmaskenschicht während des zweiten Plasma-Trockenätzens entfernt. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen wird beim Strukturieren der ersten Hartmaskenschicht ein erstes Plasma-Trockenätzen mittels eines fluorhaltigen Gases angewandt. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen wird die erste Hartmaskenschicht aus einem Werkstoff hergestellt, der eine höhere Ätzrate beim Plasma-Trockenätzen aufweist als ein Werkstoff der zweiten Hartmaskenschicht. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen wird die Zwischenschicht unter Verwendung eines zweiten Plasma-Trockenätzens mittels eines fluorhaltigen Gases strukturiert, nachdem die Absorberschicht strukturiert wurde. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen wird die Zwischenschicht aus einem Werkstoff hergestellt, der eine höhere Ätzrate beim zweiten Plasma-Trockenätzen aufweist als der Werkstoff der zweiten Hartmaskenschicht. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen wird die strukturierte erste Hartmaskenschicht während des zweiten Plasma-Trockenätzens entfernt.
  • Gemäß einem anderen Aspekt der vorliegenden Offenbarung wird in einem Verfahren zum Herstellen einer reflektierenden Maske eine Fotoresistschicht über einem Maskenrohling ausgebildet. Der Maskenrohling weist ein Substrat, eine reflektierende Mehrfachschicht auf dem Substrat, eine Deckschicht auf der reflektierenden Mehrfachschicht, eine Zwischenschicht auf der Deckschicht, eine Absorberschicht auf der Zwischenschicht, eine erste Hartmaskenschicht über der Absorberschicht und eine zweite Hartmaskenschicht auf der ersten Hartmaskenschicht auf. Die Fotoresistschicht wird strukturiert, die zweite Hartmaskenschicht wird unter Verwendung der strukturierten Fotoresistschicht strukturiert, die erste Hartmaskenschicht wird unter Verwendung der strukturierten zweiten Resistschicht strukturiert, die Absorberschicht wird unter Verwendung der strukturierten ersten Hartmaskenschicht und der strukturierten zweiten Hartmaskenschicht strukturiert, und die erste Hartmaskenschicht wird entfernt. Die zweite Hartmaskenschicht und die Absorberschicht weisen eine Cr-basierte Verbindung auf, und die erste Hartmaskenschicht und die Zwischenschicht weisen eine Ta-basierte Verbindung auf. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen wird die zweite Maskenschicht aus CrON oder CrCON hergestellt, und die Absorberschicht wird aus CrN, CrON mit einer Stickstoffkonzentration von 10 Atom-% bis 30 Atom-% oder CrCON mit einer Stickstoffkonzentration von 10 Atom-% bis 30 Atom-% hergestellt. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen wird die erste Hartmaskenschicht aus TaBO,Ta2O5, TaO2, TaO oder Ta2O hergestellt, und die Zwischenschicht wird aus TaBO,Ta2O5, TaO2, TaO oder Ta2O hergestellt. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen wird die zweite Hartmaskenschicht während des Strukturierens der Absorberschicht entfernt. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen wird während des Entfernens der ersten Hartmaskenschicht ein Teil der Zwischenschicht strukturiert.
  • Gemäß einem anderen Aspekt der vorliegenden Offenbarung wird in einem Verfahren zum Herstellen einer reflektierenden Maske eine Fotoresistschicht über einem Maskenrohling ausgebildet. Der Maskenrohling weist ein Substrat, eine reflektierende Mehrfachschicht auf dem Substrat, eine Deckschicht auf der reflektierenden Mehrfachschicht, eine Zwischenschicht auf der Deckschicht, eine Absorberschicht auf der Zwischenschicht, eine Oxidschicht auf der Absorberschicht, eine erste Hartmaskenschicht auf der Oxidschicht und eine zweite Hartmaskenschicht auf der ersten Hartmaskenschicht auf. Die Fotoresistschicht wird strukturiert, die zweite Hartmaskenschicht wird unter Verwendung der strukturierten Fotoresistschicht strukturiert, die erste Hartmaskenschicht wird unter Verwendung der strukturierten zweiten Resistschicht strukturiert, die Oxidschicht und die Absorberschicht werden unter Verwendung der strukturierten ersten Hartmaskenschicht und der strukturierten zweiten Hartmaskenschicht strukturiert, und die Zwischenschicht wird strukturiert. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen weisen die zweite Hartmaskenschicht und die Absorberschicht einen Werkstoff auf, der eine höhere Ätzrate bei einem Plasma-Trockenätzen mittels eines chlorhaltigen Gases und eines sauerstoffhaltigen Gases aufweist als ein Werkstoff der ersten Hartmaskenschicht und ein Werkstoff der Zwischenschicht. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen liegt eine Dicke jeder der ersten und der zweiten Hartmaskenschicht in einem Bereich von 2 nm bis 20 nm. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthält die zweite Hartmaskenschicht GaN, SiCO oder Yttriumoxid. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthält die Oxidschicht Cr2O3 oder CrO2.
  • Die vorangehende Beschreibung umreißt Merkmale mehrerer Ausführungsformen oder Beispiele, damit Fachkundige die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachkundige sollten erkennen, dass sie die vorliegende Offenbarung ohne Weiteres als Grundlage zum Gestalten oder Modifizieren anderer Prozesse und Strukturen zum Realisieren derselben Zwecke und/oder Erzielen derselben Vorteile der in diesem Dokument vorgestellten Ausführungsformen oder Beispiele verwenden können. Fachkundige sollten auch erkennen, dass derartige äquivalente Konstruktionen nicht vom Wesen und Schutzumfang der vorliegenden Offenbarung abweichen und dass sie hierin verschiedene Änderungen, Ersetzungen und Abänderungen vornehmen können, ohne vom Wesen und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/045444 [0001]

Claims (20)

  1. Reflektierende Maske, aufweisend: ein Substrat; eine reflektierende Mehrfachschicht, die auf dem Substrat angeordnet ist; eine Deckschicht, die auf der reflektierenden Mehrfachschicht angeordnet ist; und eine Absorberschicht, die auf der Deckschicht angeordnet ist, wobei die Absorberschicht eine CrN-Schicht, eine CrON-Schicht mit einer Stickstoffkonzentration von 10 Atom-% bis 30 Atom-% oder eine CrCON-Schicht mit einer Stickstoffkonzentration von 10 Atom-% bis 30 Atom-% aufweist.
  2. Reflektierende Maske nach Anspruch 1, wobei eine Dicke der Absorberschicht in einem Bereich von 20 nm bis 50 nm liegt.
  3. Reflektierende Maske nach Anspruch 1 oder 2, wobei die Absorberschicht eine CrN-Schicht mit einer Stickstoffkonzentration von 16 Atom-% bis 40 Atom-% aufweist.
  4. Reflektierende Maske nach Anspruch 3, wobei die CrN-Schicht eine Cr-Phase und eine CrN2-Phase aufweist.
  5. Reflektierende Maske nach Anspruch 3, wobei die CrN-Schicht aus einer CrN2-Phase besteht.
  6. Reflektierende Maske nach Anspruch 3, wobei die CrN-Schicht eine CrN2-Phase und eine CrN-Phase aufweist.
  7. Reflektierende Maske nach einem der vorhergehenden Ansprüche, ferner aufweisend eine Zwischenschicht, die auf der Deckschicht angeordnet ist.
  8. Reflektierende Maske nach Anspruch 7, wobei die Zwischenschicht mindestens eines von TaB, TaO, TaBO oder TaBN, Silizium, einer Silizium-basierten Verbindung, Ruthenium oder einer Ruthenium-basierten Verbindung enthält.
  9. Reflektierende Maske nach Anspruch 7, wobei die Zwischenschicht mindestens eines von Titandioxid (TiO2), Zinnoxid (SnO), Zinkoxid (ZnO) oder Kadmiumsulfid (CdS) enthält.
  10. Reflektierende Maske nach einem der vorhergehenden Ansprüche, wobei in einer Draufsicht eine Größe eines äußeren Umfangs der Absorberschicht kleiner als eine Größe eines äußeren Umfangs des Substrats ist.
  11. Verfahren zum Herstellen einer reflektierenden Maske, wobei das Verfahren umfasst: Ausbilden einer Fotoresistschicht über einem Maskenrohling, wobei der Maskenrohling ein Substrat, eine reflektierende Mehrfachschicht auf dem Substrat, eine Deckschicht auf der reflektierenden Mehrfachschicht, eine Zwischenschicht auf der Deckschicht, eine Absorberschicht auf der Zwischenschicht, eine erste Hartmaskenschicht über der Absorberschicht und eine zweite Hartmaskenschicht auf der ersten Hartmaskenschicht aufweist; Strukturieren der Fotoresistschicht; Strukturieren der zweiten Hartmaskenschicht unter Verwendung der strukturierten Fotoresistschicht; Strukturieren der ersten Hartmaskenschicht unter Verwendung der strukturierten zweiten Resistschicht; Strukturieren der Absorberschicht unter Verwendung der strukturierten ersten Hartmaskenschicht und der strukturierten zweiten Hartmaskenschicht; und Entfernen der ersten Hartmaskenschicht, wobei die zweite Hartmaskenschicht und die Absorberschicht eine Cr-basierte Verbindung enthalten und die erste Hartmaskenschicht und die Zwischenschicht eine Ta-basierte Verbindung enthalten.
  12. Verfahren nach Anspruch 11, wobei die zweite Maskenschicht aus CrON oder CrCON hergestellt wird und die Absorberschicht aus CrN, CrON mit einer Stickstoffkonzentration von 10 Atom-% bis 30 Atom-% oder CrCON mit einer Stickstoffkonzentration von 10 Atom-% bis 30 Atom-% hergestellt wird.
  13. Verfahren nach Anspruch 11 oder 12, wobei die erste Hartmaskenschicht aus TaBO,Ta2O5, TaO2, TaO oder Ta2O hergestellt wird und die Zwischenschicht aus TaBO, Ta2O5, TaO2, TaO oder Ta2O hergestellt wird.
  14. Verfahren nach einem der Ansprüche 11 bis 13, wobei die zweite Hartmaskenschicht während des Strukturierens der Absorberschicht entfernt wird.
  15. Verfahren nach einem der Ansprüche 11 bis 14, wobei während des Entfernens der ersten Hartmaskenschicht ein Teil der Zwischenschicht strukturiert wird.
  16. Verfahren zum Herstellen einer reflektierenden Maske, wobei das Verfahren umfasst: Ausbilden einer Fotoresistschicht über einem Maskenrohling, wobei der Maskenrohling ein Substrat, eine reflektierende Mehrfachschicht auf dem Substrat, eine Deckschicht auf der reflektierenden Mehrfachschicht, eine Zwischenschicht auf der Deckschicht, eine Absorberschicht auf der Zwischenschicht, eine Oxidschicht auf der Absorberschicht, eine erste Hartmaskenschicht auf der Oxidschicht und eine zweite Hartmaskenschicht auf der ersten Hartmaskenschicht aufweist; Strukturieren der Fotoresistschicht; Strukturieren der zweiten Hartmaskenschicht unter Verwendung der strukturierten Fotoresistschicht; Strukturieren der ersten Hartmaskenschicht unter Verwendung der strukturierten zweiten Resistschicht; Strukturieren der Oxidschicht und der Absorberschicht unter Verwendung der strukturierten ersten Hartmaskenschicht und der strukturierten zweiten Hartmaskenschicht; und Strukturieren der Zwischenschicht.
  17. Verfahren nach Anspruch 16, wobei die zweite Hartmaskenschicht und die Absorberschicht einen Werkstoff aufweisen, der eine höhere Ätzrate bei einem Plasma-Trockenätzen mittels eines chlorhaltigen Gases und eines sauerstoffhaltigen Gases aufweist als ein Werkstoff der ersten Hartmaskenschicht und ein Werkstoff der Zwischenschicht.
  18. Verfahren nach Anspruch 17, wobei eine Dicke von jeder der ersten und der zweiten Hartmaskenschicht in einem Bereich von 2 nm bis 20 nm liegt.
  19. Verfahren nach Anspruch 17 oder 18, wobei die zweite Hartmaskenschicht GaN, SiCO oder Yttriumoxid enthält.
  20. Verfahren nach einem der Ansprüche 17 bis 19, wobei die Oxidschicht Cr2O3 oder CrO2 enthält.
DE102020129846.3A 2020-06-29 2020-11-12 Euv-fotomasken und herstellungsverfahren dafür Pending DE102020129846A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063045444P 2020-06-29 2020-06-29
US63/045,444 2020-06-29
US17/090,825 US11619875B2 (en) 2020-06-29 2020-11-05 EUV photo masks and manufacturing method thereof
US17/090,825 2020-11-05

Publications (1)

Publication Number Publication Date
DE102020129846A1 true DE102020129846A1 (de) 2021-12-30

Family

ID=77675780

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020129846.3A Pending DE102020129846A1 (de) 2020-06-29 2020-11-12 Euv-fotomasken und herstellungsverfahren dafür

Country Status (5)

Country Link
US (2) US11619875B2 (de)
KR (1) KR102658585B1 (de)
CN (1) CN113406854A (de)
DE (1) DE102020129846A1 (de)
TW (1) TWI768650B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2023156717A (ja) * 2022-04-13 2023-10-25 信越化学工業株式会社 反射型フォトマスクブランク、反射型フォトマスクの製造方法、及び反射型フォトマスク

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1962326B1 (de) * 2005-12-12 2012-06-06 Asahi Glass Company, Limited Maskenrohling des reflexionstyps für die euv-lithographie und substrat mit elektrisch leitfähigem film für den maskenrohling
KR20080001023A (ko) 2006-06-29 2008-01-03 주식회사 에스앤에스텍 극자외선 반사형 블랭크 마스크와 포토마스크 및 그제조방법
KR101197250B1 (ko) 2010-04-23 2012-11-05 주식회사 에스앤에스텍 블랭크 마스크, 포토 마스크 및 그의 제조 방법
JP5971122B2 (ja) * 2011-02-01 2016-08-17 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
JP5921953B2 (ja) 2012-03-28 2016-05-24 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
WO2014021235A1 (ja) 2012-07-31 2014-02-06 Hoya株式会社 反射型マスクブランク及びその製造方法、反射型マスクの製造方法、並びに半導体装置の製造方法
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9046781B2 (en) * 2013-03-15 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for reflective-type mask
US9052595B2 (en) 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US9310675B2 (en) 2013-03-15 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
JP6287099B2 (ja) 2013-05-31 2018-03-07 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
TWI526774B (zh) 2013-09-18 2016-03-21 Hoya Corp Reflective mask substrate and manufacturing method thereof, manufacturing method of reflection type mask and semiconductor device
US9261774B2 (en) 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
EP2905637A1 (de) * 2014-02-07 2015-08-12 ASML Netherlands B.V. Optisches EUV-Element mit einer blasenresistenten mehrschichtigen Kappe
US9377693B2 (en) 2014-03-13 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Collector in an extreme ultraviolet lithography system with optimal air curtain protection
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR20160002332A (ko) 2014-06-30 2016-01-07 주식회사 에스앤에스텍 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
TWI821984B (zh) * 2016-07-27 2023-11-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料及製造極紫外線遮罩坯料的方法
JP6780550B2 (ja) 2017-03-10 2020-11-04 信越化学工業株式会社 フォトマスクブランク
JP2018180083A (ja) 2017-04-05 2018-11-15 信越化学工業株式会社 フォトマスクブランクの製造方法
KR20210126592A (ko) 2019-02-28 2021-10-20 호야 가부시키가이샤 반사형 마스크 블랭크, 반사형 마스크 및 그 제조 방법, 그리고 반도체 장치의 제조 방법
US11204545B2 (en) 2020-01-16 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof

Also Published As

Publication number Publication date
KR102658585B1 (ko) 2024-04-17
TWI768650B (zh) 2022-06-21
CN113406854A (zh) 2021-09-17
KR20220001425A (ko) 2022-01-05
TW202201112A (zh) 2022-01-01
US20210405519A1 (en) 2021-12-30
US20230251563A1 (en) 2023-08-10
US11619875B2 (en) 2023-04-04

Similar Documents

Publication Publication Date Title
DE102007028800B4 (de) Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske
DE102013104390B4 (de) Verfahren für die Herstellung einer Lithografiemaske
DE102014222028B4 (de) Maskenstrukturen und Herstellungsverfahren
DE102009010855B4 (de) Fotomaskenrohling, Fotomaske und Verfahren zum Herstellen einer Fotomaske
DE102009043145B4 (de) Maskenrohling und Verfahren zum Herstellen einer Übertragungsmaske
DE102009014610A1 (de) Fotomaskenrohling, Fotomaske und Verfahren zu ihrer Herstellung
DE112004000235B4 (de) Fotomasken-Rohling, Fotomaske und Muster-Übertragungsverfahren unter Verwendung einer Fotomaske
DE102004013459B4 (de) Verfahren zur Herstellung einer reflektierenden Maske und Verfahren zur Herstellung eines Halbleiterbauelements
DE102013108872B4 (de) Fotomasken für extrem ultraviolettes Licht (EUV) sowie Herstellungsverfahren dieser
DE10223113B4 (de) Verfahren zur Herstellung einer photolithographischen Maske
DE112009000965T5 (de) Reflektive Maske, reflektiver Maskenrohling und Verfahren zum Herstellen einer reflektiven Maske
DE102021114398A1 (de) Euv-maskenabsorber mit tantal-basierter legierung
US11592737B2 (en) EUV photo masks and manufacturing method thereof
DE102020114852A1 (de) Lithographiemaske mit amorpher abdeckschicht
DE112006003495T5 (de) Maskenrohling und Maske
US11886109B2 (en) EUV photo masks and manufacturing method thereof
DE102020102450B4 (de) EUV-Fotomasken und Herstellungsverfahren von diesen
DE102020129846A1 (de) Euv-fotomasken und herstellungsverfahren dafür
JP2003107675A (ja) マスクブランク、及びマスク
DE10206143B4 (de) Reflektierender Maskenrohling und reflektierende Maske für EUV-Belichtung und Verfahren zum Herstellen der Maske
DE102022109191A1 (de) Zwischengitterartiger absorber für extrem-ultraviolettmaske
DE102022100087A1 (de) Extrem-ultraviolett-maske mit legierungsbasierten absorbern
DE102020112776B4 (de) Fotolithografische EUV-Maske sowie Verfahren zum Herstellen einer fotolithografischen EUV-Maske
DE102012107757A1 (de) Verfahren zum Herstellen einer lithographischen Maske
DE102023102713A1 (de) Euv-fotomasken und herstellungsverfahren dafür

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication