DE102019124781A1 - Verfahren zum herstellen und behandeln einer fotomaske - Google Patents

Verfahren zum herstellen und behandeln einer fotomaske Download PDF

Info

Publication number
DE102019124781A1
DE102019124781A1 DE102019124781.0A DE102019124781A DE102019124781A1 DE 102019124781 A1 DE102019124781 A1 DE 102019124781A1 DE 102019124781 A DE102019124781 A DE 102019124781A DE 102019124781 A1 DE102019124781 A1 DE 102019124781A1
Authority
DE
Germany
Prior art keywords
photomask
plasma processing
sccm
plasma
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102019124781.0A
Other languages
English (en)
Other versions
DE102019124781B4 (de
Inventor
Chun-Fu Yang
Pei-Cheng Hsu
Ta-Cheng Lien
Hsin-Chang Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/568,028 external-priority patent/US11360384B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019124781A1 publication Critical patent/DE102019124781A1/de
Application granted granted Critical
Publication of DE102019124781B4 publication Critical patent/DE102019124781B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Library & Information Science (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Ein Verfahren umfasst Anordnen einer Fotomaske, welche eine Kontaminierung auf einer Oberfläche davon aufweist, in einer Plasmabearbeitungskammer. Die kontaminierte Fotomaske wird in der Plasmabearbeitungskammer plasmabearbeitet, um die Kontaminierung von der Oberfläche zu entfernen. Das Plasma umfasst Sauerstoff-Plasma oder Wasserstoff-Plasma.

Description

  • QUERVERWEIS ZU VERWANDTEN ANMELDUNGEN
  • Diese Patentanmeldung beansprucht Priorität über die vorläufige US-Patentanmeldung Nr. 62738.003 , eingereicht am 28. September 2018, deren gesamte Offenbarung durch Nennung als hierin aufgenommen gilt.
  • HINTERGRUND
  • Mit der Fortentwicklung der Halbleiterbranche im Streben nach größerer Packungsdichte, höherem Leistungsvermögen und geringeren Kosten zu Fertigungszentren der Nanotechnik sind Herausforderungen sowohl bei Herstellungs- als auch Entwurfsfragen größer geworden. Technologische Fortschritte bei Materialien und Entwurf von IS haben zu Generationen von IS geführt, wobei jede Generation kleinere und komplexere Schaltkreise aufweist als die vorhergehende Generation. Im Verlauf der Evolution von IS hat sich eine funktionale Dichte (d. h. die Anzahl miteinander verbundener Vorrichtungen pro Chip-Fläche) im Allgemeinen erhöht, während sich eine Geometriegröße (d. h. die kleinste Komponente (oder Linie), welche unter Verwendung eines Herstellungsprozesses erzeugt werden kann) vermindert hat. Dieser Prozess der Maßstabverkleinerung stellt im Allgemeinen durch Erhöhen einer Herstellungseffizienz und durch Senken dazugehöriger Kosten Vorteile bereit. Eine derartige Maßstabverkleinerung hat auch die Komplexität des Verarbeitens und Herstellens von IS erhöht.
  • Fotolithografieoperationen sind eine der Schlüsseloperationen beim Herstellungsprozess von Halbleitern. Fotolithografieverfahren umfassen Ultraviolett-Lithografie, tiefe Ultraviolett-Lithografie und extreme Ultraviolett-Lithografie (EUVL). Die Fotomaske ist eine wichtige Komponente bei Fotolithografieoperationen. Es ist kritisch, Fotomasken frei von auflösbaren Defekten herzustellen und zu halten. Typischerweise umfassen Herstellungsverfahren für Fotomasken jedoch Elektronenstrahllithografie- und Ätzoperationen, welche Partikel und Ätzrückstände erzeugen können. Weiterhin kann eine Verwendung der Fotomaske während fotolithografischen Operationen einen Partikelrückstand erzeugen. Beispielsweise kann EUVL eine Kontaminierung erzeugen, welche während Fotoresist-Belichtungsoperationen Kohlenwasserstoff-Partikel umfasst. Wärme, welche während einer EUV-Belichtung erzeugt wird, kann eine teilweise Zersetzung und Verflüchtigung des Fotoresists verursachen. Die zersetzten und verflüchtigten Rückstände können die Fotomaske kontaminieren. Zusätzlich kann die Maske während einer langfristigen Lagerung der Maske durch Partikel und Rückstände kontaminiert werden. Beispielsweise zieht eine Van-der-Waals-Kraft, welche aus der hohen Konzentration von Metallatomen in einer EUV-Fotomaske resultiert, Verunreinigungspartikel an. Die kontaminierenden Partikel und Rückstände können Kohlenwasserstoffe umfassen. Eine Kohlenwasserstoff-Kontaminierung kann während Maskenreinigungsoperationen nicht vollständig entfernt werden. Eine Kohlenwasserstoff-Kontaminierung kann eine Gleichmäßigkeitsdrift von Abständen und kritischen Abmessungen und Weißfleckendefekte verursachen.
  • Figurenliste
  • Die vorliegende Offenbarung wird aus der folgenden ausführlichen Beschreibung am besten verstanden, wenn sie mit den begleitenden Figuren gelesen wird. Es ist hervorzuheben, dass gemäß der normalen Branchenpraxis verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind und nur zu Darstellungszwecken verwendet werden. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung willkürlich erhöht oder reduziert sein.
    • 1 zeigt ein Werkzeug für extreme Ultraviolett-Lithografie gemäß einer Ausführungsform der Offenbarung.
    • 2 zeigt ein schematisches Diagramm einer Einzelheit eines Werkzeugs für extreme Ultraviolett-Lithografie gemäß einer Ausführungsform der Offenbarung.
    • 3 ist eine Querschnittsansicht einer reflektierenden Maske gemäß Ausführungsformen der Offenbarung.
    • 4A, 4B, 4C, 4D, 4E, 4F, 4G und 4H illustrieren ein Verfahren zum Herstellen und Reinigen einer Fotomaske gemäß einer Ausführungsform der Offenbarung schematisch.
    • 5 ist ein Ablaufdiagramm, welches ein Verfahren zum Entfernen einer Kontaminierung von einer Fotomaske gemäß einer Ausführungsform der Offenbarung illustriert.
    • 6A, 6B, 6C, 6D, 6E, 6F, 6G, 6H und 6I illustrieren ein Verfahren zum Herstellen und Reinigen einer Fotomaske gemäß einer Ausführungsform der Offenbarung schematisch.
    • 7 ist ein Ablaufdiagramm, welches ein Verfahren zum Herstellen einer Fotomaske und zum Entfernen einer Kontaminierung aus der Fotomaske gemäß einer Ausführungsform der Offenbarung illustriert.
    • 8 ist ein Ablaufdiagramm, welches ein Verfahren zum Herstellen und Verwenden einer Fotomaske und zum Entfernen einer Kontaminierung von der Fotomaske gemäß einer Ausführungsform der Offenbarung illustriert.
    • 9 ist ein Ablaufdiagramm, welches ein Verfahren zum Verwenden einer Fotomaske und zum Entfernen einer Kontaminierung von der Fotomaske gemäß einer Ausführungsform der Offenbarung illustriert.
    • 10 ist ein Ablaufdiagramm, welches ein Verfahren zum Reduzieren von Weißfleckendefekten und Gleichmäßigkeitsdrift der kritischen Abmessungen gemäß einer Ausführungsform der Offenbarung illustriert.
    • 11 ist ein Ablaufdiagramm, welches ein Verfahren zum Entfernen einer Kontaminierung von einer Fotomaske gemäß einer Ausführungsform der Offenbarung illustriert.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Es versteht sich, dass die folgende Offenbarung viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Offenbarung bereitstellt. Spezifische Ausführungsformen oder Beispiele von Komponenten und Anordnungen sind nachfolgend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sind nicht als einschränkend vorgesehen. Beispielsweise sind Abmessungen von Elementen nicht auf den offenbarten Bereich oder die offenbarten Werte beschränkt, sondern können von Prozessbedingungen und/oder erwünschten Eigenschaften der Vorrichtung abhängen. Ferner kann die Ausbildung eines ersten Elements über oder auf einem zweiten Element in der nachfolgenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und das zweite Element in unmittelbarem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei welchen zusätzliche Elemente, welche zwischen dem ersten und dem zweiten Element ausgebildet sind, derartig ausgebildet werden können, dass das erste und das zweite Element nicht in unmittelbarem Kontakt stehen müssen. Verschiedene Elemente können für Einfachheit und zur Klarheit willkürlich in verschiedenen Maßstäben gezeichnet sein.
  • Weiterhin können relative räumliche Begriffe, wie beispielsweise „unterhalb“, „unter“, „niedriger“, „über“, „höher“ und dergleichen, hier zur Vereinfachung der Beschreibung verwendet werden, um eine Beziehung eines Elements oder Merkmals zu einem anderen Element (Elementen) oder Merkmal (Merkmalen) zu beschreiben, wie in den Figuren illustriert. Diese relativen räumlichen Begriffe sind vorgesehen, verschiedene Orientierungen der Vorrichtung beim Gebrauch oder im Betrieb zusätzlich zu der Orientierung zu umfassen, welche in den Figuren dargestellt ist. Die Vorrichtung kann auf andere Weise orientiert sein (um 90 Grad gedreht oder in anderen Orientierungen) und die hier verwendeten relativen räumlichen Deskriptoren können dementsprechend ebenso interpretiert werden. Zusätzlich kann der Begriff „angefertigt aus“ entweder „umfassend“ oder „bestehend aus“ bedeuten.
  • Die vorliegende Offenbarung betrifft im Allgemeinen extreme Ultraviolett-(EUV)-Lithografiemasken und -verfahren. Bei einem EUVL-Werkzeug erzeugt ein von einem Laser hergestelltes Plasma (LPP) eine extreme Ultraviolett-Strahlung, welche verwendet wird, um ein Fotoresist-beschichtetes Substrat zu belichten. Bei einem EUV-Werkzeug erhitzt ein Anregungslaser Zieltröpfchen aus Metall (z. B. Zinn, Lithium usw.) in der LPP-Kammer, um die Tröpfchen zu Plasma zu ionisieren, welches die EUV-Strahlung emittiert. Zur reproduzierbaren Erzeugung von EUV-Strahlung müssen die Zieltröpfchen, welche an dem Brennpunkt eintreffen (hier auch als die „Anregungszone“ bezeichnet) im Wesentlichen die gleiche Größe aufweisen und gleichzeitig in der Anregungszone eintreffen, wie ein Anregungsimpuls aus dem Anregungslaser eintrifft. Folglich trägt eine stabile Erzeugung von Zieltröpfchen, welche sich aus dem Zieltröpfchengenerator mit einer gleichmäßigen (oder vorhersagbaren) Geschwindigkeit zu der Anregungszone bewegen zur Effizienz und Stabilität der LPP-EUV-Strahlungsquelle bei.
  • 1 ist eine Schemaansicht eines EUV-Lithografiewerkzeugs mit einer EUV-Strahlungsquelle auf der Grundlage von einem durch Laser hergestelltes Plasma (LPP), welche gemäß manchen Ausführungsformen der vorliegenden Offenbarung aufgebaut ist. Das EUV-Lithografiesystem umfasst eine EUV-Strahlungsquelle 100, um EUV-Strahlung zu erzeugen, eine Belichtungsvorrichtung 200, wie beispielsweise einen Scanner, und eine Anregungslaserquelle 300. Wie in 1 gezeigt, sind die EUV-Strahlungsquelle 100 und die Belichtungsvorrichtung 200 auf einem Hauptboden MF eines sauberen Raums installiert, während die Anregungslaserquelle 300 in einem Basisboden BF installiert ist, welcher unter dem Hauptboden angeordnet ist. Jede der EUV-Strahlungsquelle 100 und der Belichtungsvorrichtung 200 sind über Sockelplatten PP1 und PP2 durch Dämpfer DP1 bzw. DP2 angeordnet. Die EUV-Strahlungsquelle 100 und die Belichtungsvorrichtung 200 sind durch einen Kupplungsmechanismus miteinander verbunden, welcher eine Fokussiereinheit umfassen kann.
  • Das EUV-Lithografiewerkzeug ist entworfen, um eine Resistschicht durch EUV-Licht zu belichten (hier auch austauschbar als EUV-Strahlung bezeichnet). Die Resistschicht ist ein Material, welches für das EUV-Licht empfindlich ist. Das EUV-Lithografiesystem setzt die EUV-Strahlungsquelle 100 ein, um EUV-Licht zu erzeugen, wie beispielsweise EUV-Licht mit einer Wellenlänge zwischen ungefähr 1 nm und ungefähr 100 nm. Bei einem bestimmten Beispiel erzeugt die EUV-Strahlungsquelle 100 ein EUV-Licht mit einer Wellenlänge, welche bei ungefähr 13,5 nm zentriert ist. Bei der vorliegenden Ausführungsform setzt die EUV-Strahlungsquelle 100 einen Mechanismus des von einem Laser hergestellten Plasmas (LPP) ein, um die EUV-Strahlung zu erzeugen.
  • Die Belichtungsvorrichtung 200 umfasst verschiedene reflektierende Optikkomponenten, wie beispielsweise konvexe/konkave/ebene Spiegel, einen Maskenhaltemechanismus, umfassend einen Maskentisch und einen Wafer-Haltemechanismus. Die EUV-Strahlung, welche durch die EUV-Strahlungsquelle 100 erzeugt wird, wird durch die reflektierenden optischen Komponenten auf eine Fotomaske geleitet, welche an dem Maskentisch befestigt ist. Bei manchen Ausführungsformen umfasst der Maskentisch einen elektrostatischen Chuck (e-Chuck), um die Fotomaske zu sichern.
  • 2 ist ein vereinfachtes schematisches Diagramm einer Einzelheit eines Werkzeugs für extreme Ultraviolett-Lithografie gemäß einer Ausführungsform der Offenbarung, welches die Belichtung eines mit Fotoresist beschichteten Substrats 210 mit einem strukturierten EUV-Lichtstrahl zeigt. Die Belichtungsvorrichtung 200 ist ein Lithografiewerkzeug einer integrierten Schaltung, wie beispielsweise ein Stepper, Scanner, Step- und Scansystem, Direktschreibsystem, eine Vorrichtung unter Verwendung eines Kontakts und/oder einer Abstandsmaske usw., welches mit einer oder mehreren Optiken 205a, 205b versehen ist, um beispielsweise eine Strukturieroptik 205c, wie beispielsweise eine Fotomaske, mit einem EUV-Lichtstrahl zu beleuchten, einen strukturierten Strahl zu erzeugen und eine oder mehrere Reduktionsprojektionsoptiken 205d, 205e, zum Projizieren des strukturierten Strahls auf das Substrat 210. Eine mechanische Einheit (nicht gezeigt) kann zum Erzeugen einer gesteuerten relativen Bewegung zwischen dem Substrat 210 und der Strukturieroptik 205c bereitgestellt werden. Wie weiterhin in 2 gezeigt, umfasst das EUVL-Werkzeug eine EUV-Lichtquelle 100, welche einen EUV-Lichtstrahler ZE umfasst, welcher EUV-Licht in eine Kammer 105 emittiert, welches durch einen Sammler 110 entlang eines Wegs in die Belichtungsvorrichtung 200 reflektiert wird, um das Substrat 210 zu bestrahlen.
  • Wie er hier verwendet wird, ist der Begriff „Optik“ gedacht, allgemein vorgesehen zu sein, um eine oder mehrere Komponenten, welche einfallendes Licht reflektieren und/oder senden und/oder bearbeiten, zu umfassen und nicht notwendigerweise darauf begrenzt zu sein, und umfasst, ohne darauf begrenzt zu sein, eine oder mehrere Linsen, Fenster, Filter, Keile, Prismen, Gitterprismen, Gitter, Übertragungsfasern, Etalone, Diffusoren, Homogenisierer, Detektoren und andere Instrumentkomponenten, Blenden, Axicone und Spiegel, umfassend mehrschichtige Spiegel, fast normale Einfallspiegel, streifende Einfallspiegel, Spiegelreflektoren, Streureflektoren und Kombinationen davon. Außer es ist anderslautend angegeben, ist der Begriff „Optik“, wie er hier verwendet wird, ferner auch nicht gedacht, auf Komponenten begrenzt zu sein, welche allein oder vorteilhafterweise innerhalb eines oder mehrerer spezifischer Wellenlängenbereichs(e), wie beispielsweise bei der EUV-Ausgabelichtwellenlänge, der Bestrahlungslaserwellenlänge, einer Wellenlänge, welche für Metrologie geeignet ist, oder jeder anderen spezifischen Wellenlänge.
  • Weil Gasmoleküle EUV-Licht absorbieren, wird das Lithografiesystem für das EUV-Lithografiestrukturieren in einem Vakuum oder einer Niederdruckumgebung gehalten, um einen EUV-Intensitätsverlust zu vermeiden.
  • Bei der vorliegenden Offenbarung werden die Begriffe „Maske“, „Fotomaske“, und Retikel austauschbar verwendet. Bei der vorliegenden Ausführungsform ist die Strukturieroptik 205c, welche in 3 gezeigt ist, eine reflektierende Fotomaske. Bei einer Ausführungsform umfasst das reflektierende Retikel 205c ein Substrat 30 mit einem geeigneten Material, wie beispielsweise einem Material mit geringer Wärmeausdehnung oder Quarzglas, wie in 3 gezeigt. Bei verschiedenen Beispielen umfasst das Material mit TiO2 dotiertes SiO2, oder andere geeignete Materialien mit geringer Wärmeausdehnung. Bei manchen Ausführungsformen überträgt das Glassubstrat mit geringer Wärmeausdehnung Licht bei sichtbaren Wellenlängen, einen Anteil der infraroten Wellenlängen nahe dem sichtbaren Spektrum (nahes Infrarot) und einen Anteil der ultravioletten Wellenlängen. Bei manchen Ausführungsformen, absorbiert das Glassubstrat extreme ultraviolette Wellenlängen und tiefe ultraviolette Wellenlängen nahe dem extremen Ultraviolett.
  • Das reflektierende Retikel 205c umfasst mehrere reflektierende Schichten 35, welche auf dem Substrat abgeschieden wurden. Die mehreren reflektierenden Schichten 35 umfassen mehrere Filmpaare, wie beispielsweise Molybdän-Silizium (Mo/Si)-Filmpaare (z. B. eine Schicht aus Molybdän 39 über oder unter einer Schicht aus Silizium 37 in jedem Filmpaar). Ersatzweise können die mehreren reflektierenden Schichten 35 Molybdän-Beryllium-(Mo/Be)-Filmpaare oder andere geeignete Materialien umfassen, welche konfiguriert sind, das EUV-Licht stark zu reflektieren. Bei manchen Ausführungsformen umfasst der mehrschichtige Mo/Si-Stapel 35 zwischen ungefähr 30 alternierenden Schichten jeweils aus Silizium und aus Molybdän und ungefähr 60 alternierenden Schichten jeweils aus Silizium und aus Molybdän. Bei manchen Ausführungsformen sind zwischen ungefähr 35 und ungefähr 50 alternierende Schichten jeweils aus Silizium und aus Molybdän ausgebildet. Bei bestimmten Ausführungsformen gibt es ungefähr 40 alternierende Schichten jeweils aus Silizium und aus Molybdän. Bei manchen Ausführungsformen sind die Silizium- und Molybdän-Schichten durch chemische Dampfabscheidung (CVD), plasmagestützte CVD (PECVD), Atomlagenabscheidung (ALD), physikalische Dampfabscheidung (PVD) (Sputtern) oder jedes andere geeignete filmausbildende Verfahren ausgebildet. Jede Schicht aus Silizium und aus Molybdän ist ungefähr 2 nm bis ungefähr 10 nm dick. Bei manchen Ausführungsformen weisen die Schichten aus Silizium und aus Molybdän ungefähr die gleiche Dicke auf. Bei anderen Ausführungsformen weisen die Schichten aus Silizium und aus Molybdän verschiedene Dicken auf. Bei manchen Ausführungsformen ist die Dicke jeder Schicht aus Silizium und aus Molybdän ungefähr 3 nm bis ungefähr 4 nm dick.
  • Die Maske 205c kann weiterhin eine Deckschicht 40 umfassen, wie beispielsweise eine Schicht, welche aus Ruthenium (Ru) angefertigt ist, zum Schutz der Multischicht 35. Die Deckschicht 40 ist über der Mo/Si-Multischicht 35 angeordnet. Bei manchen Ausführungsformen ist die Deckschicht 40 aus Ruthenium mit einer Dicke von ungefähr 2 nm bis ungefähr 10 nm angefertigt. Bei bestimmten Ausführungsformen ist die Dicke der Deckschicht 40 von ungefähr 2 nm bis ungefähr 4 nm. Bei manchen Ausführungsformen wird die Deckschicht 40 durch chemische Dampfabscheidung, plasmagestützte chemische Dampfabscheidung, Atomlagenabscheidung, physikalische Dampfabscheidung oder jedes andere geeignete filmausbildende Verfahren ausgebildet.
  • Die Maske umfasst weiterhin eine Absorptions- (oder Absorber-) Schicht 45. Die Absorberschicht 45 ist bei manchen Ausführungsformen über der Deckschicht 40 angeordnet. Die Absorptionsschicht 45 ist strukturiert, um eine Schicht einer integrierten Schaltung (IS) zu definieren. Bei manchen Ausführungsformen ist die Absorberschicht 45 ein Ta-basiertes Material. Bei manchen Ausführungsformen ist die Absorberschicht aus TaN, TaO, TaBN oder TaBO mit einer Dicke von ungefähr 25 nm bis ungefähr 100 nm angefertigt. Bei bestimmten Ausführungsformen liegt die Dicke der Absorberschicht 25 zwischen ungefähr 50 nm und ungefähr 75 nm. Bei manchen Ausführungsformen wird die Absorberschicht 25 durch chemische Dampfabscheidung, plasmagestützte chemische Dampfabscheidung, Atomlagenabscheidung, physikalische Dampfabscheidung oder jedes andere geeignete filmausbildende Verfahren ausgebildet.
  • Bei manchen Ausführungsformen ist gegebenenfalls eine reflexmindernde Schicht (nicht gezeigt) über der Absorberschicht 45 ausgebildet. Die reflexmindernde Schicht ist bei manchen Ausführungsformen aus einem Siliziumoxid angefertigt und weist eine Dicke von ungefähr 2 nm bis ungefähr 10 nm auf. Bei manchen Ausführungsformen ist die Dicke der reflexmindernden Schicht von ungefähr 3 nm bis ungefähr 6 nm. Bei manchen Ausführungsformen wird die reflexmindernde Schicht durch chemische Dampfabscheidung, plasmagestützte chemische Dampfabscheidung, Atomlagenabscheidung, physikalische Dampfabscheidung oder jedes andere geeignete filmausbildende Verfahren ausgebildet.
  • EUV-Masken erfordern eine sehr geringe Oberflächenrauheit und dürfen keine auflösbaren Defekte aufweisen.
  • Die reflektierende Maske 205c umfasst bei manchen Ausführungsformen eine leitfähige Schicht 60 auf der Rückseite. Bei manchen Ausführungsformen ist die leitfähige Schicht 60 auf einer zweiten Hauptoberfläche des Substrats 30 ausgebildet, welche der ersten Hauptoberfläche des Substrats 30 gegenüberliegt, auf welcher die Mo/Si-Multischicht 35 ausgebildet ist. Bei manchen Ausführungsformen ist die leitfähige Schicht 60 aus Chrom, Chromnitrid oder TaB mit einer Dicke von ungefähr 25 nm bis ungefähr 150 nm angefertigt. Bei manchen Ausführungsformen weist die leitfähige Schicht 60 eine Dicke von ungefähr 70 nm bis ungefähr 100 nm auf. Bei manchen Ausführungsformen wird die leitfähige Schicht 60 durch chemische Dampfabscheidung, plasmagestützte chemische Dampfabscheidung, Atomlagenabscheidung, physikalische Dampfabscheidung oder jedes andere geeignete filmausbildende Verfahren ausgebildet.
  • Bei manchen Ausführungsformen umfasst die reflektierende Maske 205c einen Rand 65, welcher auf das Substrat 30 herunter geätzt ist und die Struktur 55 umgibt, was auch als ein schwarzer Rand 65 bekannt ist, um einen Schaltungsbereich, welcher belichtet werden soll, und einen peripheren Bereich zu definieren, welcher nicht belichtet werden soll. Der schwarze Rand reduziert bei manchen Ausführungsformen eine Lichtleckmenge.
  • Bei verschiedenen Ausführungsformen der vorliegenden Offenbarung ist das mit Fotoresist beschichtete Substrat 210 ein Halbleiter-Wafer, wie beispielsweise ein Silizium-Wafer oder ein Wafer anderen Typs, welcher strukturiert werden soll.
  • Das EUVL-Werkzeug umfasst bei manchen Ausführungsformen weiterhin andere Module oder ist mit anderen Modulen integriert (oder daran angeschlossen).
  • Wie in 1 gezeigt, umfasst die EUV-Strahlungsquelle 100 einen Zieltröpfchengenerator 115 und einen LPP-Sammler 110, welche durch eine Kammer 105 eingeschlossen sind. Bei manchen Ausführungsformen umfasst der Zieltröpfchengenerator 115 ein Reservoir, um ein Quellmaterial zu halten, und eine Düse 120, durch welche Zieltröpfchen DP des Quellmaterials in die Kammer 105 geliefert werden.
  • Bei manchen Ausführungsformen sind die Zieltröpfchen DP Tröpfchen aus Zinn (Sn), Lithium (Li) oder einer Legierung aus Sn und Li. Bei manchen Ausführungsformen weisen die Zieltröpfchen DP jeweils einen Durchmesser in einem Bereich aus ungefähr 10 Mikron (µm) bis ungefähr 100 µm auf. Beispielsweise sind bei einer Ausführungsform die Zieltröpfchen DP Zinn-Tröpfchen mit einem Durchmesser von ungefähr 10 µm bis ungefähr 100 µm. Bei anderen Ausführungsformen sind die Zieltröpfchen DP Zinn-Tröpfchen mit einem Durchmesser von ungefähr 25 µm bis ungefähr 50 µm. Bei manchen Ausführungsformen werden die Zieltröpfchen DP durch die Düse 120 bei einer Rate in einem Bereich von ungefähr 50 Tröpfchen pro Sekunde (d. h. mit einer Ausgabefrequenz von ungefähr 50 Hz) bis ungefähr 50.000 Tröpfchen pro Sekunde geliefert (d. h. mit einer Ausgabefrequenz von ungefähr 50 kHz). Bei manchen Ausführungsformen werden die Zieltröpfchen DP mit einer Ausgabefrequenz von ungefähr 100 Hz bis ungefähr 25 kHz geliefert. Bei anderen Ausführungsformen werden die Zieltröpfchen DP mit einer Ausgabefrequenz von ungefähr 500 Hz bis ungefähr 10 kHz geliefert. Die Zieltröpfchen DP werden bei manchen Ausführungsformen durch die Düse 127 und in eine Anregungszone ZE bei einer Geschwindigkeit in einem Bereich von ungefähr 10 Meter pro Sekunde (m/s) bis ungefähr 100 m/s ausgegeben. Bei manchen Ausführungsformen weisen die Zieltröpfchen DP eine Geschwindigkeit von ungefähr 10 m/s bis ungefähr 75 m/s auf. Bei anderen Ausführungsformen weisen die Zieltröpfchen eine Geschwindigkeit von ungefähr 25 m/s bis ungefähr 50 m/s auf.
  • Unter Rückbezug auf 1 ist ein Anregungslaser LR2, welcher durch die Anregungslaserquelle 300 erzeugt wird, ein Impulslaser. Die Laserimpulse LR2 werden durch die Anregungslaserquelle 300. erzeugt. Die Anregungslaserquelle 300 kann einen Lasergenerator 310, Laserführungsoptik 320 und eine Fokussiervorrichtung 330 umfassen. Bei manchen Ausführungsformen umfasst die Laserquelle 310 eine Kohlendioxid-(CO2)- oder eine Neodymdotierte Yttrium-Aluminiumgranat (Nd:YAG) Laserquelle mit einer Wellenlänge im infraroten Bereich des elektromagnetischen Spektrums. Beispielsweise weist die Laserquelle 310 bei einer Ausführungsform eine Wellenlänge von 9,4 µm oder 10,6 µm auf. Das Laserlicht LR1, welches durch den Lasergenerator 300 erzeugt wird, wird durch die Laserführungsoptik 320 geführt und durch die Fokussiervorrichtung 330 in den Anregungslaser LR2 fokussiert und dann in die EUV-Strahlungsquelle 100 eingeführt.
  • Bei manchen Ausführungsformen umfasst der Anregungslaser LR2 einen Vorwärmlaser und einen Hauptlaser. Bei derartigen Ausführungsformen wird der Vorwärmlaserimpuls (hier austauschbar als der „Vorimpuls“ bezeichnet) verwendet, um ein gegebenes Zieltröpfchen zu heizen (oder vorzuwärmen), um einen Zielschwaden geringer Dichte mit mehreren kleineren Tröpfchen zu erzeugen, welche nachfolgend durch einen Impuls aus dem Hauptlaser erhitzt (oder wieder erhitzt) werden, was eine erhöhte Emission von EUV-Licht erzeugt.
  • Bei verschiedenen Ausführungsformen weisen die Vorwärmlaserimpulse eine Fleckgröße von ungefähr 100 µm oder weniger auf und weisen die Hauptlaserimpulse eine Fleckgröße in einem Bereich von ungefähr 150 µm bis ungefähr 300 µm auf. Bei manchen Ausführungsformen weisen die Vorwärmlaser- und die Hauptlaserimpulse eine Impulsdauer im Bereich von ungefähr 10 ns bis ungefähr 50 ns und eine Impulsfrequenz im Bereich von ungefähr 1 kHz bis ungefähr 100 kHz auf. Bei verschiedenen Ausführungsformen weisen der Vorwärmlaser und der Hauptlaser eine durchschnittliche Leistung im Bereich von ungefähr 1 Kilowatt (kW) bis ungefähr 50 kW auf. Die Impulsfrequenz des Anregungslasers LR2 wird bei einer Ausführungsform an die Ausgabefrequenz der Zieltröpfchen DP angepasst.
  • Das Laserlicht LR2 wird durch Fenster (oder Linsen) in die Anregungszone ZE gerichtet. Die Fenster sind aus einem geeigneten Material, welches im Wesentlichen durchsichtig für die Laserstrahlen ist. Die Erzeugung der Impulslaser ist mit der Ausgabe der Zieltröpfchen DP durch die Düse 120 synchronisiert. Mit Bewegen der Zieltröpfchen durch die Anregungszone heizen die Vorimpulse die Zieltröpfchen auf und formen sie in Zielschwaden geringer Dichte um. Eine Verzögerung zwischen dem Vorimpuls und dem Hauptimpuls wird gesteuert, um zu ermöglichen, dass der Zielschwaden ausgebildet wird und sich auf eine optimale Größe und Geometrie ausdehnt. Bei verschiedenen Ausführungsformen weisen der Vorimpuls und der Hauptimpuls die gleiche Impulsdauer und Spitzenleistung auf. Wenn der Hauptimpuls den Zielschwaden erhitzt, wird ein Hochtemperaturplasma erzeugt. Das Plasma emittiert EUV-Strahlung EUV, welche durch den Sammelspiegel 110 gesammelt wird. Der Sammler 110 reflektiert und fokussiert weiterhin die EUV-Strahlung für die Lithografiebelichtungsprozesse, welche durch die Belichtungsvorrichtung 200 durchgeführt werden. Der Tröpfchenfänger wird zum Einfangen übermäßiger Zieltröpfchen verwendet. Beispielsweise können einige Zieltröpfchen absichtlich durch die Laserimpulse verfehlt werden.
  • Unter Rückbezug auf 1 ist der Sammler 110 mit einem passenden Beschichtungsmaterial und einer passenden Form entworfen, um als ein Spiegel zur EUV-Sammlung, Reflexion und Fokussierung zu fungieren. Bei manchen Ausführungsformen ist der Sammler 110 entworfen, um eine elliptische Geometrie aufzuweisen. Bei manchen Ausführungsformen ist das Beschichtungsmaterial des Sammlers 100 gleich der reflektierenden Multischicht der EUV-Maske entworfen. Bei manchen Beispielen umfasst das Beschichtungsmaterial des Sammlers 110 einen alternierenden Stapel erster und zweiter reflektierender Schichten (wie beispielsweise mehrerer Mo/Si-Filmpaare) und kann weiterhin eine Deckschicht (wie beispielsweise Ru) umfassen, welche auf der ML beschichtet ist, um das EUV-Licht im Wesentlichen zu reflektieren. Bei manchen Ausführungsformen kann der Sammler 110 weiterhin eine Gitterstruktur umfassen, welche entworfen ist, um den Laserstrahl wirksam zu streuen, welcher auf den Sammler 110 gerichtet ist. Beispielsweise ist eine Siliziumnitrid-Schicht auf dem Sammler 110 beschichtet und ist strukturiert, um eine Gitterstruktur aufzuweisen.
  • Bei einer derartigen EUV-Strahlungsquelle erzeugt das Plasma, welches durch die Laseranwendung verursacht wird, physikalische Verunreinigungen, wie beispielsweise Ionen, Gase und Atome des Tröpfchens, sowie die erwünschte EUV-Strahlung. Es ist nötig, die Akkumulation von Material auf dem Sammler 110 zu verhindern und auch physikalische Verunreinigungen zu verhindern, welche aus der Kammer 105 austreten und in die Belichtungsvorrichtung 200 eintreten.
  • Wie in 1 bei der vorliegenden Ausführungsform gezeigt, wird ein Puffergas aus einer ersten Puffergasversorgung 130 durch die Blende in dem Sammler 110 geliefert, durch welche der Impulslaser den Zinn-Tröpfchen zugeführt wird. Bei manchen Ausführungsformen ist das Puffergas H2, He, Ar, N2 oder ein anderes Inertgas. Bei bestimmten Ausführungsformen kann H2, welches als H-Radikale verwendet wird, welche durch Ionisierung des Puffergases erzeugt werden, zu Reinigungszwecken verwendet werden. Das Puffergas kann auch durch eine oder mehrere zweite Puffergaszuführungen 135 in Richtung auf dem Sammler 110 und/oder um die Ränder des Sammlers 110 herum bereitgestellt werden. Weiterhin umfasst die Kammer 105 ein oder mehrere Gasauslässe 140, so dass das Puffergas aus der Kammer 105 ausgestoßen wird.
  • Wasserstoff-Gas weist eine geringe Absorption für die EUV-Strahlung auf. Wasserstoff-Gas, welches die Beschichtungsoberfläche des Sammlers 110 erreicht, reagiert chemisch mit einem Metall des Tröpfchens, wobei ein Hydrid, z. B. ein Metallhydrid, ausgebildet wird. Wenn Zinn (Sn) als das Tröpfchen verwendet wird, wird Stannan (SnH4) ausgebildet, welches ein gasförmiges Nebenprodukt des EUV-Erzeugungsprozesses ist. Das gasförmige SnH4 wird dann durch den Auslass 140 ausgepumpt.
  • 4A bis 4H illustrieren schematisch ein Verfahren zum Herstellen und Reinigen einer EUV-Fotomaske 205c zur Verwendung bei extremer Ultraviolett-Lithografie (EUVL). EUVL setzt Scanner unter Verwendung von Licht im Bereich des extremen Ultravioletts (EUV) ein, welches eine Wellenlänge von ungefähr 1 nm bis ungefähr 100 nm aufweist. Die Maske ist eine kritische Komponente eines EUVL-Systems. EUV-Masken sind gewöhnlich reflektierende Masken.
  • Die Fotomaske 205c wird aus einem Fotomaskenrohling 20 ausgebildet, welcher Folgendes umfasst: das Substrat 30, die Multischicht 35, die Deckschicht 40, die Absorberschicht 45 und die leitfähige Schicht 60. Wie in 4A gezeigt, ist bei manchen Ausführungsformen eine harte Maskenschicht 50 über der Absorberschicht 45 ausgebildet. Die harte Maskenschicht 50 ist bei manchen Ausführungsformen über der reflexmindernden Schicht ausgebildet. Bei manchen Ausführungsformen ist die harte Maskenschicht 50 aus Silizium, einer Silizium-basierten Verbindung, Chrom oder einer Chrom-basierten Verbindung mit einer Dicke von ungefähr 4 nm bis ungefähr 20 nm angefertigt. Bei manchen Ausführungsformen umfasst die Chrom-basierte Verbindung CrON. Bei manchen Ausführungsformen wird die harte Maskenschicht 50 durch chemische Dampfabscheidung, plasmagestützte chemische Dampfabscheidung, Atomlagenabscheidung, physikalische Dampfabscheidung oder jedes andere geeignete filmausbildende Verfahren ausgebildet.
  • Eine Fotoresistschicht 75 wird nachfolgend über der Hartmaskenschicht 50 ausgebildet, und die Fotoresistschicht 75 wird selektiv mit einer aktinischen Strahlung belichtet. Die Fotoresistschicht 75 ist eine lichtempfindliche Schicht, welche durch Belichtung mit aktinischer Strahlung strukturiert wird. Typischerweise verändern sich die chemischen Eigenschaften der Fotoresistbereiche, welche durch einfallende Strahlung getroffen werden, auf eine Weise, welche von dem Typ des verwendeten Fotoresists abhängt. Die Fotoresistschichten 75 sind entweder Positivbild-Resiste oder Negativbild-Resiste. Ein Positivbild-Resist bezeichnet ein Fotoresistmaterial, welches, wenn es mit Strahlung (typischerweise UV-Licht) belichtet wird, in einem Entwickler löslich wird, während der Bereich des Fotoresists, welcher nicht belichtet (oder weniger belichtet) wird, in dem Entwickler unlöslich ist. Ein Negativbild-Resist bezeichnet ein Fotoresistmaterial, welches wenn es mit Strahlung belichtet wird, in einem Entwickler löslich wird, während der Bereich des Fotoresists, welcher nicht belichtet (oder weniger belichtet) wird, in dem Entwickler unlöslich ist. Der Bereich eines Negativbild-Resists, welcher bei Belichtung mit Strahlung unlöslich wird, kann aufgrund einer Vernetzungsreaktion unlöslich werden, welche durch die Belichtung mit Strahlung bewirkt wird.
  • Die selektiv belichtete Fotoresistschicht 75 wird entwickelt, um eine Struktur 55' in der Fotoresistschicht 75 auszubilden. Bei manchen Ausführungsformen ist die aktinische Strahlung ein Elektronenstrahl oder ein Ionenstrahl. Bei manchen Ausführungsformen entspricht die Struktur 55 einer Struktur von Merkmalen eines Halbleiterelements, für welche die Fotomaske 205c verwendet werden wird, um sie in nachfolgenden Operationen auszubilden. Ob ein Resist ein Positivbild- oder ein Negativbild-Resist ist, kann von dem Typ des Entwicklers abhängen, welcher verwendet wird, um den Resist zu entwickeln. Beispielsweise stellen manche Positivbild-Fotoresiste eine positive Struktur bereit, (d. h. die belichteten Bereiche werden durch den Entwickler entfernt), wenn der Entwickler ein wasserbasierter Entwickler ist, wie beispielsweise eine Tetramethylammoniumhydroxid-(TMAH)-Lösung. Auf der anderen Seite stellt der gleiche Fotoresist eine negative Struktur bereit (d. h. die unbelichteten Bereiche werden durch den Entwickler entfernt), wenn der Entwickler ein organisches Lösemittel ist. Weiterhin werden bei manchen Negativbild-Fotoresisten, welche mit der TMAH-Lösung entwickelt werden, die unbelichteten Bereiche des Fotoresists durch das TMAH entfernt, und die belichteten Bereiche des Fotoresists, welche bei Belichtung mit aktinischer Strahlung eine Vernetzungsreaktion durchmachen, bleiben nach einer Entwicklung auf dem Substrat.
  • Als nächstes wird die Struktur 55' in der Fotoresistschicht 75 in die harte Maskenschicht 50 erweitert, wobei eine Struktur 55" in der Hartmaskenschicht 50 ausbildet wird, welche Abschnitte der Absorberschicht 45 freilegt, wie in 4B gezeigt. Die Struktur 55", welche in die harte Maskenschicht 50 erweitert wurde, wird bei manchen Ausführungsformen unter Verwendung eines geeigneten Nass- oder Trockenätzmittels, welches selektiv für die harte Maskenschicht 50 ist, durch Ätzen ausgebildet.
  • Dann wird die Struktur 55" in der Hartmaskenschicht 50 in die Absorberschicht 45 erweitert, wobei eine Struktur 55'" in der Absorberschicht 45 ausbildet wird, welche Abschnitte der Deckschicht 40 freilegt, wie in 4C gezeigt. Die Struktur 55'", welche in die Absorberschicht 45 erweitert wurde, wird bei manchen Ausführungsformen unter Verwendung eines geeigneten Nass- oder Trockenätzmittels, welches selektiv für die Absorberschicht 45 ist, durch Ätzen ausgebildet. Bei manchen Ausführungsformen fungiert die Deckschicht 40 als eine Ätzstoppschicht. Die Fotoresistschicht 75 wird durch eine geeignete Fotoresistabbeiz- oder Sauerstoff-Plasmaveraschungsoperation entfernt, um die obere Oberfläche der Hartmaskenschicht 50 freizulegen.
  • Wie in 4D gezeigt, wird nach Entfernen der Hartmaskenschicht 50 eine zweite Fotoresistschicht 85 über der Absorberschicht 45 ausgebildet, welche die Struktur 55 in der Absorberschicht füllt. Die harte Maskenschicht wird unter Verwendung eines Ätzmittels, welches selektiv für die harte Maskenschicht ist, durch Ätzen entfernt. Die zweite Fotoresistschicht 85 wird selektiv mit aktinischer Strahlung belichtet. Die selektiv belichtete zweite Fotoresistschicht 85 wird entwickelt, um eine Struktur 65' in der zweiten Fotoresistschicht 85 auszubilden, wie in 4D gezeigt.
  • Als nächstes wird die Struktur 65' in der zweiten Fotoresistschicht 85 in die Absorberschicht 55, Deckschicht 40 und Mo/Si-Multischicht 35 erweitert, wobei eine Struktur 65" in der Absorberschicht 45, der Deckschicht 40 und der Mo/Si-Multischicht 35 ausgebildet wird, welche Abschnitte des Substrats 30 freilegt, wie in 4E gezeigt. Die Struktur 65" wird bei manchen Ausführungsformen unter Verwendung eines oder mehrerer geeigneter Nass- oder Trockenätzmittel, welche selektiv für jede der Schichten sind, welche geätzt werden, durch Ätzen ausgebildet.
  • Bei manchen Ausführungsformen wird die zweite Fotoresistschicht 85 durch eine geeignete Fotoresistabbeiz- oder eine Sauerstoff-Plasmaveraschungsoperation entfernt, um die obere Oberfläche der Absorberschicht 45 freizulegen. Die Struktur 65 in der Absorberschicht 45, der Deckschicht 40 und der Mo/Si-Multischicht 35 definiert bei manchen Ausführungsformen der Offenbarung einen schwarzen Rand der Fotomaske 205c, wie in 4F gezeigt. Nach Entfernung der zweiten Fotoresistschicht wird die Fotomaske 205c einer Reinigungsoperation, einer Prüfung unterzogen, und die Fotomaske 205c wird nötigenfalls repariert, um eine fertiggestellte Fotomaske 205c bereitzustellen. Bei manchen Ausführungsformen wird erst der schwarze Rand 65 ausgebildet und dann wird die Halbleiterelementstruktur 55 ausgebildet.
  • Während der Herstellung der Fotomaske oder dem nachfolgenden Verarbeiten unter Verwendung der fertiggestellten Fotomaske 205c, umfassend EUV-Belichtungen von mit Fotoresist beschichteten Substraten unter Verwendung der Fotomaske, wird Kohlenstoff-basierter Rückstand, umfassend eine Kohlenwasserstoff-Kontaminierung 95, auf einer Struktur 55 in der Absorberschicht ausgebildet. Die Kontaminierung kann durch Wärme entstehen, welche während einer EUV-Belichtung erzeugt wird, welche eine teilweise Zersetzung und Verflüchtigung des Fotoresists bewirkt. Die zersetzten und verflüchtigten Rückstände können die Fotomaske kontaminieren. Zusätzlich kann die Maske während einer langfristigen Lagerung durch Partikel und Rückstände kontaminiert werden, welche durch Van-der-Waals-Kräfte an der Maske anhaften. Eine Kohlenwasserstoff-Kontaminierung 95 kann das Leistungsvermögen der Fotomaske 205c nachteilig beeinflussen, umfassend ein Verursachen einer Gleichmäßigkeitsdrift von Abständen und kritischen Abmessungen und von Weißfleckendefekten. Die Dicke des Kohlenstoff-Rückstands über der Maskenstruktur 55, erhöht die Maskenstrukturabmessungen, welche zu verändern sind. und bewirkt dadurch eine Veränderung der kritischen Abmessung von Strukturen, welche nachfolgend in einer Fotoresistschicht ausgebildet werden. Folglich nimmt nach längerer Verwendung oder Lagerung das Leistungsvermögen der Fotomaske 205c ab.
  • Um das Leistungsvermögen der Fotomaske 205c wiederherzustellen und die Abstände und kritische Abmessung der Strukturen zu erhalten, welche durch die Fotomaske 205c ausgebildet werden, wird bei manchen Ausführungsformen der Offenbarung eine periodische Wartung der Fotomaske 205c durchgeführt. Wie beispielsweise in 4H gezeigt, wird eine Entfernungsoperation eines Kohlenstoff-basierten Rückstands (d. h. - Kohlenwasserstoff) durchgeführt. Bei manchen Ausführungsformen wird Trockenätzen, umfassend Plasmaätzen, (eine Reinigungsblitz- oder „c-Blitz“-Operation), auf der Fotomaske durchgeführt. Bei manchen Ausführungsformen wird das Sauerstoff- oder Wasserstoff-Plasma auf die mit Kohlenstoff-Rückstand kontaminierte Fotomaske angewendet, um die Kontaminierung mit Kohlenstoff-Rückstand zu entfernen. Bei manchen Ausführungsformen wird Sauerstoff-Plasma auf die kontaminierte Fotomaske angewendet, und der Kohlenwasserstoff wird gemäß der folgenden Reaktion entfernt: CmHn+ O → H2O + CO2 (oder CO bei unvollständiger Oxidation). Bei anderen Ausführungsformen wird Wasserstoff-Plasma auf die kontaminierte Fotomaske angewendet, und der Kohlenwasserstoff wird gemäß der folgenden Reaktion entfernt: CmHn + H → CH4 + H2. Bei beiden Ausführungsformen mit Sauerstoff-Plasma und mit Wasserstoff-Plasma sind die Reaktionsprodukte Gase, welche aus der Plasmareaktionskammer evakuiert werden.
  • 5 ist ein Ablaufdiagramm, welches ein Verfahren 400 zum Entfernen einer Kontaminierung von einer Fotomaske gemäß einer Ausführungsform der Offenbarung illustriert. Beim Durchführen von S410 wird ein mit Fotoresist beschichtetes Substrat mit aktinischer Strahlung belichtet, welche von einer reflektierenden Fotomaske 205c reflektiert wurde. Beim Durchführen von S420 wird eine reflektierende Fotomaske 205c, ohne Verwendung der reflektierenden Fotomaske bei einer fotolithografischen Operation, für eine Zeitspanne gelagert. Eine Kontaminierung bildet sich während des Belichtens oder Lagerns auf einer Oberfläche der reflektierenden Fotomaske aus. Entweder nach Durchführen von S410 oder nach Durchführen von S420 wird die reflektierende Fotomaske mit einer Kontaminierung auf einer ihrer Oberflächen beim Durchführen von S430 in einer Plasmabearbeitungskammer angeordnet. Die kontaminierte reflektierende Fotomaske wird in der Plasmabearbeitungskammer plasmabearbeitet (c-Blitz), um beim Durchführen von S440 die Kontaminierung von der Oberfläche zu entfernen. Das Plasma umfasst Sauerstoff-Plasma oder Wasserstoff-Plasma. Bei manchen Ausführungsformen wird während des Plasmaprozesses beim Durchführen von S450 der Plasmabearbeitungskammer Chlor zugeführt. Bei manchen Ausführungsformen wird während des Plasmaprozesses beim Durchführen von S470 der Plasmabearbeitungskammer Stickstoff zugeführt. Bei manchen Ausführungsformen wird während des Plasmaprozesses beim Durchführen von S470 der Plasmabearbeitungskammer Helium oder Argon zugeführt. Bei manchen Ausführungsformen wird die reflektierende Fotomaske 205c beim Durchführen von S480 geprüft, um festzustellen, ob die Kontaminierung entfernt ist.
  • Bei manchen Ausführungsformen wird die Fotomaske 205c unter Verwendung visueller Verfahren geprüft. Die visuellen Verfahren können Verwenden von Transmissionselektronenmikroskopie (TEM) umfassen, um die Oberfläche der Maske abzubilden. Bei manchen Ausführungsformen wird energiedispersive Röntgenspektroskopie (EDS) zusammen mit TEM verwendet, um die Verteilung von Verunreinigungen durch Kohlenstoff-Rückstände auf der Fotomaske abzubilden. Weil Kohlenwasserstoffe infrarote Strahlung absorbieren, werden Infrarotanalyseverfahren verwendet, um bei manchen Ausführungsformen die Oberfläche der Maske zu prüfen. Bei manchen Ausführungsformen werden Gleichmäßigkeitsdrift der kritischen Abmessungen, Abstandsdrift oder Weißfleckendefekte in den Strukturen überwacht, welche auf den mit Fotoresist beschichteten Substraten ausgebildet sind, und wenn die Gleichmäßigkeitsdrift der kritischen Abmessungen, die Abstandsdrift oder die Anzahl Weißfleckendefekte einen Schwellenwert übersteigen, wird die Fotomaske den hier beschriebenen Plasmakontaminierungsentfernungsprozessen (c-Blitz) unterzogen.
  • Bei manchen Ausführungsformen wird eine Verunreinigungsentfernung von der Oberfläche der Fotomaske unter Verwendung des Plasmaprozesses (c-Blitz) gemäß der Offenbarung nach ungefähr 100 bis ungefähr 2500 oder mehr Belichtungen (oder Aufnahmen) von mit Fotoresist beschichteten Substraten mit aktinischer Strahlung unter Verwendung der Fotomaske durchgeführt. Bei manchen Ausführungsformen wird die Verunreinigungsentfernung unter Verwendung des Plasmaprozesses gemäß der Offenbarung nach ungefähr 2000 oder mehr Belichtungen (Aufnahmen) von mit Fotoresist beschichteten Substraten unter Verwendung der Fotomaske durchgeführt. Bei manchen Ausführungsformen wird die Verunreinigungsentfernung nach ungefähr 1000 oder mehr Belichtungen (Aufnahmen) von mit Fotoresist beschichteten Substraten unter Verwendung der Fotomaske durchgeführt.
  • Bei manchen Ausführungsformen werden eine Abstandsdrift oder Gleichmäßigkeitsdrift der kritischen Abmessungen, oder Weißfleckendefekte überwacht, und die Verunreinigungsentfernung unter Verwendung eines Plasmaprozesses gemäß der Offenbarung wird durchgeführt, wenn die Abstandsdrift, die Gleichmäßigkeitsdrift der kritischen Abmessungen oder die Weißfleckendefekte einen bestimmten Schwellenwert übersteigen.
  • 6A bis 61 illustrieren schematisch ein Verfahren zum Herstellen und Reinigen einer Fotomaske 205c. Die Operationen in 6A bis 6F des Herstellens der Fotomaske sind die gleichen wie die Operationen in 4A bis 4F. Die Kontaminierung der Strukturschicht 55 in der Absorberschicht tritt während einer Lagerung der Fotomaske in einer Fotomaskenhülle 90 auf. Während einer langfristigen Lagerung der Maske, kann die Maske durch Partikel und Rückstände kontaminiert werden, welche durch Van-der-Waals-Kräfte an der Maske anhaften. Bei dieser Ausführungsform können die Verunreinigungen 95, in 6H gezeigt, welche während einer Lagerung an der Oberfläche der Maske anhaften (6G), auch Kohlenstoff-basierte Rückstände (d. h. - Kohlenwasserstoff) sein, wie unter Bezugnahme auf 4G erklärt. Folglich wird die Kontaminierung in 61 auf die gleiche Weise entfernt, wie unter Bezugnahme auf 4H diskutiert. Bei manchen Ausführungsformen wird die Fotomaske 205c für mehr als 30 Tage vor den Plasmakontaminierungsentfernungsoperationen der Offenbarung in der Fotomaskenhülle 90 gelagert. Bei manchen Ausführungsformen wird die Fotomaske 205c vor der Plasmakontaminierungsoperation der Offenbarung für ungefähr 30 Tage bis ungefähr 180 Tage in der Fotomaskenhülle 90 gelagert.
  • 7 ist ein Ablaufdiagramm, welches ein Verfahren 500 illustriert, welches eine Durchführung von S510 des Ausbildens einer Fotomaske 205c umfasst. Die Fotomaske 205c wird beim Durchführen von S520 in einer Fotomaskenhülle 90 gelagert. Bei manchen Ausführungsformen wird die Fotomaske für ungefähr 30 Tage oder mehr in der Fotomaskenhülle 90 gelagert. Nach Entfernen der Fotomaske 205c aus der Fotomaskenhülle 90 wird die Fotomaske 205c beim Durchführen von S530 in einer Plasmabearbeitungskammer plasmabearbeitet, um eine Kontaminierung 95 von einer Oberfläche der Fotomaske 205c zu entfernen. Das Plasma umfasst Sauerstoff-Plasma oder Wasserstoff-Plasma. Bei manchen Ausführungsformen wird während des Plasmaprozesses beim Durchführen von S540 der Plasmabearbeitungskammer Chlor zugeführt. Bei manchen Ausführungsformen wird während des Plasmaprozesses beim Durchführen von S550 der Plasmabearbeitungskammer Stickstoff zugeführt. Bei manchen Ausführungsformen wird während des Plasmaprozesses beim Durchführen von S560 der Plasmabearbeitungskammer Helium oder Argon zugeführt.
  • Bei manchen Ausführungsformen liegt die Quellleistung der Plasmaquelle während der Plasmaentfernung der Kohlenstoffs-basierten Rückstände zwischen ungefähr 100 W und ungefähr 1000 W für die Ausführungsformen 1 bis 7. Die Vorspannungsleistung beträgt ungefähr 0 W. Der Druck in der Plasmabearbeitungskammer liegt zwischen ungefähr 1 mTorr und ungefähr 5 mTorr. Die Durchflussrate des Sauerstoffs in der Plasmabearbeitungskammer liegt zwischen ungefähr 0 sccm und ungefähr 100 sccm. Die Durchflussrate des Wasserstoffs in der Plasmabearbeitungskammer liegt zwischen ungefähr 0 sccm und ungefähr 300 sccm. Eine Durchflussrate des Stickstoffs liegt zwischen ungefähr 0 sccm und ungefähr 50 sccm. Chlor wird der Plasmabearbeitungskammer mit einer Durchflussrate von ungefähr 20 sccm bis ungefähr 100 sccm zugeführt. He oder Ar werden der Plasmabearbeitungskammer mit einer Durchflussrate von ungefähr 0 sccm bis ungefähr 300 sccm zugeführt. Das Plasma wird für eine Dauer von ungefähr 5 s bis ungefähr 100 s auf die kontaminierte Fotomaske angewendet. Bei manchen Ausführungsformen ist die Leistung, welche an die Kammer angelegt wird, HF-Leistung, wie beispielsweise HF-Leistung von 13,6 KHz.
  • Bei manchen Ausführungsformen liegt die Quellleistung, welche an die Kammer angelegt wird, zwischen ungefähr 200 W und ungefähr 800 W. Bei manchen Ausführungsformen liegt die Quellleistung, welche an die Kammer angelegt wird, zwischen ungefähr 400 W und ungefähr 600 W. Bei manchen Ausführungsformen wird Sauerstoff mit einer Durchflussrate von ungefähr 10 sccm bis ungefähr 100 sccm auf die Kammer angewendet. Bei manchen Ausführungsformen wird Sauerstoff mit einer Durchflussrate von ungefähr 20 sccm bis ungefähr 80 sccm auf die Kammer angewendet. Bei manchen Ausführungsformen wird Wasserstoff mit einer Durchflussrate von ungefähr 20 sccm bis ungefähr 100 sccm auf die Kammer angewendet. Bei manchen Ausführungsformen wird Wasserstoff mit einer Durchflussrate von ungefähr 30 sccm bis ungefähr 80 sccm auf die Kammer angewendet. Bei manchen Ausführungsformen wird Stickstoff mit einer Durchflussrate von ungefähr 10 sccm bis ungefähr 50 sccm auf die Kammer angewendet. Bei manchen Ausführungsformen wird Stickstoff mit einer Durchflussrate von ungefähr 20 sccm bis ungefähr 40 sccm auf die Kammer angewendet. Bei manchen Ausführungsformen wird Chlor mit einer Durchflussrate von ungefähr 20 sccm bis ungefähr 100 sccm auf die Kammer angewendet. Bei manchen Ausführungsformen wird Chlor mit einer Durchflussrate von ungefähr 40 sccm bis ungefähr 80 sccm auf die Kammer angewendet. Bei manchen Ausführungsformen werden Helium oder Argon mit einer Durchflussrate von ungefähr 60 sccm bis ungefähr 300 sccm auf die Kammer angewendet. Bei manchen Ausführungsformen werden Helium oder Argon mit einer Durchflussrate von ungefähr 100 sccm bis ungefähr 250 sccm auf die Kammer angewendet. Bei manchen Ausführungsformen, wird das Plasma für ungefähr 20 s bis ungefähr 80 s auf die Fotomaske angewendet. Bei manchen Ausführungsformen, wird das Plasma für ungefähr 30 s bis ungefähr 70 s auf die Fotomaske angewendet.
  • Bei manchen Ausführungsformen sind Sauerstoff und Chlor die einzigen Gase, welche der Kammer zugeführt werden. Bei manchen Ausführungsformen sind Sauerstoff und Stickstoff die einzigen Gase, welche der Kammer zugeführt werden. Bei manchen Ausführungsformen sind Sauerstoff und Helium die einzigen Gase, welche der Kammer zugeführt werden. Bei manchen Ausführungsformen sind Sauerstoff und Argon die einzigen Gase, welche der Kammer zugeführt werden. Bei manchen Ausführungsformen ist Wasserstoff das einzige Gas, welches der Kammer zugeführt wird. Bei manchen Ausführungsformen sind Wasserstoff und Helium die einzigen Gase, welche der Kammer zugeführt werden. Bei manchen Ausführungsformen sind Wasserstoff und Argon die einzigen Gase, welche der Kammer zugeführt werden.
  • Bei manchen Ausführungsformen weist Sauerstoff eine höhere Kohlenstoff-Rückstandsentfernungsrate als Wasserstoff auf, welcher eine höhere Kohlenstoff-Entfernungsrate aufweist als Argon und Helium. Jedoch kann die höhere Kohlenstoff-Entfernungsrate des Gases auch zu einer Beschädigung der Ru-Deckschicht führen, wenn die c-Blitz-Reinigungsbehandlungsdauer nicht adäquat überwacht wird. Wenn der Kohlenstoff-Rückstand einmal entfernt ist, sollte das Plasma ausgeschaltet werden, um eine Beschädigung an der Maske zu vermeiden.
  • 8 ist ein Ablaufdiagramm, welches ein Verfahren 600 gemäß einer Ausführungsform der Offenbarung illustriert. Beim Durchführen von S610 wird eine Fotomaske 205c ausgebildet. Bei manchen Ausführungsformen umfasst Ausbilden der Fotomaske ein Ausbilden der Multischicht, der Deckschicht und der Absorberschicht über dem Substrat und dann Strukturieren der Absorberschicht, um die Maskenstruktur auszubilden. Die Fotomaske 205c wird beim Durchführen von S620 in einem fotolithografischen Prozess verwendet, um eine Fotoresiststruktur auf einem Substrat auszubilden. Bei manchen Ausführungsformen wird die Fotomaske 205c für 1000 oder mehr Belichtungen (oder Aufnahmen) verwendet. Bei manchen Ausführungsformen wird die Fotomaske 205c für 2000 oder mehr Belichtungen (Aufnahmen) verwendet. Nach einer Anzahl von Belichtungen (Aufnahmen) wird die Fotomaske 205c beim Durchführen von S630 in einer Plasmaverarbeitungs- oder -reinigungskammer plasmabearbeitet, um eine Kontaminierung von einer Oberfläche der Fotomaske zu entfernen. Das Plasma umfasst Sauerstoff-Plasma oder Wasserstoff-Plasma. Bei manchen Ausführungsformen wird während des Plasmaprozesses beim Durchführen von S640 der Plasmabearbeitungskammer Chlor zugeführt. Bei manchen Ausführungsformen wird während des Plasmaprozesses beim Durchführen von S650 der Plasmabearbeitungskammer Stickstoff zugeführt. Bei manchen Ausführungsformen wird während des Plasmaprozesses beim Durchführen von S660 der Plasmabearbeitungskammer Helium oder Argon zugeführt.
  • 9 ist ein Ablaufdiagramm, welches ein Verfahren 700 gemäß einer Ausführungsform der Offenbarung illustriert. Bei Durchführung von S710 wird ein mit Fotoresist beschichtetes Substrat mit aktinischer Strahlung belichtet, welche von einer reflektierenden Fotomaske 205c reflektiert wurde. Nach einer Anzahl von Belichtungen (Aufnahmen) wird die reflektierende Fotomaske 205c beim Durchführen von S720 in einer Kammer angeordnet, wie beispielsweise einer Reinigungskammer. Die reflektierende Fotomaske 205c wird beim Durchführen von S730 einem Plasma in der Kammer ausgesetzt, um eine Kontaminierung von einer Oberfläche der Fotomaske zu entfernen. Das Plasma umfasst Sauerstoff-Plasma oder Wasserstoff-Plasma.
  • 10 ist ein Ablaufdiagramm, welches ein Verfahren 800 zum Reduzieren von Weißfleckendefekten und Gleichmäßigkeitsdrift der kritischen Abmessungen gemäß einer Ausführungsform 800 illustriert. Beim Durchführen von S810 wird ein mit Fotoresist beschichtetes Substrat mit aktinischer Strahlung belichtet, welche von einer reflektierenden Fotomaske 205c reflektiert wurde. Beim Durchführen von S820 wird eine reflektierende Fotomaske 205c, ohne Verwendung der reflektierenden Fotomaske bei einer fotolithografischen Operation, für eine Zeitspanne gelagert. Entweder nach Durchführen von S810 oder nach Durchführen von S820 wird die reflektierende Fotomaske 205c beim Durchführen von S830 in einer Kammer angeordnet. Eine Kontaminierung mit Kohlenstoff-basierten Rückständen wird beim Durchführen von S840 unter Verwendung eines Plasmas von der Oberfläche der reflektierenden Fotomaske 205c entfernt. Bei manchen Ausführungsformen wird die reflektierende Fotomaske 205c beim Durchführen von S850 geprüft, um festzustellen, ob die Kontaminierung mit Kohlenstoff-basierten Rückständen entfernt ist.
  • 11 ist ein Ablaufdiagramm, welches ein Verfahren 900 gemäß einer Ausführungsform der Offenbarung illustriert. Beim Durchführen von S910 wird festgestellt, ob eine Oberfläche einer Fotomaske 205c mit einem Kohlenstoff-basierten Rückstand kontaminiert ist. Die Fotomaske 205c wird beim Durchführen von S920 in einer Kammer angeordnet, wenn festgestellt wird, dass die Fotomaske 205c mit dem Kohlenstoff-basierten Rückstand kontaminiert ist. Bei manchen Ausführungsformen wird die Fotomaske 205c in der Kammer angeordnet, wenn der Betrag einer Kontaminierung mit Kohlenstoff-Rückständen einen Schwellenbetrag erreicht. Beim Durchführen von S930 wird die Fotomaske einem Plasma ausgesetzt, um den Kohlenstoff-basierten Rückstand zu entfernen. Nach der Plasmaeinwirkung wird beim Durchführen von S940 festgestellt, ob die Kontaminierung mit Kohlenstoff-Rückständen entfernt wurde. Wenn die Kontaminierung mit Kohlenstoff-Rückständen beim Durchführen von S940 entfernt wurde, wird die Fotomaske 205c bei manchen Ausführungsformen verwendet, um beim Durchführen von S950 ein mit Fotoresist beschichtetes Substrat mit extremer Ultraviolett-Strahlung zu belichten. Bei manchen Ausführungsformen werden beim Durchführen von S960 der Kammer während der Plasmaeinwirkung auf die Fotomaske Chlor, Stickstoff, Helium oder Argon zugeführt.
  • Bei manchen Ausführungsformen wird die Feststellung davon, ob die Oberfläche der Fotomaske mit Kohlenstoff-basierten Rückständen kontaminiert ist, und die Feststellung davon, ob die Kohlenstoff-basierten Rückstände entfernt wurden, durch Prüfen der Oberfläche der Fotomaske erzielt. Bei manchen Ausführungsformen wird die Prüfung unter Verwendung visueller Verfahren durchgeführt. Die visuellen Verfahren können Verwenden von Transmissionselektronenmikroskopie (TEM) umfassen, um die Oberfläche der Maske abzubilden. Bei manchen Ausführungsformen wird energiedispersive Röntgenspektroskopie (EDS) zusammen mit TEM verwendet, um die Verteilung von Verunreinigungen durch Kohlenstoff-Rückstände auf der Fotomaske abzubilden. Bei manchen Ausführungsformen werden Infrarotanalyseverfahren verwendet. Bei anderen Ausführungsformen werden Gleichmäßigkeitsdrift der kritischen Abmessungen, Abstandsdrift oder Weißfleckendefekte in den Strukturen überwacht, welche auf den mit Fotoresist beschichteten Substraten ausgebildet sind, und wenn die Gleichmäßigkeitsdrift der kritischen Abmessungen, die Abstandsdrift oder die Anzahl Weißfleckendefekte einen Schwellenwert übersteigen, wird die Fotomaske den hier beschriebenen Plasmakontaminierungsentfernungsprozessen unterzogen.
  • Fotomasken, welche der Plasmaentfernung (c-Blitz) Kohlenstoff-basierter Rückstände gemäß Ausführungsformen der Offenbarung unterworfen wurden, weisen eine verbesserte Gleichmäßigkeit von Abständen und kritischer Abmessungen auf. Zusätzlich reduzieren Verfahren gemäß der vorliegenden Offenbarung Weißfleckendefekte auf EUV-Fotomasken. Die Verfahren der vorliegenden Offenbarung stellen deshalb schärfere, Kontrast reichere strukturierte Merkmale bereit als strukturierte Merkmale, welche unter Verwendung von Fotomasken ausgebildet werden, welche den Plasmaentfernungsoperationen der vorliegenden Offenbarung nicht unterworfen werden.
  • Bei manchen Ausführungsformen verbessert Durchführen einer Entfernung einer Kohlenwasserstoff-Kontaminierung gemäß der vorliegenden Offenbarung die Gleichmäßigkeit kritischer Abmessungen. Bei manchen Ausführungsformen wird eine Verbesserung von ungefähr 50 % oder mehr der Standardabweichung der Gleichmäßigkeit kritischer Abmessungen gegenüber der Verwendung von Fotomasken erzielt, welche nicht der Entfernung einer Kohlenwasserstoff-Kontaminierung gemäß Ausführungsformen der Offenbarung unterzogen werden. Bei manchen Ausführungsformen beträgt die Standardabweichung der Gleichmäßigkeit kritischer Abmessungen der Strukturen, welche durch Fotomasken nach ungefähr 2000 Belichtungen ohne Durchführen der Kohlenwasserstoff-Entfernungsoperation (c-Blitz) der vorliegenden Offenbarung ausgebildet werden, ungefähr 0,63 und beträgt die Standardabweichung der Gleichmäßigkeit kritischer Abmessungen der Strukturen, welche durch Fotomasken nach ungefähr 2000 Belichtungen und der Kohlenwasserstoff-Entfernungsoperation der vorliegenden Offenbarung ausgebildet werden, ungefähr 0,34.
  • Bei manchen Ausführungsformen wird nach der Plasmaverarbeitung die Mitte-zu-Rand-Differenz der Abstandsdrift über die Fotomaske hinweg auf ungefähr 0,1 nm oder weniger reduziert. Bei manchen Ausführungsformen wird nach der Plasmaverarbeitung die Abstandsdrift auf ungefähr 0,1 nm des Anfangswerts der Fotomaske vor der Verwendung der Fotomaske zum Belichten von Fotoresistschichten wiederhergestellt.
  • Bei manchen Ausführungsformen weisen Fotomasken, welche periodischen Entfernungsoperationen von Kohlenwasserstoff-Verunreinigungen gemäß der vorliegenden Offenbarung unterzogen werden, eine über 100× längere Lebensdauer auf als Fotomasken, welche einer Entfernung von Kohlenwasserstoff-Verunreinigungen gemäß der vorliegenden Offenbarung nicht unterzogen werden. Eine Gleichmäßigkeitsdrift von Abständen und kritischen Abmessungen wird bei manchen Ausführungsformen nach ungefähr 800 Belichtungen, ohne Durchführen der Kohlenwasserstoff-Entfernungsoperation (c-Blitz) der vorliegenden Offenbarung, nicht akzeptabel. Verwenden der Kohlenwasserstoff-Entfernungsoperation der vorliegenden Offenbarung erhöht die Anzahl von Belichtungen auf ungefähr 17.000 zwischen c-Blitz-Operationen, bis die Gleichmäßigkeitsdrift von Abständen und kritischen Abmessungen bei manchen Ausführungsformen nicht akzeptabel wird, eine Erhöhung der Anzahl von Belichtungen um mehr als 20×. Weil die c-Blitz-Operation bis zu fünf Mal durchgeführt werden kann, wird die gesamte Lebensdauer der Fotomaske gemäß den Verfahren der vorliegenden Offenbarung auf über 100× der Lebensdauer von Fotomasken erhöht, welche den Kohlenwasserstoff-Entfernungsoperationen der vorliegenden Offenbarung nicht unterzogen werden. Folglich verbessern die Verfahren der vorliegenden Offenbarung eine Halbleiterelementeausbeute und stellen einen effizienteren Halbleiterelementeherstellungsprozess bereit.
  • Bei manchen Ausführungsformen reduziert die Entfernungsoperation von Kohlenwasserstoff-Verunreinigungen gemäß der vorliegenden Offenbarung wesentlich oder eliminiert eine Gleichmäßigkeitsdrift der kritischen Abmessungen; reduziert wesentlich oder eliminiert eine Abstandsvorspannungsdrift und reduziert wesentlich oder eliminiert Weißflecken auf der Fotomaske.
  • Eine Ausführungsform der Offenbarung ist ein Verfahren, umfassend Belichten eines mit Fotoresist beschichteten Substrats mit Strahlung, welche von einer reflektierenden Fotomaske reflektiert wird, oder Lagern der reflektierenden Fotomaske für eine Zeitspanne, ohne die reflektierende Fotomaske bei einer fotolithografischen Operation zu verwenden. Eine Kontaminierung bildet sich während des Belichtens oder Lagerns auf einer Oberfläche der reflektierenden Fotomaske aus. Die reflektierende Fotomaske, welche eine Kontaminierung auf einer Oberfläche davon aufweist, wird nach Verwenden der reflektierenden Fotomaske, um das mit Fotoresist beschichtete Substrat zu belichten, oder nach der Zeitspanne in einer Plasmabearbeitungskammer angeordnet. Die reflektierende Fotomaske mit der Kontaminierung wird in der Plasmabearbeitungskammer plasmabearbeitet, um die Kontaminierung von der Oberfläche zu entfernen. Das Plasma umfasst Sauerstoff-Plasma oder Wasserstoff-Plasma. Bei einer Ausführungsform wird die Plasmabearbeitungskammer während der Plasmaverarbeitung bei einem Druck von 1 mTorr bis 5 mTorr gehalten. Bei einer Ausführungsform ist die Kontaminierung ein Kohlenstoff-basierter Rückstand. Bei einer Ausführungsform umfasst der Kohlenstoff-basierte Rückstand einen Kohlenwasserstoff. Bei einer Ausführungsform ist die Kontaminierung auf einer Struktur in einer Absorberschicht der Fotomaske angeordnet. Bei einer Ausführungsform wird Sauerstoff der Plasmabearbeitungskammer mit einer Durchflussrate von 10 sccm bis 100 sccm zugeführt. Bei einer Ausführungsform wird Sauerstoff der Plasmabearbeitungskammer mit einer Durchflussrate von 20 sccm bis 50 sccm zugeführt. Bei einer Ausführungsform umfasst das Verfahren Zuführen von Chlor in die Plasmabearbeitungskammer mit einer Durchflussrate von 20 sccm bis 100 sccm. Bei einer Ausführungsform umfasst das Verfahren Zuführen von Stickstoff in die Plasmabearbeitungskammer mit einer Durchflussrate von 10 sccm bis 50 sccm. Bei einer Ausführungsform wird Wasserstoff der Plasmabearbeitungskammer mit einer Durchflussrate von 20 sccm bis 100 sccm zugeführt. Bei einer Ausführungsform umfasst das Verfahren Zuführen von Helium oder Argon in die Plasmabearbeitungskammer mit einer Durchflussrate von 60 sccm bis 300 sccm. Bei einer Ausführungsform liegt eine Quellleistung der Plasmabearbeitungskammer während der Plasmaverarbeitung zwischen 100 W und 1000 W. Bei einer Ausführungsform liegt eine Dauer der Plasmaverarbeitung zwischen 5 s und 100 s. Bei einer Ausführungsform umfasst das Verfahren ein Prüfen der reflektierenden Fotomaske, um festzustellen, ob die Kontaminierung nach Einwirken des Plasmas auf die reflektierende Fotomaske entfernt ist.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren, welches Ausbilden einer Fotomaske und Verwenden der Fotomaske in einem fotolithografischen Prozess umfasst, um eine Fotoresiststruktur auf einem Substrat auszubilden. Die Fotomaske wird nach Verwenden der Fotomaske in einem fotolithografischen Prozess in einer Plasmabearbeitungskammer plasmabearbeitet, um eine Kontaminierung von einer Oberfläche der Fotomaske zu entfernen. Das Plasma umfasst Sauerstoff-Plasma oder Wasserstoff-Plasma. Bei einer Ausführungsform umfasst das Ausbilden einer Fotomaske Operationen des Ausbildens einer Mo/Si-Multischicht über einem Substrat, des Ausbildens einer Deckschicht über der Mo/Si-Multischicht, des Ausbildens einer Absorberschicht über der Deckschicht, des Ausbildens einer Hartmaskenschicht über der Absorberschicht und des Ausbildens einer ersten Fotoresistschicht über der Hartmaskenschicht. Bei einer Ausführungsform umfasst das Verfahren ein Strukturieren der ersten Fotoresistschicht, um einen Abschnitt der Hartmaskenschicht freizulegen, ein Ätzen des freiliegenden Abschnitts der Hartmaskenschicht, um einen Abschnitt der Absorberschicht freizulegen, ein Ätzen des freiliegenden Abschnitts der Absorberschicht, um einen Abschnitt der Deckschicht freizulegen, und ein Entfernen der Hartmaskenschicht, um eine obere Oberfläche der Absorberschicht freizulegen. Bei einer Ausführungsform wird die Plasmabearbeitungskammer während der Plasmaverarbeitung bei einem Druck von 1 mTorr bis 5 mTorr gehalten. Bei einer Ausführungsform ist die Kontaminierung ein Kohlenstoff-basierter Rückstand. Bei einer Ausführungsform umfasst der Kohlenstoff-basierte Rückstand einen Kohlenwasserstoff. Bei einer Ausführungsform ist die Kontaminierung auf einer Struktur in einer Absorberschicht der Fotomaske angeordnet. Bei einer Ausführungsform wird Sauerstoff der Plasmabearbeitungskammer mit einer Durchflussrate von 10 sccm bis 100 sccm zugeführt. Bei einer Ausführungsform wird Sauerstoff der Plasmabearbeitungskammer mit einer Durchflussrate von 20 sccm bis 50 sccm zugeführt. Bei einer Ausführungsform umfasst das Verfahren Zuführen von Chlor in die Plasmabearbeitungskammer mit einer Durchflussrate von 20 sccm bis 100 sccm. Bei einer Ausführungsform umfasst das Verfahren Zuführen von Stickstoff in die Plasmabearbeitungskammer mit einer Durchflussrate von 10 sccm bis 50 sccm. Bei einer Ausführungsform wird Wasserstoff der Plasmabearbeitungskammer mit einer Durchflussrate von 20 sccm bis 100 sccm zugeführt. Bei einer Ausführungsform umfasst das Verfahren Zuführen von Helium oder Argon in die Plasmabearbeitungskammer mit einer Durchflussrate von 60 sccm bis 300 sccm. Bei einer Ausführungsform liegt eine Quellleistung der Plasmabearbeitungskammer während der Plasmaverarbeitung zwischen 100 W und 1000 W. Bei einer Ausführungsform liegt die Dauer der Plasmaverarbeitung zwischen 5 s und 100 s.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren, welches Ausbilden einer Fotomaske und Lagern der Fotomaske in einer Fotomaskenhülle umfasst. Die Fotomaske wird nach Lagern der Fotomaske in der Fotomaskenhülle in einer Plasmabearbeitungskammer plasmabearbeitet, um eine Kontaminierung von einer Oberfläche der Fotomaske zu entfernen. Das Plasma umfasst Sauerstoff-Plasma oder Wasserstoff-Plasma. Bei einer Ausführungsform umfasst das Ausbilden einer Fotomaske Operationen des Ausbildens einer Mo/Si-Multischicht über einem Substrat, des Ausbildens einer Deckschicht über der Mo/Si-Multischicht, des Ausbildens einer Absorberschicht über der Deckschicht, des Ausbildens einer Hartmaskenschicht über der Absorberschicht und des Ausbildens einer ersten Fotoresistschicht über der Hartmaskenschicht. Bei einer Ausführungsform umfasst das Verfahren ein Strukturieren der ersten Fotoresistschicht, um einen Abschnitt der Hartmaskenschicht freizulegen, ein Ätzen des freiliegenden Abschnitts der Hartmaskenschicht, um einen Abschnitt der Absorberschicht freizulegen, ein Ätzen des freiliegenden Abschnitts der Absorberschicht, um einen Abschnitt der Deckschicht freizulegen, und ein Entfernen der Hartmaskenschicht, um eine obere Oberfläche der Absorberschicht freizulegen. Bei einer Ausführungsform wird die Plasmabearbeitungskammer während der Plasmaverarbeitung bei einem Druck von 1 mTorr bis 5 mTorr gehalten. Bei einer Ausführungsform ist die Kontaminierung ein Kohlenstoff-basierter Rückstand. Bei einer Ausführungsform umfasst der Kohlenstoff-basierte Rückstand einen Kohlenwasserstoff. Bei einer Ausführungsform ist die Kontaminierung auf einer Struktur in einer Absorberschicht der Fotomaske angeordnet. Bei einer Ausführungsform wird Sauerstoff der Plasmabearbeitungskammer mit einer Durchflussrate von 10 sccm bis 100 sccm zugeführt. Bei einer Ausführungsform wird Sauerstoff der Plasmabearbeitungskammer mit einer Durchflussrate von 20 sccm bis 50 sccm zugeführt. Bei einer Ausführungsform umfasst das Verfahren Zuführen von Chlor in die Plasmabearbeitungskammer mit einer Durchflussrate von 20 sccm bis 100 sccm. Bei einer Ausführungsform umfasst das Verfahren Zuführen von Stickstoff in die Plasmabearbeitungskammer mit einer Durchflussrate von 10 sccm bis 50 sccm. Bei einer Ausführungsform wird Wasserstoff der Plasmabearbeitungskammer mit einer Durchflussrate von 20 sccm bis 100 sccm zugeführt. Bei einer Ausführungsform umfasst das Verfahren Zuführen von Helium oder Argon in die Plasmabearbeitungskammer mit einer Durchflussrate von 60 sccm bis 300 sccm. Bei einer Ausführungsform liegt eine Quellleistung der Plasmabearbeitungskammer während der Plasmaverarbeitung zwischen 100 W und 1000 W. Bei einer Ausführungsform liegt die Dauer der Plasmaverarbeitung zwischen 5 s und 100 s.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren, welches Belichten eines mit Fotoresist beschichteten Substrats mit aktinischer Strahlung umfasst, welche von einer reflektierenden Fotomaske reflektiert wird. Die reflektierende Fotomaske wird nach Verwenden der reflektierenden Fotomaske, um das mit Fotoresist beschichtete Substrat zu belichten, in einer Kammer angeordnet. Die reflektierende Fotomaske wird einem Plasma in der Kammer ausgesetzt, um eine Kontaminierung von einer Oberfläche der reflektierenden Fotomaske zu entfernen. Das Plasma umfasst Sauerstoff-Plasma oder Wasserstoff-Plasma. Bei einer Ausführungsform umfasst Belichten eines mit Fotoresist beschichteten Substrats mit aktinischer Strahlung ein Durchführen mehrerer Fotoresistbelichtungen mit aktinischer Strahlung. Bei einer Ausführungsform liegen die mehreren Fotoresistbelichtungen zwischen 100 und 2500. Bei einer Ausführungsform ist die aktinische Strahlung extreme Ultraviolett-Strahlung. Bei einer Ausführungsform umfasst das Plasma weiterhin Chlor, Stickstoff, Helium, Argon oder Kombinationen davon.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren zum Reduzieren von Weißfleckendefekten und einer Gleichmäßigkeitsdrift von kritischen Abmessungen, umfassend Belichten eines mit Fotoresist beschichteten Substrats mit aktinischer Strahlung, welche von einer reflektierenden Fotomaske reflektiert wird, oder Lagern der reflektierenden Fotomaske für eine Zeitspanne, ohne die reflektierende Fotomaske bei einer fotolithografischen Operation zu verwenden. Die reflektierende Fotomaske wird nach Verwenden der reflektierenden Fotomaske, um das mit Fotoresist beschichtete Substrat zu belichten, oder nach der Zeitspanne in einer Kammer angeordnet. Nach Anordnen der reflektierenden Fotomaske in der Kammer wird eine Kontaminierung mit Kohlenstoff-basierten Rückständen von einer Oberfläche der reflektierenden Fotomaske unter Verwendung eines Plasmas entfernt. Bei einer Ausführungsform umfasst das Plasma Sauerstoff, Wasserstoff, Argon, Helium, Chlor oder Stickstoff. Bei einer Ausführungsform umfasst Belichten eines mit Fotoresist beschichteten Substrats mit aktinischer Strahlung ein Durchführen mehrerer Fotoresistbelichtungen mit aktinischer Strahlung. Bei einer Ausführungsform liegen die mehreren Fotoresistbelichtungen zwischen 100 und 2500. Bei einer Ausführungsform ist die Zeitspanne mindestens 30 Tage. Bei einer Ausführungsform ist die Zeitspanne 30 Tage bis 180 Tage. Bei einer Ausführungsform ist die aktinische Strahlung extreme Ultraviolett-Strahlung. Bei einer Ausführungsform umfasst das Verfahren ein Prüfen der reflektierenden Fotomaske, um festzustellen, ob die Kontaminierung mit Kohlenstoff-basierten Rückständen entfernt ist.
  • Eine andere Ausführungsform der Offenbarung ist ein Verfahren, welches Feststellen umfasst, ob eine Oberfläche einer Fotomaske mit einem Kohlenstoff-basierten Rückstand kontaminiert ist. Die Fotomaske wird in einer Kammer angeordnet, wenn festgestellt wird, dass die Oberfläche der Fotomaske mit dem Kohlenstoff-basierten Rückstand kontaminiert ist. Die Fotomaske wird einem Plasma in der Kammer ausgesetzt, um den Kohlenstoff-basierten Rückstand zu entfernen. Die Fotomaske wird geprüft, um festzustellen, ob der Kohlenstoff-basierte Rückstand nach Plasmaeinwirkung auf die Fotomaske entfernt ist. Bei einer Ausführungsform umfasst das Verfahren nach Feststellen, dass der Kohlenstoff-basierte Rückstand entfernt ist, ein Verwenden der Fotomaske, um ein mit Fotoresist beschichtetes Substrat mit extremer Ultraviolett-Strahlung zu belichten. Bei einer Ausführungsform umfasst das Plasma Sauerstoff-Plasma oder Wasserstoff-Plasma. Bei einer Ausführungsform wird die Kammer während der Plasmaeinwirkung auf die Fotomaske bei einem Druck von 1 mTorr bis 5 mTorr gehalten. Bei einer Ausführungsform umfasst das Verfahren während der Plasmaeinwirkung auf die Fotomaske Zuführen von Chlor, Stickstoff, Helium oder Argon in die Kammer. Bei einer Ausführungsform wird das Plasma mit einer Leistung im Bereich von 100 W bis 1000 W auf die Fotomaske angewendet. Bei einer Ausführungsform wird das Plasma für eine Zeitspanne im Bereich von 5 s bis 100 s auf die Fotomaske angewendet
  • Die oben stehende Beschreibung skizziert Merkmale von mehreren Ausführungsformen oder Beispielen, so dass Durchschnittsfachleute die Gesichtspunkte der vorliegenden Offenbarung besser verstehen können. Durchschnittsfachleute sollten erkennen, dass sie die vorliegende Offenbarung als eine Basis zum Entwerfen oder Modifizieren anderer Prozesse und Strukturen zum Ausführen der gleichen Zwecke und/oder zum Erzielen der gleichen Vorteile der hier eingeführten Ausführungsformen oder Beispiele unmittelbar verwenden können. Durchschnittsfachleute sollten auch realisieren, dass derartige äquivalente Konstruktionen den Gedanken und Schutzumfang der vorliegenden Offenbarung nicht verlassen und dass sie hier verschiedene Veränderungen, Substitutionen und Abänderungen anfertigen können, ohne den Gedanken und Schutzumfang der vorliegenden Offenbarung zu verlassen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62738003 [0001]

Claims (20)

  1. Verfahren, Folgendes umfassend: Belichten eines mit Fotoresist beschichteten Substrats mit Strahlung, welche von einer reflektierenden Fotomaske reflektiert wird, oder Lagern der reflektierenden Fotomaske für eine Zeitspanne, ohne die reflektierende Fotomaske bei einer fotolithografischen Operation zu verwenden, wobei sich während des Belichtens oder Lagerns eine Kontaminierung auf einer Oberfläche der reflektierenden Fotomaske ausbildet; Anordnen der reflektierenden Fotomaske, welche eine Kontaminierung auf einer Oberfläche davon aufweist, in einer Plasmabearbeitungskammer nach Verwenden der reflektierenden Fotomaske, um das mit Fotoresist beschichtete Substrat zu belichten, oder nach der Zeitspanne; und Plasmabearbeiten der reflektierenden Fotomaske, welche die Kontaminierung aufweist, in der Plasmabearbeitungskammer, um die Kontaminierung von der Oberfläche zu entfernen, wobei das Plasma Sauerstoff-Plasma oder Wasserstoff-Plasma umfasst.
  2. Verfahren nach Anspruch 1, wobei die Plasmabearbeitungskammer während der Plasmaverarbeitung bei einem Druck von 1 mTorr bis 5 mTorr gehalten wird.
  3. Verfahren nach Anspruch 1 oder 2, wobei die Kontaminierung auf einer Struktur in einer Absorberschicht der Fotomaske angeordnet ist.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei Sauerstoff bei einer Durchflussrate von 10 sccm bis 100 sccm der Plasmabearbeitungskammer zugeführt wird.
  5. Verfahren nach einem der vorhergehenden Ansprüche, weiterhin umfassend Zuführen von Chlor bei einer Durchflussrate von 20 sccm bis 100 sccm an die Plasmabearbeitungskammer.
  6. Verfahren nach einem der vorhergehenden Ansprüche, weiterhin umfassend Zuführen von Stickstoff bei einer Durchflussrate von 10 sccm bis 50 sccm an die Plasmabearbeitungskammer.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei Wasserstoff bei einer Durchflussrate von 20 sccm bis 100 sccm der Plasmabearbeitungskammer zugeführt wird.
  8. Verfahren nach einem der vorhergehenden Ansprüche, weiterhin umfassend Zuführen von Helium oder Argon bei einer Durchflussrate von 60 sccm bis 300 sccm an die Plasmabearbeitungskammer.
  9. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Quellleistung der Plasmabearbeitungskammer während der Plasmaverarbeitung zwischen 100 W und 1000 W liegt.
  10. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Dauer der Plasmaverarbeitung zwischen 5 s bis 100 s liegt.
  11. Verfahren, Folgendes umfassend: Ausbilden einer Fotomaske; Verwenden der Fotomaske in einem fotolithografischen Prozess, um eine Fotoresiststruktur auf einem Substrat auszubilden; und Plasmabearbeiten der Fotomaske in einer Plasmabearbeitungskammer nach Verwenden der Fotomaske in einem fotolithografischen Prozess, um eine Kontaminierung von einer Oberfläche der Fotomaske zu entfernen, wobei das Plasma Sauerstoff-Plasma oder Wasserstoff-Plasma umfasst.
  12. Verfahren nach Anspruch 11, wobei das Ausbilden der Fotomaske folgende Operationen umfasst: Ausbilden einer Mo/Si-Multischicht über einem Substrat; Ausbilden einer Deckschicht über der Mo/Si-Multischicht; Ausbilden einer Absorberschicht über der Deckschicht; Ausbilden einer Hartmaskenschicht über der Absorberschicht; und Ausbilden einer ersten Fotoresistschicht über der Hartmaskenschicht.
  13. Verfahren nach Anspruch 12, weiterhin Folgendes umfassend: Strukturieren der ersten Fotoresistschicht, um einen Abschnitt der Hartmaskenschicht freizulegen; Ätzen des freigelegten Abschnitts der Hartmaskenschicht, um einen Abschnitt der Absorberschicht freizulegen; Ätzen des freigelegten Abschnitts der Absorberschicht, um einen Abschnitt der Deckschicht freizulegen; Entfernen der Hartmaskenschicht, um eine obere Oberfläche der Absorberschicht freizulegen.
  14. Verfahren nach einem der Ansprüche 11 bis 13, wobei die Plasmabearbeitungskammer während der Plasmaverarbeitung bei einem Druck von 1 mTorr bis 5 mTorr gehalten wird.
  15. Verfahren nach einem der Ansprüche 11 bis 14, wobei Sauerstoff bei einer Durchflussrate von 10 sccm bis 100 sccm der Plasmabearbeitungskammer zugeführt wird.
  16. Verfahren nach einem der Ansprüche 11 bis 15, weiterhin umfassend Zuführen von Chlor an die Plasmabearbeitungskammer bei einer Durchflussrate von 20 sccm bis 100 sccm oder Zuführen von Stickstoff an die Plasmabearbeitungskammer bei einer Durchflussrate von 10 sccm bis 50 sccm.
  17. Verfahren nach einem der Ansprüche 11 bis 16, wobei Wasserstoff bei einer Durchflussrate von 20 sccm bis 100 sccm der Plasmabearbeitungskammer zugeführt wird.
  18. Verfahren nach einem der Ansprüche 11 bis 17, weiterhin umfassend Zuführen von Helium oder Argon bei einer Durchflussrate von 60 sccm bis 300 sccm an die Plasmabearbeitungskammer.
  19. Verfahren nach einem der Ansprüche 11 bis 18, wobei die Quellleistung der Plasmabearbeitungskammer während der Plasmaverarbeitung zwischen 100 W und 1000 W liegt.
  20. Verfahren, Folgendes umfassend, Ausbilden einer Fotomaske; Lagern der Fotomaske in einer Fotomaskenhülle; und Plasmabearbeiten der Fotomaske in einer Plasmabearbeitungskammer nach dem Lagern der Fotomaske in der Fotomaskenhülle, um eine Kontaminierung von einer Oberfläche der Fotomaske zu entfernen, wobei das Plasma Sauerstoff-Plasma oder Wasserstoff-Plasma umfasst.
DE102019124781.0A 2018-09-28 2019-09-16 Verfahren zum herstellen und behandeln einer fotomaske Active DE102019124781B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738003P 2018-09-28 2018-09-28
US62/738,003 2018-09-28
US16/568,028 2019-09-11
US16/568,028 US11360384B2 (en) 2018-09-28 2019-09-11 Method of fabricating and servicing a photomask

Publications (2)

Publication Number Publication Date
DE102019124781A1 true DE102019124781A1 (de) 2020-04-02
DE102019124781B4 DE102019124781B4 (de) 2024-06-06

Family

ID=69781186

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019124781.0A Active DE102019124781B4 (de) 2018-09-28 2019-09-16 Verfahren zum herstellen und behandeln einer fotomaske

Country Status (3)

Country Link
US (2) US11714350B2 (de)
KR (1) KR102401702B1 (de)
DE (1) DE102019124781B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020103552A1 (de) 2020-01-17 2021-07-22 Taiwan Semiconductor Manufacturing Co., Ltd. Euv-masken zur vorbeugung von kohlenstoffverunreinigung

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7482280B2 (en) 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
KR20080001473A (ko) * 2006-06-29 2008-01-03 주식회사 하이닉스반도체 헤이즈 결함을 제거한 포토 마스크의 제조 방법
US7767365B2 (en) 2006-08-31 2010-08-03 Micron Technology, Inc. Methods for forming and cleaning photolithography reticles
US7771895B2 (en) * 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
US20090325387A1 (en) * 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
TW201131615A (en) 2009-12-09 2011-09-16 Asahi Glass Co Ltd Multilayer mirror for euv lithography and process for producing same
JP2013115304A (ja) 2011-11-30 2013-06-10 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5302450B2 (ja) 2012-09-20 2013-10-02 カール・ツァイス・エスエムティー・ゲーエムベーハー 光学面から汚染層を除去するための方法、洗浄ガスを生成するための方法、ならびに対応する洗浄および洗浄ガス生成の構造
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9052595B2 (en) 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US9310675B2 (en) 2013-03-15 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9261774B2 (en) 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
CN106164771B (zh) * 2014-03-11 2020-08-04 芝浦机械电子株式会社 反射型掩膜的清洗装置、及反射型掩膜的清洗方法
US9377693B2 (en) 2014-03-13 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Collector in an extreme ultraviolet lithography system with optimal air curtain protection
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9625824B2 (en) 2015-04-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd Extreme ultraviolet lithography collector contamination reduction
US10459352B2 (en) 2015-08-31 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask cleaning
US10345695B2 (en) 2016-11-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet alignment marks
CN107132733A (zh) 2017-05-11 2017-09-05 湘能华磊光电股份有限公司 一种led芯片光刻显影方法
KR101976059B1 (ko) 2017-05-25 2019-05-07 (주)인터체크 플라즈마를 이용한 레티클 세정장치
DE102017211539A1 (de) 2017-07-06 2019-01-10 Carl Zeiss Smt Gmbh Verfahren zum Entfernen einer Kontaminationsschicht durch einen Atomlagen-Ätzprozess
US11500281B2 (en) 2019-09-02 2022-11-15 Hoya Corporation Reflective film coated substrate, mask blank, reflective mask, and semiconductor device manufacturing method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020103552A1 (de) 2020-01-17 2021-07-22 Taiwan Semiconductor Manufacturing Co., Ltd. Euv-masken zur vorbeugung von kohlenstoffverunreinigung
US11221554B2 (en) 2020-01-17 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination
US11561464B2 (en) 2020-01-17 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination
US11988953B2 (en) 2020-01-17 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination

Also Published As

Publication number Publication date
DE102019124781B4 (de) 2024-06-06
KR20200037095A (ko) 2020-04-08
US20220299865A1 (en) 2022-09-22
KR102401702B1 (ko) 2022-05-26
US11714350B2 (en) 2023-08-01
US20230341767A1 (en) 2023-10-26

Similar Documents

Publication Publication Date Title
DE102015112273B4 (de) Verringerung der Kontamination eines Extrem-Ultraviolett Lithografie-Kollektors
US10962873B2 (en) Extreme ultraviolet mask and method of manufacturing the same
DE102005035769B4 (de) EUV-Lithographiemaske mit magnetischem Kontrast und zugehöriges Herstellungsverfahren sowie zugehöriges Verfahren zum Herstellen eines Halbleiterbauelements
DE102016203094B4 (de) Verfahren und Vorrichtung zum dauerhaften Reparieren von Defekten fehlenden Materials einer photolithographischen Maske
DE102006062993B3 (de) Verfahren zur Photolithographie bei der Halbleiter-Herstellung
US20230367195A1 (en) Extreme ultraviolet mask and method of manufacturing the same
DE102015109260B4 (de) EUV-Lithografiesystem und EUV-Lithografieprozess mit optimiertem Durchsatz und optimierter Stabilität
WO2010043398A1 (de) Euv- lithographievorrichtung und verfahren zum bearbeiten eines optischen elements
DE102006053074A1 (de) Strukturierungsverfahren unter Verwendung chemisch verstärkter Fotolacke und Belichtungsvorrichtung
US20230341767A1 (en) Method of fabricating and servicing a photomask
WO2019025109A1 (de) Reflektives optisches element für die euv-lithographie und verfahren zur anpassung einer geometrie einer komponente
US9952503B2 (en) Method for repairing a mask
DE102005044141B4 (de) Belichtungsgerät und Verfahren zum Betrieb eines Belichtungsgeräts
US11360384B2 (en) Method of fabricating and servicing a photomask
DE102022109191A1 (de) Zwischengitterartiger absorber für extrem-ultraviolettmaske
DE102020201482B4 (de) Vorrichtung und Verfahren zum Reparieren eines Defekts einer optischen Komponente für den extrem ultravioletten Wellenlängenbereich
JP2010045355A (ja) 放射源、リソグラフィ装置、および、デバイス製造方法
DE102022100372A1 (de) Optische anordnung mit beschichtung und verwendungsverfahren
DE102021120742A1 (de) Netzwerk-pellikelmembran und verfahren zu deren herstellung
DE102020133281A1 (de) Euv-fotomaske und zugehörige verfahren
US20050231704A1 (en) Device manufacturing method
DE102017100340B4 (de) Verfahren zum Entwickeln eines lichtempfindlichen Materials und Fotolithografiewerkzeug
DE102020120884A1 (de) Verfahren und Vorrichtung zum Ätzen einer Lithographiemaske
TW202244594A (zh) 移除euv光罩的汙染物
DE102022122378A1 (de) Euv-maske mit abdeckungsschicht

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division