CN1791972A - 等离子体处理装置 - Google Patents

等离子体处理装置 Download PDF

Info

Publication number
CN1791972A
CN1791972A CNA2004800135982A CN200480013598A CN1791972A CN 1791972 A CN1791972 A CN 1791972A CN A2004800135982 A CNA2004800135982 A CN A2004800135982A CN 200480013598 A CN200480013598 A CN 200480013598A CN 1791972 A CN1791972 A CN 1791972A
Authority
CN
China
Prior art keywords
plasma
gas
processing apparatus
container handling
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800135982A
Other languages
English (en)
Other versions
CN100524641C (zh
Inventor
松浦广行
加藤寿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1791972A publication Critical patent/CN1791972A/zh
Application granted granted Critical
Publication of CN100524641C publication Critical patent/CN100524641C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • ing And Chemical Polishing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本发明的目的在于:在纵型等离子体处理装置中,不降低原子团的利用效率,防止等离子体对被处理体的破坏,并抑制空心阴极放电和等离子体引起的溅射的发生。在处理容器32的侧壁的内表面的一部分上设置有在上下方向延伸的凹部74。从配置在凹部74中的等离子体气体喷嘴62喷出的等离子体气体,在凹部74中的等离子体电极76之间的区域PS中被等离子体化,向着被处理体W从凹部74喷出。

Description

等离子体处理装置
技术领域
本发明涉及在比较低的温度下,对半导体晶片等被处理体进行等离子体处理的等离子体处理装置。
背景技术
一般,为了制造半导体集成电路,要对由硅基板构成的半导体晶片进行成膜处理、蚀刻处理、氧化处理、扩散处理、改质处理、除去自然氧化膜的处理等各种处理。在这些处理在纵型的,即所谓批量(batch)式热处理装置上进行的情况下,首先,将晶片从能够容纳多块(例如25块)晶片的盒子中,移放在纵型的晶片螺栓上,分成多段支承在该晶片螺栓上。根据晶片的尺寸,晶片螺栓能够放置30~150块晶片。在将晶片螺栓从下方***可以排气的处理容器内之后,对处理容器内维持气密。之后分别控制处理气体的流量、处理压力、处理温度等各种处理条件,对晶片实施规定的热处理。
然而,随着最近半导体集成电路要求更高的集成化和高微细化,从提高电路元件的特性的观点来看,期望减少半导体集成电路制造工序中的热工序(热过程)。在这种状况下,提出在批量式纵型处理装置中,即使不将晶片暴露在高温下,也可以进行目的处理的等离子体处理。
图9为表示在JP3-224222A中公开的等离子体处理装置的结构的概略横截面图。在该等离子体处理装置中,在可抽真空的圆筒形的处理容器2的侧壁的外侧,设置有在直径方向相对配置的两对电极4、6。发生等离子体用的高频电源8与一对电极4连接,另一对电极6接地。通过将高频电压施加在电极4、6之间,能够在整个处理容器2内产生等离子体。在处理容器2内的中央部,将半导体晶片W分多段支承。在处理容器2的一侧上配置供给产生等离子体用的气体的气体喷嘴10。通过在处理容器2的外周上设置的加热器12,维持规定的温度,对晶片W进行等离子体处理。
图10为概略地表示JP5-251391A和JP2002-280378A中公开的等离子体处理装置的结构的纵截面图。该等离子体处理装置为所谓的远距离(remote)等离子体方式的处理装置,在可抽真空的纵型处理容器内的隔离的区域或在处理容器外侧的区域中,产生等离子体,对晶片供给生成的原子团。在图10所示的结构中,在圆筒形的处理容器14的侧壁的外侧,设置有等离子体发生容器18,在其内部设置有施加高频电压的电极16和处理气体供给管20。在等离子体发生容器18内产生的原子团,经由在处理容器14的侧壁上形成的多个直径小的原子团供给口24,供给到处理容器14内的晶片W,由此进行等离子体处理。
图9和图10所示的等离子体处理装置,具有为了使用等离子体,即使处理温度比较低,也可以进行所期望的处理的优点。然而,这些现有的装置有以下所示的问题。即:在图9所示的等离子体处理装置中,由于晶片W本身直接暴露在等离子体中,等离子体使晶片表面受到大的破坏。此外,由于设在处理容器2的周围设置的电极4、6产生大量的热,使由电极4、6外侧的加热器12对晶片的温度控制的精度降低。
此外,由于石英制的气体喷嘴10位于在电极4、6之间产生的电场内,等离子体溅射到气体喷嘴10上,产生成为电路元件不良的原因的颗粒。此外,由溅射分解的杂质成分收进晶片W的堆积膜中。再者,由于在供给等离子体气体或处理气体的气体喷嘴10的小直径气体孔10A的一部分上产生大的压力差,会产生所谓的空心阴极(hollowcathode)放电,石英制的气体喷嘴10受到溅射,结果,产生与上述问题同样的问题。
在图10所示的等离子体处理装置中,采用在等离子体发生容器18内产生原子团,通过形成在分开处理容器14和等离子体发生容器18的隔壁上的多个小直径的原子团供给口24,对晶片W供给该原子团的远距离方式。因此,产生的原子团的一部分在到达晶片W前已失去活性,有不能确保在晶片W周边的原子团有充分的浓度的问题。此外,由于原子团供给口24靠近电极16,在原子团供给口24的部分上产生空心阴极放电,溅射石英制的容器侧壁。
发明内容
因此,本发明的目的在于提供一种可防止晶片破坏,并且能够有效地利用产生的原子团的等离子体处理装置。
本发明的另一个目的在于提供可抑制空心阴极放电的发生,同时,可以抑制等离子体的溅射等的发生的等离子体处理装置。
为了达到上述目的,本发明提供一种等离子体处理装置,可对被处理体进行规定的等离子体处理,其特征在于,具有:
可抽真空的筒形的纵型处理容器;
在上述处理容器内分多段保持多个被处理体的被处理体保持机构;
设置在上述处理容器外侧的加热器;
供给在上述处理容器内等离子体化的等离子体气体的等离子体气体喷嘴;和
为将上述等离子体气体等离子体化而设置的,施加高频电压的对向配置的等离子体电极,
其中,在上述处理容器的侧壁的内表面的一部分上设置有在上下方向延伸的凹部,上述等离子体气体喷嘴配置成使其从上述凹部的底部,向着被处理体喷出等离子体气体,上述等离子体电极配置在使从上述等离子体气体喷嘴喷出的等离子体气体在上述凹部中等离子体化的位置上。
在一个优选实施方式中,在与上述处理容器的上述凹部相对的侧壁上形成有排气口。
在一个优选实施方式中,在上述凹部或其附近设置有冷却上述等离子体电极产生的热的冷却装置。
在一个优选实施方式中,上述等离子体气体喷嘴由沿着长度方向形成有多个气体喷射孔的管状体构成。
在一个优选实施方式中,上述等离子体气体喷嘴配置在离被上述等离子体电极夹住的等离子体发生区域充分远的位置上,以便不产生空心阴极放电。
在一个优选实施方式中,有在上述凹部的出口部分上具有决定上述凹部的出口开口面积的槽的槽板,可装卸地设置。
在一个优选实施方式中,还设置有向上述处理容器内未等离子体化的非等离子体气体的非等离子体气体喷嘴。上述非等离子体气体喷嘴能够由沿着长度方向形成有多个气体喷射孔的管状体构成。此外,优选上述非等离子体气体喷嘴配置在上述凹部的外侧,并且在上述凹部的入口附近。
在一个实施方式中,上述等离子体气体为氨气,上述非等离子体气体为硅烷系气体,通过该等离子体处理装置进行的处理为通过等离子体CVD处理形成氮化硅膜(SiN)的处理。在供给上述氨气和上述硅烷系气体期间穿插清洗时间,能够交互间歇地供给上述氨气和上述硅烷系气体。
在一个实施方式中,上述等离子体气体为氢气和氮气的混合气体或氨气,上述非等离子体气体为蚀刻气体,通过该等离子体处理装置进行的处理为除去在被处理体表面上形成的自然氧化膜的等离子体处理。上述蚀刻气体为三氟化氮气体。
附图说明
图1为表示本发明的等离子体处理装置的一个实施方式的纵截面图。
图2为表示等离子体处理装置的结构的横截面图。
图3为放大表示图2中的A部分的示意图。
图4为表示等离子体电极的配置的斜视图。
图5为表示处理气体的供给时间的时序图。
图6为表示槽(slit)板的一例的斜视图。
图7为表示安装槽板的等离子体发生部分的开口部分的横截面图。
图8为表示平行平板型的等离子体电极间的电压和放电开始电压之间关系的图形。
图9为表示现有的等离子体处理装置的一例的概略横截面图。
图10为表示现有的等离子体处理装置的另一例的概略横截面图。
具体实施方式
以下,参照附图详细说明本发明的等离子体处理装置的一个实施方式。图1为表示等离子体处理装置的纵截面图,图2为表示等离子体处理装置(省略加热器的表示)的横截面图,图3为表示图2中的A部分的放大图,图4为表示等离子体电极的配置的斜视图,图5为表示处理气体的供给时间的时序图。以下,以使用氨气作为等离子体化气体(以下称为“等离子体气体”),使用六氯二硅烷(以下称为“HCD”)气体作为未等离子体化气体(以下称为“非等离子体气体”),通过等离子体CVD方法,形成氮化硅膜(SiN)的情况为例进行说明。
等离子体处理装置30具有下端开口、有顶部的圆筒形的处理容器32。该处理容器32的整体由石英构成,处理容器32内的上部通过石英制的顶板34密封。由不锈钢制的圆筒形总管(manifold)36,经由○形圈等密封件38,与32的下端开口连接。处理容器32的下端通过总管36支承。从总管36的下方,将多块半导体晶片W(即被处理体)分多段放置的石英制的晶片螺栓40(即被处理体保持机构)***处理容器32内。在典型的实施方式中,晶片螺栓40的支柱40A,可大致等间隔地,分多段支承直径为300mm的30块晶片W。
晶片螺栓40经由石英制的保温筒42载置在台面44上。旋转轴48贯通开闭总管36的下端开口的不锈钢制的盖46,台面44被支承在旋转轴48上。在盖46和旋转轴48之间设置有磁性流体密封垫50,密封垫50气密地密封并可转动地支承旋转轴48。此外,在盖46的周边和总管36的下端之间设置有○形圈等密封部件52,保持处理容器32内的气密性。旋转轴48安装在螺栓升降机等升降机构54所支承的臂56的前端。因此,晶片螺栓40和与其连接的盖46等零件一起整体地升降,***和脱离处理容器32。其中,台面44固定在盖46上亦可,在此情况下,可以不使晶片螺栓40旋转而进行晶片W的处理。
在总管36中设置有将等离子体气体(在本例子中为氨(NH3)气体)供给到处理容器32内的等离子体气体供给机构58,和供给非等离子体气体(在本例子中为作为硅烷系气体的HCD气体)的非等离子体气体供给机构60。等离子体气体供给机构58具有由石英管构成的等离子体气体分散喷嘴62作为供给等离子体气体用的喷嘴。形成喷嘴62的石英管,在向着处理容器32内水平贯通总管36的侧壁后,弯曲向上延伸。在等离子体气体分散喷嘴62中,沿着其长度方向,以规定间隔形成多个气体喷射孔62A,从各个气体喷射孔62A,向着水平方向,大致均匀地喷射氨气。气体喷射孔62A的直径例如大约为0.4mm。
非等离子体气体供给机构60,具有由石英管构成的非等离子体气体分散喷嘴64作为供给非等离子体气体的喷嘴。形成该喷嘴64的石英管,在向着处理容器32内水平地贯通总管36的侧壁后,弯曲向上延伸。在图示的实施方式中,设置有两个非等离子体气体分散喷嘴64(参照图2和图3)。在各个非等离子体气体分散喷嘴64中,沿着其长度方向,以规定的间隔形成多个气体喷射孔64A,从各个气体喷射孔64A,向着水平方向大致均匀地喷射硅烷系气体。亦可只设置一个非等离子体气体的分散喷嘴64,代替设置两个非等离子体气体分散喷嘴64。
在处理容器32的侧壁的一部分上,沿着其高度方向,设置有作为本发明特征的等离子体发生部68。为真空排出处理容器32的内部气氛,在与等离子体发生部68相反一侧的处理容器32的侧壁上,设置有细长的排气口70。排气口70可通过向着上下方向削去处理容器32的侧壁形成。
当形成等离子体发生部68时,通过沿着上下方向,以规定的宽度削去处理容器32的侧壁,形成上下细长的开口72。在处理容器32一侧开放的同时,具有上下方向细长的内部空间的石英制的盖74(即等离子体室的壁74)气密地与处理容器32的侧壁的外侧焊接接合,以覆盖该开口72。由此,在处理容器32的侧壁的内表面的一部分上形成在上下方向延伸的凹部。上述的开口72为该凹部的出口。由等离子体室壁74和开口72内面的等离子体室壁74包围的空间,能够作为等离子体发生部68。其中,开口72在上下方向形成得充分长,能够在高度方向上覆盖保持在晶片螺栓40上的全部晶片W。开口72从上端至下端中间不切断的连续地在上下延伸。
在等离子体室壁74的两个侧壁的外侧面上,设置有相互相对地在上下方向延伸的细长的一对等离子体电极76。等离子体发生用的高频电源78经由给电线路80,与等离子体电极76连接,通过将13.56MHz的高频电压施加在等离子体电极76上,以产生等离子体(参照图4)。高频电压的频率不限于13.56MHz,其他频率(例如400kHz)亦可。
在处理容器32内向上方延伸的等离子体气体分散喷嘴62,在途中向处理容器32的半径方向的外方弯曲,在等离子体发生部68内的最里面(离开处理容器32的中心最远的部分)向上方延伸。特别是如图3所示,该等离子体气体分散喷嘴62设置在从由一对等离子体电极76夹住的区域(即实质产生主要的等离子体的等离子体发生区域PS)向外侧偏离的位置上。因此,从等离子体气体分散喷嘴62的气体喷射孔62A喷射的氨气进入等离子体发生区域PS中,在此区域中被分解或活性化,向着处理容器32的中心扩散流动。
在例示的实施方式中,开口72的宽度L1为5~10mm,等离子体发生部68的半径方向的长度(深度)L2为60mm,等离子体电极76的宽度L3为20mm,等离子体电极76和等离子体分散喷嘴62之间的距离L4为20mm(参照图3)。此外,处理容器32和等离子体室壁74的厚度分别为5mm。
等离子体室壁74的外侧通过石英制的绝缘保护盖82覆盖。在与等离子体电极76的背面对应的位置上,在绝缘保护盖82中,设置有由冷媒通路84构成的冷却装置86。通过作为冷媒的气体(例如冷却的氮气)在冷媒通路84中的流动,能够冷却等离子体电极76。为了防止高频泄漏,通过未图示的防护物覆盖绝缘保护盖82的外侧。
在等离子体发生部68之外(处理容器32内),在开口72附近,上述两个非等离子体气体分散喷嘴64在上下方向延伸。能够从喷嘴64的各个气体喷射孔64A,向着处理容器32的中心方向,喷射硅烷系气体。
通过焊接,将“]”(方括弧)形截面的排气口盖部件90安装在处理容器32上,以覆盖设置在等离子体发生部68的反对侧上的排气口70。排气盖部件90沿着处理容器32的侧壁向上方延伸。通过具有未图示的真空泵的真空排气***,经由排气口70和处理容器32的上方的气体出口92能够将处理容器32抽真空。为加热处理容器32及其内部的晶片W,筒状的加热器94在处理容器32的外侧包围处理容器32。在排气口70的附近,设置有加热器94的温度控制用的热电偶96(参见图2)。
其次,说明使用上述的等离子体处理装置进行的等离子体处理。这里,以通过等离子体CVD(chemical vapor deposition化学气相沉积)法在晶片表面形成氮化膜的情况作为等离子体处理的例子进行说明。首先,将放置着多块(例如50块)直径为300mm的常温的晶片W的晶片螺栓40,从下方上升***预先升温至预先规定温度的处理容器32内,通过盖46关闭总管36的下端开口,使处理容器32密闭。之后将处理容器32内抽真空,维持规定的处理压力,同时通过增大供给加热器94的电力,使晶片温度上升,维持为规定的处理温度。从等离子体气体供给机构58和非等离子体气体供给机构60分别交互间歇地供给各种处理气体,在支承于旋转的晶片螺栓40上的晶片W的表面上形成氮化硅膜。
详细地说,NH3气体从设置在等离子体发生部68内的等离子体气体分散喷嘴62的各个气体喷射孔62A向水平方向喷射,此外,HCD气体从非等离子体气体分散喷嘴64的各个气体喷射孔64A向水平方向喷射,两种气体反应形成氮化硅膜。在此情况下,上述两种气体不但连续地供给,而且如图5所示,时间错开交互间歇地反复供给,由此在每一层氮化硅膜的薄膜上反复层叠。在NH3气体供给期间T1和HCD气体供给期间T2之间,设定排除残留在处理容器内的气体的清洗期间96(T3)。在一个典型的实施方式中,HCD气体的供给期间T1大约为5分钟,NH3气体的供给期间T2大约为2-3分钟,清洗期间T3大约为2分钟。清洗可通过使N2气等惰性气体在处理容器内流动进行,不用N2气或者除N2气以外,再通过对处理容器内进行真空吸引来进行。在图示的实施方式中,清洗通过真空吸引进行。
从等离子体气体分散喷嘴62的气体喷射孔62A喷出的NH3气体,流入施加高频电压的等离子体电极76之间的等离子体发生区域PS(参见图3)中,在此区域中等离子体化、活性化,产生N*、NH*、NH2 *、NH3 *(记号*表示原子团)等原子团(活性种)。这些原子团经开口72从等离子体发生部68出来,向着处理容器32内的中心方向扩散,在邻近的晶片W之间以层流状态流动。
各个原子团与附着在晶片W表面上的HCD气体的分子反应,形成氮化硅膜。此外,在对附着有原子团的晶片W的表面供给HCD气体的情况下,也同样地形成氮化硅膜。这种等离子体CVD处理的条件为:例如处理温度为300~600℃,处理压力为1333Pa(10Torr)以下,NH3的流量为5000sccm以下,HCD气体的流量为10~80sccm。同时,成膜速度为0.2nm/min左右。
在图9和图10所示的现有的等离子体处理装置中,在气体喷嘴的气体孔或原子团气体导入口上产生空心阴极放电。但是,在本实施方式中,等离子体发生部68(即凹部的内部空间)经由具有充分大的开口面积的开口72与处理容器32的处理部分(表示处理容器32的等离子体发生部68以外的内部空间)连通。因此,在气体在流动方向上,在开口72的前后,气体压力不产生急剧的变化。换言之,在成为凹部出口的开口72附近不产生“孔径效应”。由此,能够防止在开口72附近发生空心阴极放电。此外,等离子体气体分散喷嘴62仅离开等离子体电极76或等离子体发生区域PS规定的距离L4(参照图3)。由此,在比较容易产生空心阴极放电的等离子体气体分散喷嘴62的气体喷射孔62A附近,也能够防止发生空心阴极放电。因此,由于没有由空心阴极放电引起的石英制的等离子体分散喷嘴62或处理容器32的壁面被溅射,因此能够阻止产生由石英材料带来的颗粒。
此外,由于等离子体只局部地在等离子体发生部68内产生,等离子体不到达晶片W,因此,能够防止由等离子体产生的对晶片W的破坏。此外,在等离子体发生部68内产生的原子团,经由具有充分大的开口面积的开口72,供给到晶片W一侧,因此,与现有的远距离等离子体型的处理装置不同,原子团不会消灭,即不会失去活性,能够供给到晶片W一侧,因此能够提高等离子体处理效率。
此外,由于通过冷却装置86冷却在等离子体电极76上产生的热,因此能够防止等离子体电极76上的发热对晶片W的温度控制的不利影响。此外,由于晶片温度控制用的热电偶96(参照图2)在远离等离子体电极76的位置,高频噪声不能侵入热电偶96的输出信号中,因此能够以高精度进行晶片W的温度控制。
在上述实施方式中,使用HCD气体作为硅烷系气体,但不限于此,使用其他的硅烷系气体亦可。作为其他的硅烷系气体能够使用甲硅烷[SiH4]、乙硅烷[Si2H6]、二氯硅烷(DCS)、六甲基二硅氮烷(HMDS)、四氯硅烷(TCS)、二硅烷基胺(DSA)、三硅烷基胺(TSA)、二叔丁基氨基硅烷(BTBAS)等。
在上述实施方式中,等离子体发生部68的开口72的宽度L1(即等离子体发生部68的凹部出口的开口宽度)固定,但根据处理的种类或处理条件,有时期望变更该出口开口的宽度。为可简单地变更出口开口宽度,在处理容器32中形成尺寸充分大的开口72,在该开口72上可自由装卸地设置槽板。如果准备多块形成宽度互不相同的槽的槽板,则通过更换槽板能够简单地变更出口开口的宽度。
图6为表示上述槽板的一例的斜视图,图7为表示安装槽板的等离子体发生部68的开口的部分的概略横截面图。槽板100由比较薄的(例如厚度为3mm左右)石英板构成。在槽板100的中央部形成在槽板100的上下方向延伸的宽度大的通孔形式的气体流通槽102。在槽板100的两侧形成安装用的锥面104。在处理容器32的开口72部分上形成与锥面104嵌合的截面为三角形的凹部106。使锥面104与凹部106嵌合,通过在上下方向使槽板100滑动,能够装卸地固定槽板100。预先准备气体流通槽102的横向宽度L1a不同的多块槽板100,根据处理条件等,选择具有最合适的横向宽度L1a的槽102的槽板100。
通过选择最合适的槽板100,不但能够抑制空心阴数放电的发生,而且能够有效地防止产生的等离子体到达晶片W。因此,能够防止晶片W受到等离子体的破坏。
以下,说明不发生空心阴极放电的条件的研究结果。当将高频电压施加在平行配置的平板形的等离子体电极之间时,改变等离子体电极间的压力时,放电开始电压也变化。此时等离子体电极间的电压P和放电开始电压E的关系,一般为图8所示的关系。即图8所示的特性曲线为向下凸的曲线,在压力为Pb时放电开始电压为极小值。
这里,考虑放在振幅为Ep(有效值为E),角频率为ω的高频电场中的电子。当电极间的压力为P时,设定电子和中性粒子的冲突频率为v时,则电子的运动方程式如下。
m e · dV / dt = e · 2 exp ( iωt ) - m e vV
式中me为电子的质量,V为电子的运动速度,e为电子的电荷。
如果从上式求电子的运动速度V,则可得下式。
V = { e 2 Em e ( iω + v ) } exp ( iωt )
电子群每单位时由从高频电场获得的平均能量W,当电子密度为ne时,如下式所示。
W = Re [ { ( en e V ) * 2 exp ( iωt ) } / 2 ]
= ( n e e 2 / m e v ) { v 2 / ( v 2 + ω 2 ) } E 2
= ( n e e 2 / m e ) { v / ( v 2 + ω 2 ) } E 2
式中“Re”表示取[]内的实数部分。“()*”表示()内的共轭复数。
这里如果K=v/(v22),则K为最大时,放电开始电压E最小。当ω≈υ时满足此条件。
此时等离子体发生部68内的电极间的压力P为P2(参照图3)。此外,等离子体气体分散喷嘴62内的压力为P1,等离子体发生部68之外(处理容器32内)的压力为P3。
如果电极间的压力P升高、ω>>v,则K=v/(v22)≈1,放电开始电压E增大。因此,如此条件地设定等离子体气体分散喷嘴62内的压力P1和喷嘴62与等离子体电极76之间的距离L4(参照图3),由此可使在等离子体分散喷嘴62的内部不发生放电,结果是,防止在气体喷射孔62A中的空心阴极放电的发生。此外,当电极间的压力P比P2小时,如果ω<<v,则ω∝vE2。因此,通过使晶片W的周边的压力P为“P3<P2<P1”,能够防止空心阴极放电的发生。即,决定开口72的宽度L1和气体流通槽102的宽度L1a的值等,以满足上述条件。
在上述实施方式中,以通过等离子体CVD形成氮化硅膜的情况为例进行说明,但通过等离子体CVD形成其他不同种类的膜亦可。此外,通过上述等离子体处理装置实施的处理不仅限于等离子体CVD处理,其他处理,例如等离子体蚀刻处理、等离子体抛光(ashing)处理、等离子体洗净(cleaning)处理亦可。在此情况下,如果使用的气体种类增加,则再设置其它气体分散喷嘴亦可。此外,从各个气体分散喷嘴同时供给必要的处理气体(等离子体气体和非等离子体气体),通过混合气体进行处理亦可。在这种情况下,通过在开口72的出口附近设置非等离子体分散喷嘴64,能够更有效地混合由等离子体气体形成的原子团和非等离子体气体。
在部分或全面地除去在由硅基板构成的晶片W表面上形成的自然氧化膜(SiO2)的清洁处理的情况下,同时供给等离子体气体和非等离子体气体,使两种气体混合。在此情况下,能够使用例如氢和氮的混合气体或氨气作为从等离子体气体分散喷嘴62喷射的等离子体气体。此外,能够使用三氟化氮(NF3)气体作为从非等离子体气体分散喷嘴64喷射的非等离子体气体。此外这种等离子体清洁处理能够在清洁处理容器32的内壁面或处理容器32内的结构物时使用。
此外,本发明的等离子体处理装置在有机系绝缘膜的介电常数改善等离子体处理中亦能适用。不需要加热烧成,使用涂布法(SOG(SpinOn Glass)法)或CVD法形成的MSQ(Methyl Silsequioxane:甲基硅倍半氧烷)系、HSQ(Hydrogen Silsequioxane:氢硅倍半氧烷)系等低介电常数的有机系层间绝缘膜,而能够采用本发明的等离子体处理装置,使用氢气或氨气的等离子体进行等离子体处理。通过氢气的等离子体(活性种)对上述有机系绝缘膜进行30分钟的等离子体处理,可将绝缘膜的介电常数从处理前的2.55改善至处理后的2.40。此外,被处理体不仅限于半导体晶片,玻璃基板或LCD基板等其他基板亦可。

Claims (13)

1.一种等离子体处理装置,对被处理体实施规定的等离子体处理的等离子体处理装置,其特征在于,具备:
可被抽真空的筒形的纵型处理容器;
在所述处理容器内分多段保持多个被处理体的被处理体保持机构;
设置在所述处理容器外侧的加热器;
在所述处理容器内供给等离子体化的等离子体气体的等离子体气体喷嘴;和
为将所述等离子体气体等离子体化而设置的、施加高频电压的相对配置的等离子体电极,
其中,在所述处理容器的侧壁的内表面的一部分上设置有在上下方向延伸的凹部,
所述等离子体气体喷嘴配置成使其从所述凹部的底部向着被处理体喷出等离子体气体,
所述等离子体电极配置在使从所述等离子体气体喷嘴喷出的等离子体气体在所述凹部中等离子体化的位置上。
2.如权利要求1的等离子体处理装置,其特征在于:
在与所述处理容器的所述凹部相对的侧壁上形成有排气口。
3.如权利要求1的等离子体处理装置,其特征在于:
在所述凹部或其附近设置有冷却所述等离子体电极产生的热的冷却装置。
4.如权利要求1的等离子体处理装置,其特征在于:
所述等离子体气体喷嘴由沿着长度方向形成有多个气体喷射孔的管状体构成。
5.如权利要求1的等离子体处理装置,其特征在于:
所述等离子体气体喷嘴配置在离被所述等离子体电极夹住的等离子体发生区域充分远的位置上,以便不产生空心阴极放电。
6.如权利要求1的等离子体处理装置,其特征在于:
有在所述凹部的出口部分上具有决定所述凹部的出口开口面积的槽的槽板,可装卸地设置。
7.如权利要求1的等离子体处理装置,其特征在于:
还具备向所述处理容器内供给未等离子体化的非等离子体气体的非等离子体气体喷嘴。
8.如权利要求7的等离子体处理装置,其特征在于:
所述非等离子体气体喷嘴由沿着长度方向形成有多个气体喷射孔的管状体构成。
9.如权利要求8的等离子体处理装置,其特征在于:
所述非等离子体气体喷嘴配置在所述凹部的外侧、并且在所述凹部的入口附近。
10.如权利要求7的等离子体处理装置,其特征在于:
所述等离子体气体为氨气,所述非等离子体气体为硅烷系气体,通过该等离子体处理装置进行的处理为通过等离子体CVD处理形成氮化硅膜(SiN)的处理。
11.如权利要求10的等离子体处理装置,其特征在于:
在供给所述氨气和所述硅烷系气体期间穿插清洗时间,交互间歇地供给所述氨气和所述硅烷系气体。
12.如权利要求7的等离子体处理装置,其特征在于:
所述等离子体气体为氨气和氮气的混合气体或氨气,所述非等离子体气体为蚀刻气体,通过该等离子体处理装置进行的处理为除去被处理体表面上形成的自然氧化膜的等离子体处理。
13.如权利要求12的等离子体处理装置,其特征在于:
所述蚀刻气体为三氟化氮气体。
CNB2004800135982A 2003-05-19 2004-05-19 等离子体处理装置 Expired - Fee Related CN100524641C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP141045/2003 2003-05-19
JP2003141045A JP4329403B2 (ja) 2003-05-19 2003-05-19 プラズマ処理装置

Publications (2)

Publication Number Publication Date
CN1791972A true CN1791972A (zh) 2006-06-21
CN100524641C CN100524641C (zh) 2009-08-05

Family

ID=33447429

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800135982A Expired - Fee Related CN100524641C (zh) 2003-05-19 2004-05-19 等离子体处理装置

Country Status (7)

Country Link
US (1) US20070137572A1 (zh)
EP (1) EP1638139A4 (zh)
JP (1) JP4329403B2 (zh)
KR (1) KR100856654B1 (zh)
CN (1) CN100524641C (zh)
TW (1) TW200501213A (zh)
WO (1) WO2004102650A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101660138A (zh) * 2008-08-29 2010-03-03 东京毅力科创株式会社 活化气体注入装置、成膜装置和成膜方法
CN103094043A (zh) * 2011-11-07 2013-05-08 松下电器产业株式会社 等离子体处理装置
CN103695839A (zh) * 2013-12-07 2014-04-02 深圳市金凯新瑞光电有限公司 一种应用在镀膜设备中的离子源清洗装置
CN105144338A (zh) * 2012-12-13 2015-12-09 欧瑞康表面解决方案股份公司,特吕巴赫 等离子体源
CN107148323A (zh) * 2014-08-13 2017-09-08 独立行政法人产业技术综合研究所 金属材料的处理装置
CN110544613A (zh) * 2018-05-28 2019-12-06 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN113106419A (zh) * 2020-01-10 2021-07-13 皮考逊公司 衬底处理装置和方法

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001156065A (ja) * 1999-11-24 2001-06-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP4987220B2 (ja) * 2004-01-13 2012-07-25 三星電子株式会社 エッチング装置
JP4495472B2 (ja) * 2004-01-13 2010-07-07 三星電子株式会社 エッチング方法
JP4495470B2 (ja) * 2004-01-13 2010-07-07 三星電子株式会社 エッチング方法
JP4987219B2 (ja) * 2004-01-13 2012-07-25 三星電子株式会社 エッチング装置
JP4495471B2 (ja) * 2004-01-13 2010-07-07 三星電子株式会社 エッチング方法
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4228150B2 (ja) * 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7405168B2 (en) 2005-09-30 2008-07-29 Tokyo Electron Limited Plural treatment step process for treating dielectric films
JP4426518B2 (ja) * 2005-10-11 2010-03-03 東京エレクトロン株式会社 処理装置
US7387968B2 (en) * 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
KR100745130B1 (ko) * 2006-02-09 2007-08-01 삼성전자주식회사 박막 증착 장치 및 방법
US20070240644A1 (en) 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
JP4245012B2 (ja) * 2006-07-13 2009-03-25 東京エレクトロン株式会社 処理装置及びこのクリーニング方法
JP4916257B2 (ja) 2006-09-06 2012-04-11 東京エレクトロン株式会社 酸化膜の形成方法、酸化膜の形成装置及びプログラム
JP4793306B2 (ja) * 2007-03-30 2011-10-12 東京エレクトロン株式会社 プラズマ処理方法及び記憶媒体
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
JP4918453B2 (ja) * 2007-10-11 2012-04-18 東京エレクトロン株式会社 ガス供給装置及び薄膜形成装置
KR20090087190A (ko) * 2008-02-12 2009-08-17 삼성전자주식회사 반도체 제조설비 그를 이용한 반도체 제조방법
KR101046335B1 (ko) 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
JP5056735B2 (ja) 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
JP5179658B2 (ja) * 2009-05-26 2013-04-10 シャープ株式会社 プラズマ処理装置およびそのクリーニング方法
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
TWI474365B (zh) * 2010-08-25 2015-02-21 Canon Anelva Corp And a method of manufacturing the plasma processing apparatus and apparatus
JP5247781B2 (ja) * 2010-09-07 2013-07-24 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR20120040433A (ko) * 2010-10-19 2012-04-27 삼성전자주식회사 가스 분출 장치 및 이를 이용한 태양 전지의 제조 방법
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP5993154B2 (ja) * 2012-01-20 2016-09-14 東京エレクトロン株式会社 パーティクル低減方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
JP6113626B2 (ja) 2013-10-21 2017-04-12 東京エレクトロン株式会社 プラズマ処理装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
KR102629526B1 (ko) * 2015-09-30 2024-01-25 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
CN106937475A (zh) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 等离子体处理装置
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
KR102371772B1 (ko) * 2020-06-02 2022-03-07 주식회사 한화 기판의 양면 처리 장치
KR102371771B1 (ko) * 2020-06-02 2022-03-07 주식회사 한화 배치 타입 보트 장치
JP7455013B2 (ja) 2020-07-10 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP7433154B2 (ja) 2020-07-16 2024-02-19 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102442458B1 (ko) * 2020-12-14 2022-09-14 주식회사 한화 복수 전극 전기 인가 장치
JP2024007904A (ja) 2022-07-06 2024-01-19 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62159433A (ja) * 1986-01-08 1987-07-15 Hitachi Ltd レジスト除去方法及び装置
US4976920A (en) * 1987-07-14 1990-12-11 Adir Jacob Process for dry sterilization of medical devices and materials
JPH05251391A (ja) * 1992-03-04 1993-09-28 Tokyo Electron Tohoku Kk 半導体ウエハーのプラズマ処理装置
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JPH06272035A (ja) * 1993-03-16 1994-09-27 Nippon Steel Corp プラズマ処理装置
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
JP2001284307A (ja) * 2000-03-29 2001-10-12 Ftl:Kk 半導体の表面処理方法
JP3979849B2 (ja) * 2001-01-11 2007-09-19 株式会社日立国際電気 プラズマ処理装置および半導体装置の製造方法
JP3954833B2 (ja) * 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
JP3957549B2 (ja) * 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
JP2004039795A (ja) * 2002-07-02 2004-02-05 Hitachi Kokusai Electric Inc 基板処理装置

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101660138A (zh) * 2008-08-29 2010-03-03 东京毅力科创株式会社 活化气体注入装置、成膜装置和成膜方法
CN101660138B (zh) * 2008-08-29 2014-01-15 东京毅力科创株式会社 活化气体注入装置、成膜装置和成膜方法
CN103094043A (zh) * 2011-11-07 2013-05-08 松下电器产业株式会社 等离子体处理装置
CN103094043B (zh) * 2011-11-07 2015-09-30 松下电器产业株式会社 等离子体处理装置
CN105144338A (zh) * 2012-12-13 2015-12-09 欧瑞康表面解决方案股份公司,特吕巴赫 等离子体源
CN103695839A (zh) * 2013-12-07 2014-04-02 深圳市金凯新瑞光电有限公司 一种应用在镀膜设备中的离子源清洗装置
CN107148323A (zh) * 2014-08-13 2017-09-08 独立行政法人产业技术综合研究所 金属材料的处理装置
CN107148323B (zh) * 2014-08-13 2020-05-29 独立行政法人产业技术综合研究所 金属材料的处理装置
CN110544613A (zh) * 2018-05-28 2019-12-06 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN110544613B (zh) * 2018-05-28 2023-05-26 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN113106419A (zh) * 2020-01-10 2021-07-13 皮考逊公司 衬底处理装置和方法

Also Published As

Publication number Publication date
EP1638139A1 (en) 2006-03-22
EP1638139A4 (en) 2008-09-17
KR20060007375A (ko) 2006-01-24
TWI325600B (zh) 2010-06-01
WO2004102650A1 (ja) 2004-11-25
TW200501213A (en) 2005-01-01
US20070137572A1 (en) 2007-06-21
KR100856654B1 (ko) 2008-09-04
JP4329403B2 (ja) 2009-09-09
CN100524641C (zh) 2009-08-05
JP2004343017A (ja) 2004-12-02

Similar Documents

Publication Publication Date Title
CN100524641C (zh) 等离子体处理装置
CN100350574C (zh) 在被处理基板上形成硅氮化膜的cvd方法
US20090155488A1 (en) Shower plate electrode for plasma cvd reactor
KR102588544B1 (ko) 가스 분배 및 개별적인 펌핑을 갖는 배치 경화 챔버
US5376591A (en) Method for manufacturing semiconductor device
US20090277587A1 (en) Flowable dielectric equipment and processes
US20060216950A1 (en) Film-forming apparatus and film-forming method
US10224185B2 (en) Substrate processing apparatus
CN101051606A (zh) 立式等离子体处理装置和半导体处理方法
KR20010090427A (ko) 성막방법 및 성막장치
JPH09148322A (ja) シリコン酸化膜の成膜方法及びプラズマcvd成膜装置
JP2003197615A (ja) プラズマ処理装置およびそのクリーニング方法
CN101042992A (zh) 半导体处理用的立式等离子体处理装置
WO2012093983A2 (en) Remote plasma source seasoning
US20170178758A1 (en) Uniform wafer temperature achievement in unsymmetric chamber environment
KR101913978B1 (ko) 라디칼 가스 발생 시스템
US10755903B2 (en) RPS defect reduction by cyclic clean induced RPS cooling
US20140251540A1 (en) Substrate supporter and substrate processing apparatus including the same
CN1738922A (zh) 利用等离子体cvd的成膜方法和成膜装置
US10487401B2 (en) Diffuser temperature control
US11309167B2 (en) Active gas generation apparatus and deposition processing apparatus
JP4126517B2 (ja) 気相加工装置
JP2008283217A (ja) 処理装置およびそのクリーニング方法
JP2006253733A (ja) プラズマ処理装置およびそのクリーニング方法
KR20210097044A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090805

CF01 Termination of patent right due to non-payment of annual fee