CN1717791A - 基板处理容器的清洗方法 - Google Patents

基板处理容器的清洗方法 Download PDF

Info

Publication number
CN1717791A
CN1717791A CNA2003801044401A CN200380104440A CN1717791A CN 1717791 A CN1717791 A CN 1717791A CN A2003801044401 A CNA2003801044401 A CN A2003801044401A CN 200380104440 A CN200380104440 A CN 200380104440A CN 1717791 A CN1717791 A CN 1717791A
Authority
CN
China
Prior art keywords
gas
processing substrate
cleaning method
container
substrate container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2003801044401A
Other languages
English (en)
Other versions
CN1717791B (zh
Inventor
山﨑英亮
中村和仁
松泽兴明
松田司
河野有美子
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1717791A publication Critical patent/CN1717791A/zh
Application granted granted Critical
Publication of CN1717791B publication Critical patent/CN1717791B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明的目的是提供一种降低基板处理容器内部件损害的基板处理装置的清洗方法。按照本发明的用来清洗处理被处理基板的基板处理装置中的基板处理容器的方法由下面的工序组成:向设置在所述基板处理装置中的远程等离子体发生部中导入气体的气体导入工序;由所述远程等离子体发生部激励气体生成反应种的反应种生成工序;在从所述远程等离子体发生部向处理容器中供给反应种,并使所述处理容器内的压力达到1333Pa以上状态的反应工序。

Description

基板处理容器的清洗方法
技术领域
本发明涉及基板处理容器的清洗方法,更涉及基于远程等离子体的成膜装置的清洗方法。
背景技术
在被处理的基板上进行成膜的基板处理装置中,比如在CVD(化学蒸气淀积)装置等当中,将被处理基板载置在基板处理容器中进行规定的成膜。在被处理基板上形成的薄膜,其例子有许多,但在上述基板处理容器内,在其内壁或者基板保持台等该基板处理处理容器内的部件上也附着基于成膜处理的薄膜并成为堆积物。当上述基板处理装置反复进行成膜时,如此附着的上述堆积物使膜厚增大,很快就剥离了。剥离掉的该堆积物,漂浮在上述基板处理容器内,在如上所述的成膜工序中,进入在被处理基板上形成的薄膜中,发生使该薄膜质量变差的问题。
因此,对涉及从基板处理容器中除去如上所述堆积物的方法,提案有清洗方法(参见比如特开平10-149989)。按照此专利,公开了为进行清洗,在基板处理容器外设置用来生成氟自由基的远程等离子体发生部,由2.45GHz的微波激励NF3,生成氟自由基,通过将该氟自由基导入基板处理容器中,使上述堆积物气化,排出到该基板处理容器外面的方法。
发明内容
但是,在特开平10-149989中公开的清洗方法中,由于为了清洗,而在反应种主要是使用氟自由基(F*),在比如基板处理容器的内部有石英部件的情况下,就会有该氟自由基对该石英部件发生侵蚀的问题。特别是,在该基板处理容器的内部使用AlN、Al2O3等陶瓷部件的情况下,与上述石英部件的情况相比,侵蚀的量比较小,但由于该氟自由基被大量地导入到该基板处理容器中,该陶瓷部件被该氟自由基侵蚀,形成比如铝的化合物等,残留在该基板处理容器内,这样的化合物混入在成膜工序中形成的薄膜中,担心会有作为膜中的污染而使该薄膜的质量降低的可能性。
因此,在本发明中,以提供一种解决上述问题,新颖有用的新型基板处理装置的清洗方法作为总的目的。
本发明的具体课题是提供一种清洗方法,在清洗的反应种中主要使用使氟自由基再结合形成的F2,由此降低了过去在主要使用氟自由基的清洗方法中见到的由氟自由基造成的基板处理容器内部件的损坏。
由以下说明的方法解决了上述的目的和课题。
按照本发明的一个特征,用来清洗处理被处理基板的基板处理装置的基板处理容器的清洗方法,由如下步骤构成:
向在上述基板处理装置中设置的远程等离子体发生部中导入气体的气体导入工序;
由上述远程等离子体发生部生成激发上述气体的反应种的反应种生成工序;和
在将上述反应种从上述远程等离子体发生部供给上述处理容器的同时,使上述处理容器内的压力处于1333Pa以上状态的反应工序。
而上述远程等离子体发生部的结构也可以由高频激励上述气体。
上述高频的频率可以取400kHz~3GHz。
上述气体可以是包含氟的化合物的气体。
上述气体可以选自CF4、C2F6、C3F8、SF6、NF3等。
上述反应种也可以含有氟自由基再结合生成的F2
在上述反应工序中,可以由上述反应种除去在上述处理容器内部的露出部分上堆积的堆积物。
上述堆积物可以包括金属、金属氮化物、金属氧化物、硅和硅化合物中的任何一种。
上述堆积物可以选自W、WN、Ta、TaN、Ta2O5、Re、Rh、Ir、Ir2O3、Si、SiO2、SiN、Ti、TiN、Ru、RuO2
上述露出部分也可以包括由石英构成的部件。
上述露出部分也可以包括由Al2O3的烧结材料构成的部件。
上述露出部分也可以包括由AlN的烧结材料构成的部件。
作用
按照本发明的一个方式,在进行基板处理装置的清洗时,将过去使用的以氟自由基(F*)作为主要反应种的清洗进行改变,进行以使该氟自由基再结合得到的氟分子(F2)作为主要的反应种的清洗。结果,降低了由氟自由基对基板处理容器内部件,比如石英部件的损坏,使得能够使用过去不能作为基板处理容器内部件使用的石英部件。再有,能够降低由于氟自由基对AlN、Al2O3等蚀刻而造成的对薄膜的污染,可以形成高质量的薄膜。
附图说明
图1是按照本发明实施例的可以实施清洗的基板处理装置的结构图(其一)。
图2是表示远程等离子体发生源的概略的图。
图3是按照本发明实施例的可以实施清洗的基板处理装置的结构图(其二)。
图4是表示按照本发明实施例的清洗速度的图(其一)。
图5是表示按照本发明实施例的清洗速度的图(其二)。
图6是表示按照本发明实施例的清洗速度的比的图。
图7是按照本发明实施例的可以实施清洗的基板处理装置的结构图(其三)。
图8是按照本发明实施例的可以实施清洗的基板处理装置的结构图(其四)。
图9是按照本发明实施例的可以实施清洗的基板处理装置的结构图(其五)。
图10是按照本发明实施例的可以实施清洗的基板处理装置的结构图(其六)。
图11是按照本发明实施例能够实施清洗的组合装置结构图。
具体实施方式
下面基于附图说明本发明的实施例。
[第一实施例]
图1是表示按照本发明的实施例能够实施清洗的基板处理装置600的结构图。
参照图1,基板处理装置600具有比如由铝等制造的处理容器501。在处理容器501的侧壁上装有在放入和取出半导体基板101时打开和闭合的闸阀527。
半导体基板101放置在安装在处理容器501内的载置台603上。载置台603是由比如氮化铝等铝的化合物制造的,被从处理容器501的底部立起的圆筒状的比如铝制造的从间隔壁513的上部内壁伸出的3根(在本图中只显示出两根)支撑臂604支撑。
在处理容器501底部的载置台603的正下方,在兼作为反射镜的旋转台609上,安装着作为加热单元的多根加热灯608。旋转台609通过旋转轴由电极610带动旋转。
在围绕着加热灯608形成的加热室607的上部,设有由比如石英等的热线透过材料(吸热材料)构成的透过窗606,使加热室607与处理容器501隔开。从加热灯608辐射出的热线,透过透过窗606照射到载置台603的下面将其加热。
在载置台603的下方,相对于环状的支撑部件506向上设有多根,比如3根L字形的升降销505(在本图中只显示出两根)。此支撑部件506由贯通处理容器501的底部设置的上推杆507带动上下运动,使升降销505穿过贯通载置台603设置的升降销孔508向上支撑半导体基板101。
上推杆507的下端经过用来确保处理容器501内气密性的可伸缩波纹管509连接着图中未显示的致动器。
在载置台603的边缘部分,设有按照比如圆盘状的半导体基板101的轮廓形状的大致呈环状的由比如氮化铝等陶瓷材料制造的夹紧环部件511。此夹紧环部件511保持着半导体基板101的边缘部分,将其固定在载置台603侧。
夹紧环部件511经过连接棒512连接着支撑部件506,成为与升降销505整体升降的结构。升降销505和连接棒512都是由作为陶瓷部件的Al2O3等制造的。
在载置台603外周侧的间隔壁513,在间隔壁513的内侧隔出一个非活性气体清洁室515,由与图中未显示的非活性气体供给单元相连的气体喷嘴520供给的非活性气体进行清洁。由此防止在处理对象的基板的侧面或背面、载置台603的背面或者透过窗606等上附着不需要的膜。
间隔壁513的上端形成比如L字形的向水平方向折曲的折曲部分514。折曲部分514的上面,实质上与载置台603的上面在同一平面上,连接棒512穿过由载置台603外周向外稍微离开的间隙。
在夹紧环部件511内周侧的下面,形成沿着圆周方向以大致相等的间隔配置的多个接触突起516,其结构使得在夹紧半导体基板101时能够压下,使接触突起516的下端面与半导体基板101圆周边缘的上面相接触。
在非活性气体清洁室515内的非活性气体,从在多个接触突起516之间形成的第一气体清洁用间隙517和在夹紧环部件511与折曲部分514之间形成的第二气体清洁用间隙518向处理容器501内流出。
在处理容器501的底部周边边缘处,设有与排气通道526相连的多个排气口525,排气通道526经过流导可变的阀门APC560连接着图中未显示的真空泵。处理容器501经过排气通道526排放气体,而此时通过改变APC560的流导,能够将处理容器501内调节到所需的压力。
另外,在与载置台603相对的处理容器501的顶部,设有将成膜原料气体或清洗气体等导入处理容器501中的作为供给单元的浇淋头部528。浇淋头部528具有由比如铝等成形为圆形箱子状的浇淋头本体529,在浇淋头本体529的上部设有气体导入口530。在气体导入口530上,经过成膜气体通道551,流量可控制地连接着比如W膜的成膜处理必需的WF6、SiH4、H2等气体源。
在浇淋头本体529的下部,在浇淋头本体下侧面内的大致整体上配置多个气体喷射孔531,其结构使得将供给到浇淋头本体529内的气体释放到处理容器501内的处理空间中,使气体释放到半导体基板101的整个表面上。根据需要在浇淋头本体529内配置具有多个气体分散孔532的扩散板533,使得把气体更均匀地供给到半导体基板101上。而在处理容器501侧壁内和浇淋头部528的侧壁内设有作为各种温度调节单元的筒式加热器(cartridge heater)534和535,其结构使得能够将与成膜原料气体相接触的部分保持在规定的温度。
使用此基板处理装置600,按照以下的要领在半导体基板101上进行比如W膜的成膜处理。
首先,打开闸阀527,由图中未显示的搬送臂将半导体基板101送进处理容器501内,通过预先将升降销505提起,使半导体基板101被转交给升降销505侧。
然后通过降下上推杆507使升降销505下降,在将半导体基板101放置在载置台603上的同时,通过降低上推杆507,由夹紧环部件511的自重压住半导体基板101圆周边缘而固定。载置台603被加热灯608加热到规定的温度,使半导体基板101迅速地升温到并保持在规定的工艺温度上。
然后从浇淋头部导入作为成膜所必需的原料气体的WF6、SiH4、H2,在放置在载置台603上的半导体基板101上形成W膜。
在基板处理容器600中,当在半导体基板101上形成W膜时,W膜也堆积在半导体基板101以外的部分上。比如,在夹紧环部件511上也会形成与半导体基板101上大致相同厚度的W膜。因此为了除去堆积在夹紧环部件511等处理容器501内的W膜,就要进行按照本发明的清洗。
使用安装在与清洗气体通道550相连的浇淋头部528上的远程等离子体发生部100进行按照本发明的清洗。
在此,在下面的图2中显示出远程等离子体发生部100的结构。
图2表示在图1的基板处理装置600中使用的远程等离子体发生部100的结构。
参照图2,远程等离子体发生部100,包括在内部形成有气体循环通道100a和与其相连的气体入口100b和气体出口100c的、一般是由铝制造的块体部件100A,在块体部件100A的一部分上形成铁氧体铁芯100B。
在气体循环通道101a和气体入口100b、气体出口100c的内面上,涂有氟树脂涂层100d,通过向在铁氧体铁芯100B上缠绕的线圈中供给频率为比如400kHz的高频功率,在气体循环通道100a内形成等离子体100C。
按照本发明的等离子体产生方法,并不限于上述频率的高频功率,使用在400kHz~3GHz的高频到微波范围内进行等离子体激励的远程等离子体发生源都是可以的。
将清洗气体比如NF3从100b导入,伴随着激发等离子体100C,在气体循环通道100a中也会形成作为能够主要有助于清洗的反应种的氟自由基和氟离子。
氟离子在循环通道100a中循环时被消灭,使得在气体出口100c处主要释放出氟自由基F*。特别在如图2的结构中,由于在气体出口100c上设有接地的离子过滤器100e,能够除去以氟离子为代表的带电粒子,只向处理容器501中供给氟自由基。在离子过滤器100e不接地的情况下,由于离子过滤器100e的结构有散射板的作用,还是能够充分地除去以氟离子为代表的带电粒子。
如此,就会经过浇淋头部528向基板处理容器501中供给来自远程等离子体发生部100的,以氟自由基为主的有助于清洗的反应种。
下面说明在基板处理装置600中,清洗在基板处理容器501内堆积的堆积物的清洗方法。
在由基板处理容器600使半导体基板101上形成W膜的情况下,在比如一片半导体基板上形成大约100nm的W膜的情况下,当对比如25片半导体基板反复形成W膜时,在夹紧环部件511上会堆积大约2.5um的W膜。因此,在本实施例中进行如下所述的清洗,除去在基板处理容器中堆积的W膜。
首先,从清洗气体导入部550向远程等离子体发生部100中导入1000sccm的Ar和10sccm的NF3。该Ar和NF3,从远程等离子体发生部经过浇淋头部528被供给到处理容器501中。被供给的Ar和NF3,经过排气通道526从排气口525被排出,而此时由APC560将处理容器501内的压力调节到600Pa(4.5Torr),在远程等离子体发生部中激发起等离子体。
然后加大气体流量,比如为3000sccm的Ar和210sccm的NF3,由APC560将处理容器501内的压力调节为5.33kPa(40Torr),这就开始了对处理容器501内部堆积的W膜进行蚀刻的清洗工序。
在本实施例的情况下,在进行5分钟的该清洗工序时,就能够完全除去如上所述在比如处理容器501内的夹紧环部件511上堆积的大约2.5um的W膜。
作为有助于清洗的该反应种,在本发明的情况下主要是F2。这是由于由APC调节的处理容器501内的压力是5.33kPa(40Torr),比过去的方法要高,在远程等离子体发生部100中生成的氟自由基互相冲突的频度变高,氟自由基反复冲突使其大部分重新结合为F2
结果,就变成,作为清洗的反应种,F自由基再结合得到的F2占支配,主要有助于W膜的蚀刻。
过去,在大多使用氟自由基进行清洗时,处理容器内的部件,如果使用石英,被氟自由基蚀刻的速度非常高,所以很难使用。但是,在本实施例中,作为使用F2作为清洗的反应种对作为清洗对象的W膜进行蚀刻时,相对于作为清洗对象的W膜,能够将该石英的蚀刻速度抑制得低。
比如,透过窗606的周围可以如上所述用气体喷嘴520供给的非活性气体进行清洁,而在使用氟自由基的过去的清洗方法中,氟自由基难以从透过窗606的周围完全排出,因此由石英构成的透过窗606受到蚀刻的损害就不可避免。
在本实施例中,由于在如上所述的清洗反应种中主要使用F2,降低了对石英部件的损害,使得能够将由石英部件构成的透过窗606设置在处理容器501的内部。
在基板处理容器中设置比如用来观察基板处理容器501的窗口时,就可以使用由石英制造的部件。由于在过去的清洗方法中难以使用石英部件,与必须使用蓝宝石等高价部件的情况相比,这就具有降低成本的效果。
特别是在比如过去的清洗方法中,对在常压下烧结的陶瓷部件之类的部件,即在本实施例的情况下的由烧结AlN制造的载置台603、夹紧环部件511、由烧结Al2O3制造的升降销505和连接棒512,与如上所述的石英相比较,受到氟自由基蚀刻的量比较小,而由氟自由基蚀刻,Al的化合物则停留在处理容器501的内部成为粒子,这就会担心在带有污染物质的处理容器501内形成的薄膜的质量会降低。
但是,在主要使用F2的本实施例的清洗当中,如上所述的烧结AlN和Al2O3几乎不受蚀刻,在进行清洗之后的基板处理容器501内也不会产生金属的污染物质。而陶瓷部件并不限于AlN和Al2O3,对于其他陶瓷材料也有同样的效果。
在基板处理装置中形成的膜,即按照本发明的清洗对象并不限于W膜,对于比如WN、Ta、TaN、Ta2O5、Re、Rh、Ir、Ir2O3、Si、SiO2、SiN、Ti、TiN、Ru、RuO2等的膜也能够得到与本实施例同样的效果。
[第二实施例]
基板处理装置600也可以变更为如在下面图3中所示的基板处理装置600A的样子。
图3是作为图1中所示的基板处理装置600的变化实施例的基板处理装置600A。在此图中,前面说明过的部分被赋予同样的符号,其说明予以省略。
参照图3,在基板处理装置600A中,在处理容器501的侧面,设有与处理容器501相连通的清洗气体导入通道552,在清洗气体导入通道552上设置有远程等离子体发生部100。
在本实施例的情况下,也与第一实施例的情况同样,利用从与浇淋头部528相连的图中未显示的成膜气体供给源供给的WF6、H2、SiH4,由此就能够在半导体基板101上进行W膜的成膜。
由连接着清洗气体导入通道552的图中未显示的气体供给源供给的NF3和Ar,可进行与第一实施例同样的清洗。
在本实施例的情况下,也与第一实施例同样,能够降低由石英制造的透过窗606的损害。
能够降低由于对AlN制造的夹紧环部件511和载置台603或由Al2O3制造的升降销505以及连接棒512的蚀刻造成的损害,从而降低在处理容器501内的Al化合物的污染量。
[第三实施例]
下面,基于如下的图4和图5,说明作为第三实施例的由基板处理装置600进行清洗的清洗速度的测量结果。
在基板处理装置600的载置台603上装有形成薄膜的晶片,由上述清洗工序得到薄膜,图4和图5是通过测量该薄膜被蚀刻后的厚度而测量得到的通过清洗该薄膜被蚀刻的速度的结果。
图4是表示在晶片上形成的W膜,图5是表示在晶片上形成的热氧化膜(SiO2)被蚀刻的蚀刻速度的图,以横轴作为压力,表示在基板处理容器501内压力变化的情况下蚀刻速度的变化情况。在此情况下NF3的流量是230sccm,Ar的流量是3000sccm。
如在上面第一实施例的说明中所述,作为由远程等离子体发生部100供给的有助于清洗的反应种的氟自由基,随着压力的增大其存在的比率减少,在1333Pa(10Torr)以上的压力范围内,就变成主要以F2的形式存在。
参照图4,W膜的蚀刻速度,即使压力升高也几乎不变。这表明随着压力升高有助于清洗的反应种已经从氟自由基变成F2,而与被氟自由基蚀刻的情况同样,W膜也被F2蚀刻,清洗的速度并不依靠压力来维持。
当观察如在图5中所示的热氧化膜的蚀刻速度与压力的关系时,在压力达到1333Pa(10Torr)以上的范围内,蚀刻速度急速下降。这是由于伴随着如上所述的压力升高,氟自由基发生再结合而生成F2,而F2对热氧化膜的蚀刻速度比较低而显示出的现象。
图6是将图4~图5的结果用热氧化膜的蚀刻速度与W膜的蚀刻速度之比表示的情况。
参照图6可以看出,随着基板处理容器501的压力升高,热氧化膜与W膜的蚀刻速度比降低。
在本实施例的清洗工序中,当基板处理容器中的压力升高到大约1333Pa(10Torr)以上时,SiO2的蚀刻速度降低,这样就能够使用设置在基板处理容器的由SiO2形成的石英部件。
[第四实施例]
下面,作为第四实施例,显示研究在第一实施例的清洗工序之后在基板处理容器内Al残留物的情况。
表1
  晶片表面   晶片背面
  清洗前   1.1×1011   1.5×1011
  过去方法(5Torr)清洗后   2.0×1013   7.0×1013
  本实施例(40Torr)清洗后   1.1×1011   1.6×1011
表1表示用ICP质量分析法研究在第一实施例中的清洗工序(5min)实施20次以后,将Si晶片送入基板处理容器501的载置台603上,在所搬送的晶片的表面和背面附着的Al造成的污染状况的结果。此时的单位是atoms/cm2。
为了进行比较,同时显示出在实施清洗之前的研究结果和作为现有例的处理容器501的压力在低压667Pa(5Torr)时实施清洗以后的结果。
参照表1,清洗前晶片表面的Al是1.1×1011,晶片背面是1.5×1011,而由现有方法(667Pa,5Torr)清洗后的晶片表面是2.0×1013,晶片背面是7.0×1013,Al的量增加非常多。可以认为这是由于载置台603或夹紧环部件511的AlN,在清洗时被氟自由基蚀刻,使铝的化合物残留在处理容器501内的缘故。
而另外,在本发明的清洗方法(5.33kPa,40Torr)的情况下显示出,晶片表面是1.1×1011,而晶片背面是1.6×1011,与实施清洗前的情况几乎没有变化。
这是由于,如在上面所述,在主要使用F2的本实施例的清洗当中,由比如烧结AlN制造的载置台603或夹紧环部件511以及由烧结Al2O3制造的升降销505和连接棒512都几乎没有被蚀刻,即使在进行清洗之后的基板处理容器501内没有产生金属污染物质。
下面,在以下的图7~图10中显示出本发明的其他实施例。
[第五实施例]
下面,在后面的图7~图10中显示出本发明的其他实施例。
在图7中表示可以适用本发明清洗方法的基板处理装置500的结构。在此图中,与前面说明相同的部分给予同样的参照符号,说明予以省略。
参照图7,在处理容器501内的底部,设置由支柱502支撑的载置台503,在其内部埋设作为加热单元的比如电阻加热器504。载置台503由比如氮化铝等铝化合物制造,在载置台503上放置半导体晶片101。
在基板处理装置500中,与在基板处理装置600的情况不同,不使用透过窗606之类的石英部件。但是,在基板处理容器中设置比如用来观察基板处理容器501的窗口时,有可能使用由石英制造的部件。由于在历来的清洗方法中使用石英部件是很困难的,与必须使用比如蓝宝石等昂贵的部件的情况相比,这就具有降低成本的效果。
特别是由比如烧结AlN制造的载置台503、夹紧环部件511或由烧结Al2O3制造的升降销505和连接棒512都没受到蚀刻,在进行清洗之后的基板处理容器501中具有抑制产生金属污染物的效果。而陶瓷部件并不限于AlN和Al2O3,对于其他陶瓷材料也具有同样的效果。
[第六实施例]
基板处理装置500可以变更为如以下图8中所示的基板处理装置500A的样子。
图8是作为在图7中所示的基板处理装置500的变更实施例的基板处理装置500A。在此图中,前面说明过的部分给予同样的参照符号,说明予以省略。
参照图8,在基板处理装置500A中,在处理容器501的侧面设置与处理容器501相连通的清洗气体导入通道552,在清洗气体导入通道552中设置远程等离子体发生部100。
本实施例的情况也与第一实施例的情况相同,由与浇淋头部528相连接图中未显示的成膜气体供给源供给的WF6、H2、SiH4等气体,使得能够在半导体基板101上进行W膜的成膜。
而利用与清洗气体导入通道552相连接的图中未显示的气体供给源供给的NF3和Ar,能够用与第一实施例的情况相同的方法进行清洗。
本实施例的情况也是降低了由AlN制造的夹紧环部件511和载置台503或由Al2O3制造的升降销505和连接棒512的蚀刻造成的损伤,可降低处理容器501内Al化合物的污染量。
[第七实施例]
下面图9显示作为第七实施例的基板处理装置300的结构。
参照图9基板处理装置300具有处理容器301,在处理容器301的底部,设有用来保持半导体基板101的由AlN制造的载置台311,此载置台311由多根载置台支撑件314支撑,它们从略呈圆筒状的载置台311的中心均等地配置,在载置台311的内部,设有与电源315相连接的加热器312。
在载置台311上,装有将半导体基板101保持在载置台311中心的用烧结AlN制造的引导件313。
在处理容器301的上部,设有与气体导入部303相连接的浇淋头部302。在气体导入部303的上部设有远程等离子体发生部100,经过清洗气体导入通道307与清洗气体供给源308相连接,而气体导入部303上连接着成膜气体导入通道306。
在成膜气体导入通道306上,连接着原料A的气体导入通道304和原料B的气体导入通道305,它们分别连接着原料A的供给源309和原料B的供给源310。
在半导体基板101上进行成膜时,由原料A供给源309和原料B供给源310供给到浇淋头部302的原料A气体和原料B气体,在浇淋头内部的空间302a充分扩散和混合以后,由气体供给孔302b供给到在处理容器301内形成的处理空间301a中,在半导体基板101上形成所需的薄膜。
而在清洗时,从清洗气体供给源308向远程等离子体发生源100供给的比如NF3或NF3与Ar等载气,在远程等离子体发生部100中由等离子体激励生成清洗所必需的反应种,从浇淋头部302的气体供给孔302b供给处理空间301a中。
处理空间301a由设置在处理容器301底部的排气口323,经过排气通道316由图中未显示的真空泵排气。此时,由设置在排气通道316上的APC317能够将处理空间301a调节到所需的压力。
在本实施例中,也是使用比如NF3和Ar,用与实施例1的情况同样的方法,可以在基于本发明的1333Pa(10Torr)以上的压力范围内,比如在53.3kPa(40Torr)下进行处理容器301中的清洗。
在本实施例的情况下,由于处理容器301的排气口323位于处理容器301的中心,导入处理空间301a的气体均匀地以载置台311为中心进行排放,在进行清洗时在特定的场所没有残留物残留,能够在处理容器301内进行均匀的清洗。
在此情况下,通过本发明的清洗方法,能够降低对基板处理装置的处理容器内的比如石英、AlN、Al2O3等部件的损害。
[第八实施例]
下面在图10显示作为第八实施例的基板处理装置300A的结构图。在此图中,前面说明过的部分给予同样的参照符号,其说明予以省略。
参照图10,在处理容器301的上部,设置具有气体导入部A319和气体导入部B320的浇淋头部318。在气体导入部A319上,设置连接着清洗气体供给源308的远程等离子体发生部100。
气体导入部A319经过原料A气体供给通道321连接着原料A供给源309,气体导入部B320经过原料B气体供给通道322连接着原料B供给源310。
从原料A供给源供给的原料A气体,在形成于浇淋头部318的内部的原料A气体扩散室318e充分扩散以后,经过原料A气体输送通道318f,从原料A扩散室318e由与处理空间301a相连通的多个气体供给孔318g,大致均匀地供给处理空间301a。
从原料B供给源310供给的原料B气体,从在浇淋头部318内部形成的原料B气体导入通道318a经过原料B气体输送通道318b,在原料B气体扩散室318c充分扩散以后,从原料B气体扩散室318c经过与处理空间301a连通的多个气体供给孔318d供给处理空间301a。
如上所述,在本实施例的基板处理装置300A中,用来成膜的原料A和原料B不是在浇淋头部318的内部混合,而是在处理空间301a中混合,可进行所谓的后混合方式的气体导入,通过进行使用了原料A气体和原料B气体的所谓后混合的方式的气体混合,可进行所需的成膜处理。
在本实施例中,可以使用比如NF3和Ar,以和实施例1的情况同样的方法,在基于本发明的1333Pa(10Torr)以上的压力范围内,比如在53.3kPa(40Torr)下进行处理容器301内的清洗。
在此情况下,也是可以通过本发明的清洗方法,降低在基板处理装置的处理容器内的比如石英、AlN、Al2O3等部件的损坏。
[第九实施例]
如上所述的基板处理装置600、600A、500、500A、300和300A都适用于如图11所示的能够连续处理的组合装置700。
如图11所示的组合装置700,在其中心具有由比如铝制造的八角形容器状的能够输送例如半导体基板101的共用输送室701,在其周围,分别经过可以打开或关闭的闸阀G1~G8,连接着第一和第二盒容器室702、703、水分去除处理室704、第一~第四基板处理室705~708和冷却处理室709。
水分去除处理室704,如果有必要的话,是加热半导体基板以除去其表面上附着的水分等的处理室。冷却处理室,如果有必要的话,是将半导体基板冷却到能够处理的温度的处理室。
在第一和第二盒容器室702和703,可存放能够容纳比如25片基板的盒容器711。在盒容器室702、703中,设有分别能够开闭送入或取出的闸门GD1、GD2,设有图中未显示的能够升降的盒容器台。盒容器室702、703能够供给非活性气体,比如氮气,也能够抽成真空。
在共用输送室701中,配置决定送入内部的基板的位置的转动位置决定机构721和在保持该基板的状态下由能够屈伸和旋转的多关节摇臂机构构成的输送臂722,通过其屈伸和旋转能够在各个处理室之间送入和取出该基板。可以向共用输送室701供给非活性气体,比如氮气,也可以将其抽真空。
在各处理室的周围设有气密性盒容器730,将每一个处理室包围起来,使处理气体不致泄漏到周围,在这些气密性盒容器730上设有排气管道(图中未显示),给该气密性盒容器730的内部排气。
在第一~第四处理室中,比如在第三处理室707中,适合使用基板处理装置600、600A、500、500A、300和300A中的任一个。在此情况下,根据需要,在第一处理室705、第二处理室706和第四处理室708中,进行在第三处理室要进行的成膜处理的前处理或后处理。然而,处理的工序并不限于上述内容,根据在第一~第四基板处理室中的任何一个的需要可以变更基板处理装置600、600A、500、500A、300和300A的适用,且可任意变更进行前处理、后处理的组合。
下面说明按照组合装置700进行处理的运行实例。
首先,当由外部将未处理的半导体基板101以收容在盒容器711内的状态,经过闸门GD1送入比如第一盒容器室702内时,将第一盒容器室702密闭并抽真空。然后,打开闸阀G1,使预先抽真空的共用输送室701内的输送臂722伸开取出一片未处理的半导体基板101,通过旋转位置决定机构721,对半导体基板101进行位置确定。决定了位置以后的半导体基板101,再次使用输送臂722经过处于开放状态的闸阀G3被送入水分去除处理室704中,在此通过加热半导体基板101,使附着在半导体基板101表面上的水分气化而将其除去。此水分除去处理要根据需要进行,在不需要的情况下就不进行本工序而直接转移到下一步工序中。
然后,将半导体基板101经过闸阀G6送入第三基板处理室707中,在第三基板处理室707中进行所需的成膜处理。如上所述,在第三处理室707中,可以适用基板处理装置600、600A、500、500A、300和300A中的任何一个,在第三处理室中进行所需的成膜处理。
然后,使闸阀G6处于开放状态,使用输送臂722取出半导体基板101,经过处于开放状态的闸阀G8将其送入冷却处理室709内,在此将其冷却到规定的处理温度,经过冷却处理的半导体基板101,经过闸阀G2装入第二盒容器室703内的盒容器711中。
如上所述,根据需要可以在第一处理室705、第二处理室706和第四处理室708中的任何一个里进行在第三处理室707中的成膜处理的前处理。同样,也可以在第一处理室705、第二处理室706和第四处理室708中的任何一个里进行在第三处理室707中的成膜处理的后处理。
如此就可以通过本组合装置700依次连续地对未处理的半导体基板进行处理。
在第三处理室707中,对于按照本发明进行清洗的方法,有时在比如在第三处理室707进行25次成膜处理结束以后,即在处理25片半导体基板结束以后,实施按照本发明的清洗的方法。
而且,在比如在第三处理室707中进行一次成膜处理,即对一片半导体基板进行处理时,就进行按照本发明的清洗也是可以的,特别是在比如第三处理室707中进行成膜处理时,可以根据成膜的厚度、条件等自由地确定在进行清洗之前的成膜处理的片数。
如在如上所述任何一种情况下,通过本发明的清洗方法,都能够降低在基板处理装置的处理容器内的比如石英、AlN、Al2O3等部件的损害。
上面说明了本发明优选的实施例,但本发明并不限定在如上所述的特定实施例中,只要在权利要求的范围所规定的精神之内,可以进行各式各样的变化和变更。
比如,作为按照本发明清洗对象的膜,不仅是如上所述的W膜,对于其他金属、金属氮化物、金属氧化物、硅和硅化合物,都具有与在本实施例中所述情况同样的效果,具体说,对WN、Ta、TaN、Ta2O5、Re、Rh、Ir、Ir2O3、Si、SiO2、SiN、Ti、TiN、Ru、RuO2等的清洗都是适用的,能够得到与在上述实施例中显示的情况同样的效果。
为了产生有助于清洗的氟自由基而激励等离子体的方法,并不限于在本实施例中所述的方法,使用高频功率的频率在400kHz~3GHz的情况下,能够得到与在本实施例中所述的情况同样的效果。清洗时部件的温度在30~600℃的范围内就能够清洗。更优选希望在100~450℃的范围内进行清洗。当部件温度低于30℃时,清洗对象膜的蚀刻速度明显降低,而当部件温度超过600℃时,对部件的损害变得显著,因此不优选的。
按照本发明,在基板处理装置的清洗过程中,通过将历来使用的氟自由基(F*)进行清洗改变为使用该氟自由基再结合得到的氟分子(F2)进行清洗。结果降低了氟自由基对基板处理容器内的部件比如石英的损害,能够使用在过去用氟自由基清洗时不能使用的石英部件。另外,能够降低由于AlN、Al2O3等被氟自由基蚀刻所造成的对薄膜的污染。
产业上利用的可能性
如上所述,按照本发明的清洗方法,能够用于对比如半导体基板等被处理基板上进行成膜的基板处理装置中,适于除去伴随着成膜处理而在基板处理装置内形成的堆积物。

Claims (13)

1.一种基板处理容器的清洗方法,该方法用来清洗处理被处理基板用的基板处理装置的基板处理容器,该方法包括:
向设置在所述基板处理装置中的远程等离子体发生部中导入气体的气体导入工序;
由所述远程等离子体发生部激励所述气体生成反应种的反应种生成工序;和
从所述远程等离子体发生部向所述处理容器中供给所述反应种,并使所述处理容器内的压力达到1333Pa以上状态的反应工序。
2.如权利要求1中所述的基板处理容器的清洗方法,其特征在于,所述远程等离子体发生部以高频激励所述气体。
3.如权利要求2中所述的基板处理容器的清洗方法,其特征在于,所述高频的频率为400kHz~3GHz。
4.如权利要求1中所述的基板处理容器的清洗方法,其特征在于,所述气体是含有氟化合物的气体。
5.如权利要求4中所述的基板处理容器的清洗方法,其特征在于,所述气体选自CF4、C2F6、C3F8、SF6和NF3。
6.如权利要求4中所述的基板处理容器的清洗方法,其特征在于,所述反应种含有氟自由基再结合生成的F2。
7.如权利要求1中所述的基板处理容器的清洗方法,其特征在于,所述气体是含有Ar气的气体。
8.如权利要求1中所述的基板处理容器的清洗方法,其特征在于,在所述反应工序中,由所述反应种除去堆积在所述处理容器内部的露出部分上的堆积物。
9.如权利要求8中所述的基板处理容器的清洗方法,其特征在于,所述堆积物含有金属、金属氮化物、金属氧化物、硅和硅化合物中的任一个。
10.如权利要求9中所述的基板处理容器的清洗方法,其特征在于,所述堆积物选自W、WN、Ta、TaN、Ta2O5、Re、Rh、Ir、Ir2O3、Si、SiO2、SiN、Ti、TiN、Ru、RuO2
11.如权利要求8中所述的基板处理容器的清洗方法,其特征在于,所述露出部分包括由石英构成的部件。
12.如权利要求8中所述的基板处理容器的清洗方法,其特征在于,所述露出部分包括由Al2O3烧结材料构成的部件。
13.如权利要求8中所述的基板处理容器的清洗方法,其特征在于,所述露出部分包括由AlN烧结材料构成的部件。
CN2003801044401A 2002-11-27 2003-11-14 基板处理容器的清洗方法 Expired - Fee Related CN1717791B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002344457A JP2004179426A (ja) 2002-11-27 2002-11-27 基板処理装置のクリーニング方法
JP344457/2002 2002-11-27
PCT/JP2003/014519 WO2004049421A1 (ja) 2002-11-27 2003-11-14 基板処理容器のクリーニング方法

Publications (2)

Publication Number Publication Date
CN1717791A true CN1717791A (zh) 2006-01-04
CN1717791B CN1717791B (zh) 2011-07-20

Family

ID=32375950

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2003801044401A Expired - Fee Related CN1717791B (zh) 2002-11-27 2003-11-14 基板处理容器的清洗方法

Country Status (6)

Country Link
US (1) US7456109B2 (zh)
JP (1) JP2004179426A (zh)
KR (1) KR100697512B1 (zh)
CN (1) CN1717791B (zh)
AU (1) AU2003280802A1 (zh)
WO (1) WO2004049421A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102446791A (zh) * 2010-10-01 2012-05-09 圆益Ips股份有限公司 基板处理装置的清洗方法
CN103088315A (zh) * 2011-11-03 2013-05-08 无锡华润上华科技有限公司 化学气相淀积设备
CN105940482A (zh) * 2014-02-04 2016-09-14 周星工程股份有限公司 基板处理装置
TWI738284B (zh) * 2019-12-31 2021-09-01 大陸商江蘇魯汶儀器有限公司 可旋轉的法拉第清洗裝置及等離子體處理系統

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004179426A (ja) 2002-11-27 2004-06-24 Tokyo Electron Ltd 基板処理装置のクリーニング方法
KR100997964B1 (ko) * 2003-06-16 2010-12-02 삼성전자주식회사 박막 트랜지스터 표시판의 제조 방법
CN100461344C (zh) * 2004-07-23 2009-02-11 气体产品与化学公司 从基板上清除含碳的残余物的方法
JP2006093218A (ja) * 2004-09-21 2006-04-06 Sharp Corp ランプ加熱装置および半導体装置の製造方法
KR101108443B1 (ko) * 2005-05-04 2012-01-31 주성엔지니어링(주) 원격 플라즈마를 이용한 챔버 세정방법
JP4823628B2 (ja) * 2005-09-26 2011-11-24 東京エレクトロン株式会社 基板処理方法および記録媒体
KR100785440B1 (ko) 2006-04-05 2007-12-13 세메스 주식회사 이중 분사판을 구비한 플라즈마 에싱장치
KR100653217B1 (ko) * 2006-05-29 2006-12-04 주식회사 아이피에스 금속 함유막을 증착하는 박막 증착 장치의 건식 세정 방법
KR100794661B1 (ko) * 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
JP4905179B2 (ja) 2007-02-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
US8262800B1 (en) * 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
KR101515544B1 (ko) * 2008-04-18 2015-04-30 주식회사 원익아이피에스 칼코제나이드 박막 형성방법
JP2010166092A (ja) * 2010-04-15 2010-07-29 Tokyo Electron Ltd プラズマエッチング方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10403521B2 (en) * 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6388552B2 (ja) * 2015-03-03 2018-09-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN107034446A (zh) * 2017-04-28 2017-08-11 昆山国显光电有限公司 一种具备清洁功能的pecvd设备及清洁方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
CN109308987A (zh) * 2017-07-26 2019-02-05 东芝存储器株式会社 等离子体处理装置、半导体制造装置及半导体装置的制造方法
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7250575B2 (ja) * 2019-03-13 2023-04-03 株式会社アルバック 成膜装置
JP2023071064A (ja) 2021-11-10 2023-05-22 東京エレクトロン株式会社 プラズマ源及びプラズマ処理装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2002184765A (ja) * 2000-12-18 2002-06-28 Central Glass Co Ltd クリーニングガス
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
JP2004179426A (ja) 2002-11-27 2004-06-24 Tokyo Electron Ltd 基板処理装置のクリーニング方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102446791A (zh) * 2010-10-01 2012-05-09 圆益Ips股份有限公司 基板处理装置的清洗方法
CN102446791B (zh) * 2010-10-01 2015-11-04 圆益Ips股份有限公司 基板处理装置的清洗方法
CN103088315A (zh) * 2011-11-03 2013-05-08 无锡华润上华科技有限公司 化学气相淀积设备
CN105940482A (zh) * 2014-02-04 2016-09-14 周星工程股份有限公司 基板处理装置
TWI738284B (zh) * 2019-12-31 2021-09-01 大陸商江蘇魯汶儀器有限公司 可旋轉的法拉第清洗裝置及等離子體處理系統

Also Published As

Publication number Publication date
US7456109B2 (en) 2008-11-25
WO2004049421A1 (ja) 2004-06-10
CN1717791B (zh) 2011-07-20
JP2004179426A (ja) 2004-06-24
KR100697512B1 (ko) 2007-03-20
US20060124151A1 (en) 2006-06-15
KR20050087807A (ko) 2005-08-31
AU2003280802A1 (en) 2004-06-18

Similar Documents

Publication Publication Date Title
CN1717791A (zh) 基板处理容器的清洗方法
CN100342518C (zh) 处理设备、处理方法、压力控制方法、传送方法以及传送设备
CN1943019A (zh) 衬底处理装置以及半导体器件的制造方法
CN1806315A (zh) Ti膜及TiN膜的成膜方法、接触结构、计算机能够读取的存储介质以及计算机程序
CN1290157C (zh) 化学气相沉积设备以及化学气相沉积设备的清洗方法
CN1170957C (zh) 原子层沉积工艺的处理室
CN1246887C (zh) 等离子体处理装置以及半导体制造装置
CN1822328A (zh) 立式分批处理装置
JP2005163183A (ja) 基板処理装置のクリーニング方法
CN1712560A (zh) 垂直cvd装置和使用它的cvd方法
CN1879203A (zh) 半导体装置的制造方法及衬底处理装置
CN1579010A (zh) Cvd设备以及使用所述cvd设备清洗所述cvd设备的方法
CN1446373A (zh) 基片处理装置及处理方法
CN1914716A (zh) 基板处理装置的处理室净化方法、基板处理装置和基板处理方法
CN1701417A (zh) 基板处理装置和用于制造半导体器件的方法
CN101048858A (zh) 绝缘膜形成方法及基板处理方法
CN1777693A (zh) 成膜方法
CN1976003A (zh) 半导体装置的制造方法及基板处理***
CN1788336A (zh) 隔离膜的形成方法及电极膜的形成方法
CN1584110A (zh) 薄膜制造装置以及制造方法
CN1943003A (zh) 用于原位薄膜堆积制程的方法及装置
CN1777694A (zh) 利用等离子体cvd的成膜方法以及装置
CN101032006A (zh) 半导体器件的制造方法以及衬底处理装置
CN1262684C (zh) 涂层衬底的生产方法
CN1794099A (zh) 溶剂去除装置以及溶剂去除方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110720

Termination date: 20151114

EXPY Termination of patent right or utility model