CN111725062B - 膜的蚀刻方法和等离子体处理装置 - Google Patents

膜的蚀刻方法和等离子体处理装置 Download PDF

Info

Publication number
CN111725062B
CN111725062B CN202010176495.9A CN202010176495A CN111725062B CN 111725062 B CN111725062 B CN 111725062B CN 202010176495 A CN202010176495 A CN 202010176495A CN 111725062 B CN111725062 B CN 111725062B
Authority
CN
China
Prior art keywords
film
etching
substrate
protective layer
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010176495.9A
Other languages
English (en)
Other versions
CN111725062A (zh
Inventor
小笠原幸辅
岩崎峰久
石井健太郎
井手诚司
谢其儒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN111725062A publication Critical patent/CN111725062A/zh
Application granted granted Critical
Publication of CN111725062B publication Critical patent/CN111725062B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本发明提供一种膜的蚀刻方法和等离子体处理装置。该方法在蚀刻基板的膜时能够抑制形成于膜的图案的宽度的缩小并且提供膜的侧壁面的垂直性。一个例示性的实施方式所涉及的方法用于蚀刻基板的膜。基板具有基底区域、膜以及掩模。膜设置于基底区域上。掩模设置于膜上。方法包括对膜执行主蚀刻的工序。主蚀刻为针对膜的等离子体蚀刻,使基底区域的至少一部分露出。方法还包括在执行主蚀刻的工序之后至少在掩模的侧壁面上形成保护层的工序。保护层的材料与膜的材料不同。方法还包括在形成保护层的工序之后执行针对膜的过蚀刻的工序。过蚀刻为针对膜的等离子体蚀刻。

Description

膜的蚀刻方法和等离子体处理装置
技术领域
本公开的例示性的实施方式涉及一种膜的蚀刻方法和等离子体处理装置。
背景技术
在电子器件的制造中,进行蚀刻以将掩模的图案转印于膜上。关于针对膜的蚀刻,在下述的专利文献1和专利文献2中记载了一种包括针对有机膜的等离子体蚀刻的技术。
在专利文献1所记载的技术中,通过等离子体蚀刻将基板的有机膜蚀刻至基板的表面与基板的基底区域之间的深度。接着,在基板的表面上形成硅氧化膜。通过原子层沉积法形成硅氧化膜。接着,执行有机膜的等离子体蚀刻直至基底区域露出。在专利文献2所记载的技术中,交替地进行针对基板的有机膜的等离子体蚀刻和利用原子层沉积法在基板上进行的硅氧化膜的形成。
现有技术文献
专利文献
专利文献1:日本特开2018-6706号公报
专利文献2:日本特开2018-37453号公报
发明内容
发明要解决的问题
在基板的膜的蚀刻中,要求抑制形成于膜的图案的宽度的缩小并且提高膜的侧壁面的垂直性。
用于解决问题的方案
在一个例示性的实施方式中,提供一种蚀刻基板的膜的方法。基板具有基底区域、膜以及掩模。膜设置于基底区域上。掩模设置于膜上。掩模被图案化。方法包括对膜执行主蚀刻的工序。主蚀刻为针对膜的等离子体蚀刻,使基底区域的至少一部分露出。方法还包括以下工序:在执行主蚀刻的工序之后,至少在掩模的侧壁面上形成保护层。保护层的材料与膜的材料不同。方法还包括以下工序:在形成保护层的工序之后,执行针对膜的过蚀刻。过蚀刻为针对膜的等离子体蚀刻。
发明的效果
根据一个例示性的实施方式,能够在基板的膜的蚀刻中抑制形成于膜的图案的宽度的缩小,并且能够提高膜的侧壁面的垂直性。
附图说明
图1是一个例示性的实施方式所涉及的蚀刻基板的膜的方法的流程图。
图2的(a)是能够应用图1所示的方法的一例的基板的局部放大截面图,图2的(b)是应用工序ST1后的一例的基板的局部放大截面图。
图3是概要性地表示能够用于执行图1所示的方法的一例的等离子体处理装置的图。
图4的(a)是应用工序ST2后的一例的基板的局部放大截面图,图4的(b)是应用工序ST3后的一例的基板的局部放大截面图,图4的(c)是应用工序ST4后的一例的基板的局部放大截面图。
图5是图1所示的方法的工序ST2的一例的流程图。
图6是概要性地表示能够用于执行图1所示的方法的一例的处理***的图。
具体实施方式
下面,对各种例示性的实施方式进行说明。
在一个例示性的实施方式中,提供一种蚀刻基板的膜的方法。基板具有基底区域、膜以及掩模。膜设置于基底区域上。掩模设置于膜上。掩模被图案化。方法包括对膜执行主蚀刻的工序。主蚀刻为针对膜的等离子体蚀刻,使基底区域的至少一部分露出。方法还包括以下工序:在执行主蚀刻的工序之后,至少在掩模的侧壁面上形成保护层。保护层的材料与膜的材料不同。方法还包括以下工序:在形成保护层的工序之后,执行针对膜的过蚀刻。过蚀刻为针对膜的等离子体蚀刻。
在上述实施方式所涉及的方法中,通过主蚀刻来蚀刻膜,以使基底区域露出。通过主蚀刻形成于膜的开口具有渐缩形状。即,在形成于膜的开口的底部,该开口的宽度相比于该开口的上端变窄。接着,在该方法中,保护层至少形成于掩模的侧壁面上。之后,在该方法中,在掩模的侧壁面被保护层保护着的状态下执行膜的过蚀刻。即,在该方法中,在通过保护层抑制了掩模的宽度的缩小的状态下进行膜的过蚀刻。因而,能够抑制形成于膜的图案的宽度的缩小,并且能够提高膜的侧壁面的垂直性。
在一个例示性的实施方式中,基板可以具有第一区域和第二区域。第一区域中的掩模的图案可以形成得比第二区域中的掩模的图案密。根据该实施方式的方法,在通过保护层抑制了掩模的宽度的缩小的状态下进行膜的过蚀刻,因此能够减少在第一区域中形成于膜的图案的形状与在第二区域中形成于膜的图案的形状之差。
在一个例示性的实施方式中,可以重复地交替进行形成保护层的工序和执行过蚀刻的工序。
在一个例示性的实施方式中,方法还可以包括以下工序:在形成保护层的工序之后且执行过蚀刻的工序之前,执行等离子体蚀刻。执行等离子体蚀刻,以将在划分形成于膜的开口的该膜的侧壁面上形成的保护层的区域去除。
在一个例示性的实施方式中,执行等离子体蚀刻的工序中的偏置电力的功率水平比执行主蚀刻的工序和执行过蚀刻的工序的各工序中的偏置电力的功率水平大。偏置电力是为了向基板吸引离子而供给的电力。
在一个例示性的实施方式中,掩模可以由有机材料形成。
在一个例示性的实施方式中,膜可以为含硅膜。
在一个例示性的实施方式中,含硅膜可以为氮化硅膜。
在一个例示性的实施方式中,也可以是,在从执行主蚀刻的工序开始起至执行过蚀刻的工序结束为止的期间,将基板维持在减压后的环境内。
在一个例示性的实施方式中,可以使用单一的等离子体处理装置执行以下工序:执行主蚀刻的工序、形成保护层的工序以及执行过蚀刻的工序。
在一个例示性的实施方式中,保护层可以敷形地形成于基板上。在一个例示性的实施方式中,可以利用原子层沉积法形成保护层。
在其它例示性的实施方式中,提供一种等离子体处理装置。等离子体处理装置具备腔室、基板支承器、气体供给部、高频电源以及控制部。基板支承器设置于腔室内。气体供给部构成为向腔室内供给气体。高频电源构成为产生高频电力以从腔室内的气体形成等离子体。控制部构成为控制气体供给部和高频电源。控制部构成为:控制气体供给部和高频电源,以对基板的膜执行主蚀刻。控制部还构成为:在主蚀刻之后,控制气体供给部和高频电源,以在基板上形成由与膜的材料不同的材料形成的保护层。控制部还构成为:在形成保护层之后,控制气体供给部和高频电源,以执行基板的膜的过蚀刻。
下面,参照附图来详细地说明各种例示性的实施方式。此外,在各附图中,对相同或相当的部分标注相同的标记。
图1是一个例示性的实施方式所涉及的蚀刻基板的膜的方法的流程图。执行图1所示的方法MT,以蚀刻基板的膜。图2的(a)是能够应用图1所示的方法的一例的基板的局部放大截面图。图2的(a)所示的基板W具有基底区域UR、膜EF以及掩模MK。
膜EF设置于基底区域UR上。掩模MK设置于膜EF上。掩模MK被图案化。即,掩模MK具有图案(例如线)。掩模MK在其图案的周围提供使膜EF露出的空间。
在一个实施方式中,基板W可以具有第一区域R1和第二区域R2。第一区域R1中的掩模MK的图案可以形成得比第二区域R2中的掩模MK的图案密。即,第一区域R1中的、掩模MK的图案的周围的空间的宽度相对于该掩模MK的图案的宽度的比例比第二区域R2中的该比例低。例如,第一区域R1中的掩模MK的图案的周围的空间的宽度比第二区域R2中的掩模MK的图案的周围的空间的宽度窄。
膜EF由相对于掩模MK被选择性地蚀刻的材料形成。膜EF也可以由相对于基底区域UR被选择性地蚀刻的材料形成。膜EF能够为含硅膜或有机膜。关于作为含硅膜的膜EF,例示氮化硅膜或硅膜(例如多晶硅膜)。在膜EF为含硅膜的情况下,掩模MK能够由有机材料、非晶碳、金属或含金属的物质形成。金属和含金属的物质例如能够包含钨、钽、钛之类的金属。含金属的物质能够包括金属的氮化物、碳化物或氧化物。基底区域UR例如由氧化硅形成。
使用等离子体处理装置来执行方法MT。图3是概要性地表示能够用于执行图1所示的方法的一例的等离子体处理装置的图。图3所示的等离子体处理装置1为电容耦合型的等离子体处理装置。等离子体处理装置1具备腔室10。腔室10在其中提供内部空间10s。
腔室10包括腔室主体12。腔室主体12具有大致圆筒形状。在腔室主体12的内侧提供内部空间10s。腔室主体12由铝之类的导体形成。腔室主体12接地。在腔室主体12的内壁面设置有具有耐腐蚀性的膜。具有耐腐蚀性的膜能够为由氧化铝、氧化钇之类的陶瓷形成的膜。
在腔室主体12的侧壁形成有通路12p。当在内部空间10s与腔室10的外部之间搬送基板W时,基板W通过通路12p。通路12p能够由闸阀12g进行开闭。闸阀12g沿腔室主体12的侧壁设置。
在腔室主体12的底部上设置有支承部13。支承部13由绝缘材料形成。支承部13具有大致圆筒形状。支承部13在内部空间10s之中从腔室主体12的底部向上方延伸。支承部13支承基板支承器14。基板支承器14构成为在腔室10内、即内部空间10s之中支承基板W。
基板支承器14具有下部电极18和静电吸盘20。下部电极18和静电吸盘20设置于腔室10内。基板支承器14还能够具有电极板16。电极板16例如由铝之类的导体形成,具有大致圆盘形状。下部电极18设置于电极板16上。下部电极18例如由铝之类的导体形成,具有大致圆盘形状。下部电极18与电极板16电连接。
静电吸盘20设置于下部电极18上。在静电吸盘20的上表面之上载置基板W。静电吸盘20具有主体和电极。静电吸盘20的主体由电介质形成。静电吸盘20的电极为膜状的电极,设置于静电吸盘20的主体内。静电吸盘20的电极经由开关20s而与直流电源20p连接。当向静电吸盘20的电极施加来自直流电源20p的电压时,在静电吸盘20与基板W之间产生静电引力。通过产生的静电引力将基板W吸引至静电吸盘20,由静电吸盘20保持该基板W。
在基板支承器14上设置边缘环FR。边缘环FR并无限定,能够由硅、碳化硅或石英形成。当在腔室10内进行基板W的处理时,将基板W配置于静电吸盘20上且被边缘环FR包围的区域内。
在下部电极18的内部设置有流路18f。从冷却装置22经由配管22a向流路18f供给热交换介质(例如制冷剂)。冷却装置22设置于腔室10的外部。被供给至流路18f的热交换介质经由配管22b返回冷却装置22。在等离子体处理装置1中,通过热交换介质与下部电极18之间的热交换来调整被载置于静电吸盘20上的基板W的温度。此外,可以在基板支承器14的内部设置加热器(例如电阻加热元件)。可以通过加热器来调整基板W的温度。
等离子体处理装置1还能够具备气体供给线路24。气体供给线路24用于将传热气体(例如He气体)供给至静电吸盘20的上表面与基板W的背面之间。从传热气体供给机构向气体供给线路24供给传热气体。
等离子体处理装置1还具备上部电极30。上部电极30设置于基板支承器14的上方。上部电极30经由构件32支承于腔室主体12的上部。构件32由具有绝缘性的材料形成。上部电极30和构件32将腔室主体12的上部开口关闭。
上部电极30能够包括顶板34和支承体36。顶板34的下表面为内部空间10s侧的下表面,划分内部空间10s。顶板34由含硅材料形成。顶板34例如由硅或碳化硅形成。在顶板34形成有多个气体喷出孔34a。多个气体喷出孔34a将顶板34沿其板厚度方向贯穿。
支承体36将顶板34装拆自如地支承。支承体36由铝之类的导电性材料形成。在支承体36的内部设置有气体扩散室36a。在支承体36形成有多个气体孔36b。多个气体孔36b从气体扩散室36a向下方延伸。多个气体孔36b分别与多个气体喷出孔34a连通。在支承体36形成有气体导入口36c。气体导入口36c与气体扩散室36a连接。气体导入口36c与气体供给管38连接。
气体供给管38经由阀组41、流量控制器组42以及阀组43而与气体源组40连接。气体源组40、阀组41、流量控制器组42以及阀组43构成气体供给部GS。气体源组40包括多个气体源。气体源组40的多个气体源包括在方法MT中利用的多个气体的源。阀组41和阀组43的各阀组分别包括多个开闭阀。流量控制器组42包括多个流量控制器。流量控制器组42的多个流量控制器的各流量控制器为质量流量控制器或压力控制式的流量控制器。气体源组40的多个气体源的各气体源经由阀组41的对应的开闭阀、流量控制器组42的对应的流量控制器、以及阀组43的对应的开闭阀而与气体供给管38连接。
在等离子体处理装置1中,沿腔室主体12的内壁面装拆自如地设置有屏蔽件46。屏蔽件46也设置于支承部13的外周。屏蔽件46用于防止等离子体处理的副产物附着于腔室主体12。屏蔽件46例如是通过在由铝形成的构件的表面形成具有耐腐蚀性的膜来构成的。具有耐腐蚀性的膜能够为由氧化钇之类的陶瓷形成的膜。
在支承部13与腔室主体12的侧壁之间设置有挡板48。挡板48例如是通过在由铝形成的构件的表面形成具有耐腐蚀性的膜来构成的。具有耐腐蚀性的膜能够为由氧化钇之类的陶瓷形成的膜。在挡板48形成有多个贯穿孔。在挡板48的下方且腔室主体12的底部设置有排气口12e。排气口12e经由排气管52而与排气装置50连接。排气装置50具有压力调整阀和涡轮分子泵之类的真空泵。
等离子体处理装置1还具备第一高频电源62和第二高频电源64。第一高频电源62为产生第一高频电力的电源。在一例中,第一高频电力具有适于生成等离子体的频率。第一高频电力的频率例如为27MHz~100MHz的范围内的频率。在一例中,第一高频电力的频率能够为40MHz。第一高频电源62经由匹配器66及电极板16而与上部电极30连接。匹配器66具有用于使第一高频电源62的输出阻抗与负载侧(上部电极30侧)的阻抗匹配的电路。此外,第一高频电源62可以经由匹配器66而与下部电极18连接。
第二高频电源64为产生第二高频电力的电源。第二高频电力具有比第一高频电力的频率低的频率。第二高频电力能够被用作用于向基板W吸引离子的偏置用的高频电力。第二高频电力的频率例如为400kHz~40.68MHz的范围内的频率。在一例中,第二高频电力的频率能够为3.2MHz。第二高频电源64经由匹配器68及电极板16而与下部电极18连接。匹配器68具有用于使第二高频电源64的输出阻抗与负载侧(下部电极18侧)的阻抗匹配的电路。
等离子体处理装置1还具备控制部MC。控制部MC能够为具备处理器、存储器之类的存储部、输入装置、显示装置、信号的输入输出接口等的计算机。控制部MC控制等离子体处理装置1的各部。在控制部MC中,操作者能够使用输入装置进行命令的输入操作等,以管理等离子体处理装置1。另外,在控制部MC中,能够通过显示装置来可视化地显示等离子体处理装置1的工作状况。并且,在控制部MC的存储部中保存有控制程序和制程数据。通过控制部MC的处理器来执行控制程序,以通过等离子体处理装置1执行各种处理。控制部MC的处理器执行控制程序,按照制程数据来控制等离子体处理装置1的各部,由此通过等离子体处理装置1执行方法MT。
再次参照图1来详细地说明方法MT。在以下的说明中,以使用等离子体处理装置1对基板W应用方法MT的情况为例来说明方法MT。另外,在以下的说明中,还详细地说明控制部MC对等离子体处理装置1的各部的控制。另外,在以下的说明中,除了参照图1和图2的(a)以外还参照图2的(b)、图4的(a)、图4的(b)以及图4的(c)。图2的(b)为应用工序ST1后的一例的基板的局部放大截面图。图4的(a)是应用工序ST2后的一例的基板的局部放大截面图,图4的(b)是应用工序ST3后的一例的基板的局部放大截面图,图4的(c)是应用工序ST4后的一例的基板的局部放大截面图。
如图1所示,方法MT包括工序ST1、工序ST2以及工序ST4。在一个实施方式中,方法MT还可以包括工序ST3。在执行工序ST2后且执行工序ST4前执行工序ST3。在一个实施方式中,在从工序ST1开始起至工序ST4结束为止的期间,基板W维持在被减压后的环境内。即,在从工序ST1开始起至工序ST4结束为止的期间,基板W不暴露在大气中。在一个实施方式中,使用单一的等离子体处理装置1执行方法MT。在从工序ST1开始起至工序ST4结束为止的期间,基板W配置在等离子体处理装置1的减压后的内部空间10s之中。
在方法MT中,将基板W载置于基板支承器14上。基板W被静电吸盘20保持。在方法MT中,首先执行工序ST1。在工序ST1中,执行针对膜EF的主蚀刻。如图2的(b)所示,主蚀刻为针对膜EF的等离子体蚀刻,使基底区域UR的至少一部分露出。
在工序ST1中,在腔室10内从处理气体生成等离子体,以进行膜EF的蚀刻。利用来自生成的等离子体的化学物种来蚀刻膜EF。在膜EF为氮化硅膜的情况下,在工序ST1中使用的处理气体包括氢氟烃气体(例如CH3F)。在膜EF为硅膜的情况下,在工序ST1中使用的处理气体包括含卤气体。含卤气体例如为HBr气体以及/或者Cl2气体。在膜EF为有机膜的情况下,在工序ST1中使用的处理气体例如为含氧气体。含氧气体为氧气(O2气体)、一氧化碳气体、二氧化碳气体或这些气体中的两种以上的气体的混合气体。在膜EF为有机膜的情况下,在工序ST1中使用的处理气体可以为氮气(N2气体)和氢气(H2气体)的混合气体。
为了执行工序ST1,控制部MC控制气体供给部GS以向腔室10内供给处理气体。为了执行工序ST1,控制部MC控制排气装置50以将腔室10内的压力控制为指定的压力。为了执行工序ST1,控制部MC控制第一高频电源62以供给第一高频电力。另外,为了执行工序ST1,控制部MC控制第二高频电源64以供给第二高频电力。
如图2的(b)所示,通过工序ST1的主蚀刻而形成于膜EF的开口具有渐缩形状。即,在通过工序ST1的主蚀刻而形成于膜EF的开口的底部,该开口的宽度相比于该开口的上端窄。
在方法MT中,接下来执行工序ST2。在工序ST1之后执行工序ST2。在工序ST2中,至少在掩模MK的侧壁面上形成保护层PL。在一个实施方式的工序ST2中,如图4的(a)所示,可以在基板W上敷形地形成保护层PL。保护层PL的材料与膜EF的材料不同。保护层PL的材料例如为氧化硅。为了在工序ST2中形成保护层PL,控制部MC控制第一高频电源62和第二高频电源64中的至少一方以及气体供给部GS。
保护层PL的成膜方法并无限定,例如为原子层沉积法。能够使用原子层沉积法以在第一区域R1和第二区域R2这两方之上形成均匀的保护层PL。图5是图1所示的方法的工序ST2的一例的流程图。在图5所示的例子中,工序ST2包括工序S21和工序ST23。工序ST2还可以包括工序ST22和工序ST24。
在工序ST21中,向基板W供给第一气体。第一气体包括前体气体。第一气体还可以包括非活性气体之类的载气。前体气体能够为氨基硅烷系气体。作为氨基硅烷系气体,能够使用具有氨基的数量比较少的分子构造的氨基硅烷系气体。作为氨基硅烷系气体,例如使用单氨基硅烷(H3-Si-R(R为包括有机基且可以被置换的氨基))。上述的氨基硅烷系气体能够包括氨基硅烷,该氨基硅烷是能够具有1~3个硅原子的氨基硅烷或具有1~3个氨基的氨基硅烷。具有1~3个硅原子的氨基硅烷能够为具有1~3个氨基的甲硅烷(单氨基硅烷)、具有1~3个氨基的乙硅烷或具有1~3个氨基的三硅烷。并且,上述的氨基硅烷能够具有可以被置换的氨基。并且,上述的氨基能够被甲基、乙基、丙基、以及丁基中的任一方置换。并且,上述的甲基、乙基、丙基或丁基能够被卤置换。
为了执行工序ST21,控制部MC控制气体供给部GS,以向腔室10内供给第一气体。为了执行工序ST21,控制部MC控制排气装置50,以将腔室10内的压力控制为指定的压力。在一个实施方式的工序ST21中,可以不生成等离子体。因而,在一个实施方式的工序ST21中,可以不供给第一高频电力和第二高频电力。
在工序ST21中,第一气体中包括的分子作为反应前体附着于基板W的表面。第一气体中包括的分子通过基于化学键的化学吸附而附着于基板W的表面。
在工序ST21与工序ST23之间执行工序ST22。在工序ST22中,进行内部空间10s的吹扫。即,对腔室10内的第一气体进行排气。在工序ST22中,可以向腔室10内供给非活性气体。为了执行工序ST22,控制部MC使排气装置50动作。为了执行工序ST22,控制部MC可以控制气体供给部GS以向腔室10内供给非活性气体。在工序ST22中,将过度地附着于基板W上的分子去除。
在接下来的工序ST23中,在腔室10内从第二气体生成等离子体。第二气体中包括含氧气体。含氧气体例如为氧气(O2气体)、一氧化碳气体、二氧化碳气体或这些气体中的两种以上的气体的混合气体。在工序ST2中,通过来自等离子体的化学物种与反应前体的反应来形成氧化硅的单分子层。
为了执行工序ST23,控制部MC控制气体供给部GS以向腔室10内供给第二气体。为了执行工序ST23,控制部MC控制排气装置50以将腔室10内的压力控制为指定的压力。为了执行工序ST23,控制部MC控制第一高频电源62以供给第一高频电力。或者,除此以外,为了执行工序ST23,控制部MC控制第二高频电源64以供给第二高频电力。
在工序ST23之后执行工序ST24。工序ST24为与工序ST22同样的工序。
根据需要的保护层PL的厚度将包括工序ST21和工序ST23或者工序ST21~工序ST24的序列执行一次以上。在多次执行序列的情况下、即重复执行序列的情况下,工序ST2还包括工序ST25。在工序ST25中,判定是否满足停止条件。在序列的重复次数达到了规定次数的情况下,满足停止条件。当在工序ST25中判定为不满足停止条件时,再次执行序列。另一方面,当在工序ST25中判定为满足停止条件时,工序ST2结束。
如上述那样,在执行工序ST2后且执行工序ST4前执行工序ST3。此外,也可以是,在工序ST2后,不执行工序ST3,执行工序ST4。
在工序ST3中,执行等离子体蚀刻。执行工序ST3的等离子体蚀刻,以将形成于侧壁面SWS上的保护层PL的区域去除。侧壁面SWS为膜EF的侧壁面,划分通过工序ST1的主蚀刻形成的开口。在工序ST3中,在腔室10内从处理气体形成等离子体。处理气体例如包括氟碳气体(例如CF4气体)。处理气体还可以包括非活性气体。非活性气体能够为氩气之类的稀有气体。
在工序ST3中,利用来自生成的等离子体的活性种来局部地去除保护层PL。工序ST3的等离子体蚀刻为各向异性蚀刻,以使在垂直的面(例如掩模MK的侧壁面)上延伸的保护层PL的区域残留的方式蚀刻保护层PL的其它区域。具体地说,如图4的(b)所示,通过工序ST3的等离子体蚀刻来去除在掩模MK的上表面之上和膜EF的侧壁面SWS上延伸的保护层PL的区域。
为了执行工序ST3,控制部MC控制气体供给部GS以向腔室10内供给处理气体。为了执行工序ST3,控制部MC控制排气装置50以将腔室10内的压力控制为指定的压力。为了执行工序ST3,控制部MC控制第一高频电源62以供给第一高频电力。为了执行工序ST3,控制部MC控制第二高频电源64以供给第二高频电力。
在一个实施方式中,在工序ST3中供给的偏置电力、即第二高频电力的功率水平可以比在工序ST1和工序ST4中供给的第二高频电力的功率水平大。根据该实施方式,能够提高工序ST3的等离子体蚀刻的各向异性,能够有效地去除在膜EF的侧壁面SWS上延伸的保护层PL的区域。
在工序ST2或工序ST3之后执行工序ST4。在工序ST4中,执行针对膜EF的过蚀刻。过蚀刻为针对膜EF的等离子体蚀刻。如图4的(c)所示,在过蚀刻中,校正膜EF的侧壁面SWS的形状以提高其垂直性。
在工序ST4中,在腔室10内从处理气体生成等离子体,以进行针对膜EF的过蚀刻。利用来自生成的等离子体的化学物种来蚀刻膜EF。在工序ST4中,能够使用与工序ST1的处理气体相同的处理气体。在膜EF为氮化硅膜的情况下,在工序ST4中使用的处理气体包括氢氟烃气体(例如CH3F)。在膜EF为硅膜的情况下,在工序ST4中使用的处理气体包括含卤气体。含卤气体例如为HBr气体以及/或者Cl2气体。在膜EF为有机膜的情况下,在工序ST4中使用的处理气体例如为含氧气体。含氧气体为氧气(O2气体)、一氧化碳气体、二氧化碳气体或这些气体中的两种以上的气体的混合气体。在膜EF为有机膜的情况下,在工序ST4中使用的处理气体可以为氮气(N2气体)和氢气(H2气体)的混合气体。
为了执行工序ST4,控制部MC控制气体供给部GS以向腔室10内供给处理气体。为了执行工序ST4,控制部MC控制排气装置50以将腔室10内的压力控制为指定的压力。为了执行工序ST4,控制部MC控制第一高频电源62以供给第一高频电力。另外,为了执行工序ST4,控制部MC控制第二高频电源64以供给第二高频电力。
在一个实施方式中,可以将包括工序ST2~工序ST4的序列执行一次以上。即,可以重复地交替进行工序ST2和工序ST4。在多次执行序列的情况下、即重复执行序列的情况下,方法MT包括工序ST5。在工序ST5中,判定是否满足停止条件。在序列的重复次数达到了规定次数的情况下,满足停止条件。当在工序ST5中判定为不满足停止条件时,再次执行序列。另一方面,当在工序ST5中判定为满足停止条件时,方法MT结束。
在方法MT中,在工序ST1中执行针对膜EF的主蚀刻之后,至少在掩模MK的侧壁面上形成保护层PL。之后,在方法MT中,在掩模MK的侧壁面被保护层PL保护着的状态下执行膜EF的过蚀刻。即,在方法MT中,在通过保护层PL抑制了掩模MK的宽度的缩小的状态下进行膜EF的过蚀刻。因而,能够抑制形成于膜EF的图案的宽度的缩小并且提高膜EF的侧壁面的垂直性。
在一个实施方式中,基板W具有上述的第一区域R1和第二区域R2。在方法MT中,在通过保护层PL抑制了掩模MK的宽度的缩小的状态下进行膜EF的过蚀刻。因而,根据方法MT,能够减少在第一区域R1中形成于膜EF的图案的形状与在第二区域R2中形成于膜EF的图案的形状之差。
下面,参照图6。图6是概要性地表示用于执行图1所示的方法的一例的处理***的图。方法MT可以使用与在其它工序中使用的装置不同的装置来执行工序ST1~工序ST4中的至少一个工序。或者,可以使用互不相同的装置来分别执行工序ST1~工序ST4。图6所示的处理***PS能够用于在这样的情况下执行方法MT。
图6所示的处理***PS具备台2a~2d、容器4a~4d、加载模块LM、对准器AN、加载互锁模块LL1、LL2、工艺模块PM1~PM6、搬送模块TF以及控制部MC。此外,处理***PS中的台的个数、容器的个数、加载互锁模块的个数能够为2以上的任意个数。另外,工艺模块的个数能够为2以上的任意个数。
台2a~2d沿加载模块LM的一个边缘排列。容器4a~4d分别搭载于台2a~2d上。各个容器4a~4d例如为称作FOUP(Front Opening Unified Pod:前开式晶圆传送盒)的容器。各个容器4a~4d构成为在其内部收容基板W。
加载模块LM具有腔室。加载模块LM的腔室内的压力设定为大气压。在加载模块LM的腔室内设置有搬送装置TU1。搬送装置TU1例如为多关节机器人,由控制部MC进行控制。搬送装置TU1在各个容器4a~4d与对准器AN之间、对准器AN与各个加载互锁模块LL1~LL2之间、各个加载互锁模块LL1~LL2与各个容器4a~4d之间搬送基板W。对准器AN与加载模块LM连接。对准器AN构成为进行基板W的位置的调整(位置的校准)。
加载互锁模块LL1和加载互锁模块LL2的各加载互锁模块设置于加载模块LM与搬送模块TF之间。加载互锁模块LL1和加载互锁模块LL2的各加载互锁模块提供预备减压室。
搬送模块TF经由闸阀而与加载互锁模块LL1及加载互锁模块LL2连接。搬送模块TF具有能够减压的搬送腔室TC。在搬送腔室TC内设置有搬送装置TU2。搬送装置TU2例如为多关节机器人,由控制部MC进行控制。搬送装置TU2构成为在各个加载互锁模块LL1~LL2与各个工艺模块PM1~PM6之间、以及工艺模块PM1~PM6中的任意两个工艺模块之间搬送基板W。
各个工艺模块PM1~PM6为构成为进行专用的基板处理的处理装置。工艺模块PM1~PM6中的一个以上的工艺模块能够为等离子体处理装置1之类的等离子体处理装置。能够使用作为等离子体处理装置的一个以上的工艺模块来执行工序ST1、工序ST3以及工序ST4。工艺模块PM1~PM6中的其它工艺模块能够为用于执行工序ST2的成膜装置。
在处理***PS中,控制部MC构成为控制处理***PS的各部。该处理***PS能够在工艺模块间以不使基板W与大气接触的方式搬送基板W。因而,根据该处理***PS,在从工序ST1开始起至工序ST4结束为止的期间,能够以不使基板W暴露在大气中的方式执行方法MT。
以上说明了各种例示性的实施方式,但不限定于上述的例示性的实施方式,可以进行各种省略、置换以及变更。另外,能够将不同的实施方式中的要素进行组合来形成其它实施方式。
例如,在执行方法MT时,可以使用与电容耦合型不同的类型的等离子体处理装置。例如,在执行方法MT时,可以使用电感耦合型的等离子体处理装置或通过微波之类的表面波生成等离子体的等离子体处理装置。
根据以上说明,应该理解的是,在本说明书中说明本公开的各种实施方式的目的是为了进行说明,能够不脱离本公开的范围和主旨地进行各种变更。因而,本说明书中公开的各种实施方式并不用于进行限定,真正的范围和主旨由所附的权利要求书表示。

Claims (13)

1.一种基板的膜的蚀刻方法,所述基板具有基底区域、设置于该基底区域上的膜以及设置于所述膜上的掩模,该掩模被图案化,所述方法包括以下工序:
对所述膜执行主蚀刻,所述主蚀刻为针对所述膜的等离子体蚀刻,所述主蚀刻使所述基底区域的至少一部分露出,并且在所述膜上形成渐缩形状的侧壁面;
在执行主蚀刻的工序之后,至少在所述掩模的侧壁面和所述膜的侧壁面上形成保护层,所述保护层的材料与所述膜的材料不同;
以使在所述掩模的侧壁面上形成的保护层残留的方式去除在所述膜的侧壁面上形成的保护层,以及
在去除在所述膜的侧壁面上形成的保护层的工序之后,执行针对所述膜的过蚀刻,所述过蚀刻为针对所述膜的等离子体蚀刻,
其中,所述基板具有第一区域和第二区域,
所述第一区域中的所述掩模的图案形成得比所述第二区域中的所述掩模的图案密。
2.根据权利要求1所述的基板的膜的蚀刻方法,其特征在于,
所述膜的具有渐缩形状的侧壁面划分开口,
所述过蚀刻对划分所述开口的所述膜的侧壁面的形状进行校正以提高其垂直性。
3.根据权利要求1或2所述的基板的膜的蚀刻方法,其特征在于,
重复地交替进行形成保护层的工序和执行过蚀刻的工序。
4.根据权利要求1或2所述的基板的膜的蚀刻方法,其特征在于,还包括以下工序:
在形成保护层的工序之后且执行过蚀刻的工序之前,执行等离子体蚀刻,以将在所述膜的侧壁面上形成的保护层去除。
5.根据权利要求4所述的基板的膜的蚀刻方法,其特征在于,
在执行去除在所述膜的侧壁面上形成的保护层的等离子体蚀刻的工序中,用于向所述基板吸引离子的偏置电力的功率水平比在执行主蚀刻的工序和执行过蚀刻的工序的各工序中用于向所述基板吸引离子的偏置电力的功率水平大。
6.根据权利要求1或2所述的基板的膜的蚀刻方法,其特征在于,
所述掩模由有机材料形成。
7.根据权利要求1或2所述的基板的膜的蚀刻方法,其特征在于,
所述膜为含硅膜。
8.根据权利要求7所述的基板的膜的蚀刻方法,其特征在于,
所述含硅膜为氮化硅膜。
9.根据权利要求1或2所述的基板的膜的蚀刻方法,其特征在于,
在从执行主蚀刻的工序开始起至执行过蚀刻的工序结束为止的期间,将所述基板维持在减压后的环境内。
10.根据权利要求9所述的基板的膜的蚀刻方法,其特征在于,
使用单一的等离子体处理装置执行以下工序:执行主蚀刻的工序;形成保护层的工序;去除在所述膜的侧壁面上形成的保护层的工序;以及执行过蚀刻的工序。
11.根据权利要求1或2所述的基板的膜的蚀刻方法,其特征在于,
所述保护层敷形地形成于所述基板上。
12.根据权利要求11所述的基板的膜的蚀刻方法,其特征在于,
通过原子层沉积法来形成所述保护层。
13.一种等离子体处理装置,具备:
腔室;
基板支承器,其设置于所述腔室内;
气体供给部,其被配置为向所述腔室内供给气体;
高频电源,其被配置为产生高频电力,以从所述腔室内的气体形成等离子体;以及
控制部,其被配置为控制所述气体供给部和所述高频电源,
其中,所述控制部被配置为:
控制所述气体供给部和所述高频电源,以对基板的膜执行主蚀刻,其中,所述基板具有基底区域、设置于该基底区域上的所述膜以及设置于所述膜上的掩模,所述主蚀刻为针对所述膜的等离子体蚀刻,所述主蚀刻使所述基底区域的至少一部分露出,并且在所述膜上形成渐缩形状的侧壁面;
在所述主蚀刻之后,控制所述气体供给部和所述高频电源,以至少在所述掩模的侧壁面和所述膜的侧壁面上形成由与所述膜的材料不同的材料形成的保护层,
在形成所述保护层之后,控制所述气体供给部和所述高频电源,以使在所述掩模的侧壁面上形成的保护层残留的方式去除在所述膜的侧壁面上形成的保护层,
在去除在所述膜的侧壁面上形成的保护层之后,控制所述气体供给部和所述高频电源,以执行所述基板的所述膜的过蚀刻,所述过蚀刻为针对所述膜的等离子体蚀刻,
其中,所述基板具有第一区域和第二区域,
所述第一区域中的所述掩模的图案形成得比所述第二区域中的所述掩模的图案密。
CN202010176495.9A 2019-03-20 2020-03-13 膜的蚀刻方法和等离子体处理装置 Active CN111725062B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019053348A JP7220603B2 (ja) 2019-03-20 2019-03-20 膜をエッチングする方法及びプラズマ処理装置
JP2019-053348 2019-03-20

Publications (2)

Publication Number Publication Date
CN111725062A CN111725062A (zh) 2020-09-29
CN111725062B true CN111725062B (zh) 2024-04-05

Family

ID=72514676

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010176495.9A Active CN111725062B (zh) 2019-03-20 2020-03-13 膜的蚀刻方法和等离子体处理装置

Country Status (4)

Country Link
US (2) US11404282B2 (zh)
JP (1) JP7220603B2 (zh)
KR (1) KR20200113170A (zh)
CN (1) CN111725062B (zh)

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006278836A (ja) * 2005-03-30 2006-10-12 Tokyo Electron Ltd エッチング方法、エッチング装置、コンピュータプログラム及びコンピュータ記憶媒体
JP2012182474A (ja) * 2012-04-26 2012-09-20 Tokyo Electron Ltd 半導体装置の製造方法及び記憶媒体
JP2014086500A (ja) * 2012-10-22 2014-05-12 Tokyo Electron Ltd 銅層をエッチングする方法、及びマスク
JP2014150149A (ja) * 2013-01-31 2014-08-21 Ulvac Japan Ltd エッチング方法及びエッチング装置
CN104851794A (zh) * 2014-02-19 2015-08-19 东京毅力科创株式会社 蚀刻方法和等离子体处理装置
JP2016018794A (ja) * 2014-07-04 2016-02-01 株式会社日立ハイテクノロジーズ プラズマ処理方法
CN106158619A (zh) * 2015-05-11 2016-11-23 东京毅力科创株式会社 被处理体的处理方法
JP2018006706A (ja) * 2016-07-08 2018-01-11 東京エレクトロン株式会社 被処理体を処理する方法
CN107731677A (zh) * 2016-08-12 2018-02-23 东京毅力科创株式会社 处理被处理体的方法
KR20180105580A (ko) * 2017-03-15 2018-09-28 도쿄엘렉트론가부시키가이샤 피가공물을 처리하는 방법
CN108615681A (zh) * 2018-03-20 2018-10-02 长江存储科技有限责任公司 刻蚀方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5918126A (en) * 1997-02-25 1999-06-29 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit having devices arranged with different device densities using a bias differential to form devices with a uniform size
JP3760843B2 (ja) 2001-11-16 2006-03-29 三菱電機株式会社 半導体装置の製造方法
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
JP4672318B2 (ja) 2004-09-22 2011-04-20 東京エレクトロン株式会社 エッチング方法
US7405162B2 (en) 2004-09-22 2008-07-29 Tokyo Electron Limited Etching method and computer-readable storage medium
JP2007059806A (ja) 2005-08-26 2007-03-08 Toshiba Corp ドライエッチング方法及びフォトマスクの製造方法
JP2008010692A (ja) 2006-06-30 2008-01-17 Hitachi High-Technologies Corp ドライエッチング方法
US8153527B2 (en) * 2008-10-13 2012-04-10 Globalfoundries Singapore Pte. Ltd. Method for reducing sidewall etch residue
JP2015084396A (ja) * 2013-09-19 2015-04-30 東京エレクトロン株式会社 エッチング方法
JP6366454B2 (ja) * 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
JP2017135240A (ja) 2016-01-27 2017-08-03 パナソニック株式会社 エピタキシャル基板の製造方法
JP6759004B2 (ja) 2016-08-29 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006278836A (ja) * 2005-03-30 2006-10-12 Tokyo Electron Ltd エッチング方法、エッチング装置、コンピュータプログラム及びコンピュータ記憶媒体
JP2012182474A (ja) * 2012-04-26 2012-09-20 Tokyo Electron Ltd 半導体装置の製造方法及び記憶媒体
JP2014086500A (ja) * 2012-10-22 2014-05-12 Tokyo Electron Ltd 銅層をエッチングする方法、及びマスク
JP2014150149A (ja) * 2013-01-31 2014-08-21 Ulvac Japan Ltd エッチング方法及びエッチング装置
CN104851794A (zh) * 2014-02-19 2015-08-19 东京毅力科创株式会社 蚀刻方法和等离子体处理装置
JP2016018794A (ja) * 2014-07-04 2016-02-01 株式会社日立ハイテクノロジーズ プラズマ処理方法
CN106158619A (zh) * 2015-05-11 2016-11-23 东京毅力科创株式会社 被处理体的处理方法
JP2018006706A (ja) * 2016-07-08 2018-01-11 東京エレクトロン株式会社 被処理体を処理する方法
CN109417029A (zh) * 2016-07-08 2019-03-01 东京毅力科创株式会社 对被处理体进行处理的方法
CN107731677A (zh) * 2016-08-12 2018-02-23 东京毅力科创株式会社 处理被处理体的方法
KR20180105580A (ko) * 2017-03-15 2018-09-28 도쿄엘렉트론가부시키가이샤 피가공물을 처리하는 방법
CN108615681A (zh) * 2018-03-20 2018-10-02 长江存储科技有限责任公司 刻蚀方法

Also Published As

Publication number Publication date
CN111725062A (zh) 2020-09-29
JP7220603B2 (ja) 2023-02-10
KR20200113170A (ko) 2020-10-06
US20200303203A1 (en) 2020-09-24
US20220336224A1 (en) 2022-10-20
TW202040689A (zh) 2020-11-01
US11404282B2 (en) 2022-08-02
JP2020155616A (ja) 2020-09-24

Similar Documents

Publication Publication Date Title
CN109427576B (zh) 蚀刻方法
JP7174634B2 (ja) 膜をエッチングする方法
US20220122802A1 (en) Etching method, plasma processing apparatus, and processing system
CN111725062B (zh) 膜的蚀刻方法和等离子体处理装置
TW202133252A (zh) 蝕刻方法、基板處理裝置及基板處理系統
CN112530799A (zh) 蚀刻氧化硅膜的方法及等离子体处理装置
TWI840524B (zh) 蝕刻基板之膜之方法及電漿處理裝置
CN114762091B (zh) 蚀刻方法、等离子体处理装置、基板处理***以及存储介质
US20220068629A1 (en) Substrate processing method and plasma processing apparatus
JP2022039910A (ja) 基板処理方法及びプラズマ処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant