CN113424319A - 垂直薄膜晶体管以及作为用于三维存储器阵列的位线连接器的应用 - Google Patents

垂直薄膜晶体管以及作为用于三维存储器阵列的位线连接器的应用 Download PDF

Info

Publication number
CN113424319A
CN113424319A CN202080012920.9A CN202080012920A CN113424319A CN 113424319 A CN113424319 A CN 113424319A CN 202080012920 A CN202080012920 A CN 202080012920A CN 113424319 A CN113424319 A CN 113424319A
Authority
CN
China
Prior art keywords
layer
semiconductor layer
semiconductor
providing
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080012920.9A
Other languages
English (en)
Inventor
颜天鸿
斯科特·布拉德·赫那
周杰
武儀·亨利·简
叶利·哈拉里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sunrise Memory Corp
Original Assignee
Sunrise Memory Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sunrise Memory Corp filed Critical Sunrise Memory Corp
Publication of CN113424319A publication Critical patent/CN113424319A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • H01L29/458Ohmic electrodes on silicon for thin film silicon, e.g. source or drain electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

存储器电路包含:(i)半导体衬底,其具有平面表面,所述半导体衬底具有形成于其中的用于存储器操作的电路***;(ii)存储器阵列,其形成于所述平面表面上方,所述存储器阵列具有连接到所述存储器阵列中的存储器电路的一个或多个电极,导体各自沿着基本上平行于所述平面表面的方向延伸;以及(iii)一个或多个晶体管,每个晶体管在所述电极中的对应一个电极上方、沿着所述对应一个电极或在所述对应一个电极下方,但在所述半导体衬底的所述平面表面上方形成,每个晶体管(a)具有第一和第二漏极/源极区和栅极区,每一个由半导体材料形成,其中所述第一漏极/源极区、所述第二漏极/源极区或所述栅极区具有形成于其上的金属硅化物层;以及(b)选择性地将所述对应电极连接到用于存储器操作的所述电路***。

Description

垂直薄膜晶体管以及作为用于三维存储器阵列的位线连接器 的应用
技术领域
本发明涉及低缺陷密度薄膜晶体管。具体来说,本发明涉及在三维存储器阵列中使用低缺陷密度薄膜晶体管。
背景技术
非临时申请公开一种形成于半导体衬底的平面表面上方的薄膜存储晶体管的三维阵列(“3D存储器阵列”)。(为了便于在本文的描述中参考,垂直于平面表面的方向称为“Z方向”,而平行于平面表面的两个相互正交的方向分别称为“X方向”和“Y方向”。)多个3D存储器阵列可以形成于单个半导体衬底上。
3D存储器阵列包含沿着X方向以规则间隔彼此间隔开的NOR存储器串的多个堆叠,其中NOR存储器串的每个堆叠具有提供在彼此的顶部上(即,沿着Z方向)且彼此隔离的多层NOR存储器串。在一个实施方案中,在每个堆叠中提供8层NOR存储器串并且沿着X方向提供8个此堆叠。在每个堆叠中的每一层NOR存储器串包含通过含沟道材料的条带分离的n型或p型多晶硅层的第一和第二条带。含沟道材料的条带包含与第一和第二条带的多晶硅层接触的多晶硅层。含沟道材料的条带中的这些多晶硅层可以是轻掺杂多晶硅,优选地与第一和第二条带中的多晶硅层的类型相反地掺杂。第一条带、第二条带和含沟道材料条带各自沿着Y方向纵向延伸且沿着X方向具有窄宽度。第一条带和第二条带的多晶硅层形成用于NOR存储器串的层的共同源极区和共同漏极区。
如在非临时申请中公开,每个含沟道材料条带具有暴露在堆叠的相对侧壁上的两个多晶硅层,每个多晶硅层通过绝缘材料彼此分离。多晶硅层在堆叠的相对侧上形成两个薄膜存储晶体管的沟道区。在相邻堆叠之间并且沿着Y方向以规则间隔提供导电支柱(例如,重掺杂多晶硅),每个导电支柱沿着Z方向延伸,通过覆盖堆叠的垂直侧壁的电荷捕获材料(例如,氧化物-氮化物-氧化物三层)与相邻堆叠中的每一个绝缘。每个导电支柱用作栅极电极。在导电支柱(“局部字线”)以及其相邻的电荷捕获材料和其相邻的共同源极区和共同漏极区与沟道区中的一个重叠的情况下,形成薄膜存储晶体管。因此,两个NOR存储器串形成于每个堆叠中的每一层NOR存储器串的相对侧上。
非临时申请公开用于存储器操作的CMOS支持电路***(例如,各种电源电路、地址解码器和感测放大器)形成于3D存储器阵列下方的半导体衬底中。在一个实施方案中,支撑半导体衬底上方的每个3D存储器阵列的用于存储器操作的电路***形成于3D存储器阵列本身下方以提供模块化。
3D存储器阵列的共同漏极区(“位线”)以及在一些实施方案中共同源极区沿着Y方向延伸超出3D存储器阵列(“阵列区”)的两个侧面,进入“阶梯区”中。在每个阶梯区中,每个堆叠的每一层NOR存储器串的位线(或源极线)在Y方向上以不同程度延伸以形成阶梯状结构,其中最大程度为NOR存储器串的层最靠近半导体衬底且最小程度为NOR存储器串的层最远离半导体衬底。如在非临时申请中的各种实施例中所说明,阶梯结构有助于每一位线与其用于存储器操作的对应电路***(例如,感测放大器)之间的电连接。
发明内容
根据本发明的一个实施例,存储器电路包含:(i)具有平面表面的半导体衬底,所述半导体衬底具有形成于其中的用于存储器操作的电路***;(ii)形成于平面表面上方的存储器单元阵列(“存储器阵列”),所述存储器阵列在导电层中具有一个或多个导体,以将电信号载送到存储器阵列中的存储器单元,所述导体各自沿着基本上平行于平面表面的方向延伸;以及(iii)一个或多个晶体管,每个晶体管在导电层中的导体中的对应一个导体上方、沿着所述对应一个导体或在所述对应一个导体下方,但在半导体衬底的平面表面上方形成,每个晶体管(a)具有第一漏极或源极区和第二漏极或源极区以及栅极区,每一个由半导体材料形成,第一漏极或源极区、第二漏极或源极区或栅极区具有形成于其上的金属硅化物层;以及(b)选择性地将导电层中的对应导体连接到用于存储器操作的电路***。
在一个实施例中,金属硅化物层包含钛的硅化物或镍的硅化物。
在一个实施例中,存储器电路进一步包含第二导电层,其中晶体管中的每一个的第一漏极或源极区连接到第二导电层中的导体中的一个,并且晶体管的第二漏极或源极区连接到第一导电层中的对应导体。存储器电路可以进一步包含一组互连线,其中每个晶体管的栅极端连接到互连线中的一个。
在一个实施例中,当电流存在于晶体管中的一个沟道区中时,电流在基本上垂直于平面表面的方向上流动。
在一个实施例中,存储器阵列包含NOR存储器串的多个层级,每个层级具有多个NOR存储器串,并且其中第一导电层中的导体是NOR存储器串的共同位线。共同位线的部分可以提供于从存储器阵列的一侧或两侧上延伸的阶梯结构中。此外,阶梯结构的每个台阶包含NOR存储器串的对应层级的共同位线,在阶梯的每个台阶处连接到共同位线的晶体管形成用于那些共同位线的位线选择器。每个位线选择器的晶体管形成第一组和第二组,使得通过位线选择器选择的相邻共同位线由来自第一组的晶体管和来自第二组的晶体管服务,并且其中第一组中的晶体管的触点相对于第二组中的晶体管的触点以交错方式放置。
根据本发明的一个实施例,用于形成晶体管的第一过程,包含:(i)提供具有平面表面的半导体衬底;(ii)在半导体衬底的平面表面上方提供第一类型和第一掺杂浓度的第一半导体层;(iii)在第一半导体层上方提供模制介电层;(iv)蚀刻模制介电层以提供基本上垂直于平面表面的沟槽,所述沟槽暴露第一半导体层的表面;(v)用非晶形式的第二半导体层填充沟槽,所述第二半导体层具有与第一类型相反的第二类型或具有低于第一掺杂浓度的第二掺杂浓度;(vi)转换第二半导体层的部分以变成第三半导体层,所述第三半导体层具有第一类型或具有高于第二半导体层的第三掺杂浓度;以及(vii)在第三半导体层上提供金属层;以及将金属层退火以将金属层转换成金属硅化物。
第一过程可以进一步包含:(i)在模制介电层中提供第二沟槽,所述第二沟槽基本上垂直于平面表面并且暴露第二半导体层的部分;(ii)在第二半导体层的暴露部分上方提供绝缘体;以及(iii)提供导电材料以填充第二沟槽,导电材料通过绝缘体与第二半导体层绝缘。导电材料将栅极电极提供到晶体管。
根据本发明的一个实施例,用于形成晶体管的第二过程,包含:(i)提供具有平面表面的半导体衬底;(ii)在半导体衬底的平面表面上方提供第一类型和第一掺杂浓度的第一半导体层;(iii)在第一半导体层上方提供模制介电层;(iv)蚀刻模制介电层以向第一半导体层的表面提供基本上垂直于平面表面的沟槽;(v)提供涂覆半导体层的暴露表面和沟槽的侧壁的导电材料;(vi)提供涂覆导电材料的绝缘体;(vii)各向异性地蚀刻掉沟槽中的绝缘体材料的任何导电材料或任何部分以暴露第一半导体材料的表面;(viii)用非晶形式的第二半导体层填充沟槽,所述第二半导体层具有与第一类型相反的第二类型或具有低于第一掺杂浓度的第二掺杂浓度;(ix)转换第二半导体层的部分以变成第三半导体层,所述第三半导体层具有第一类型且具有高于第二半导体层的第三掺杂浓度;(x)在第三半导体层上提供金属层;以及(xi)将金属层退火以将金属层转换成金属硅化物。
在第一过程和第二过程中,将第二半导体层的部分转换成第三半导体层的步骤可以包含气体扩散或离子注入。
根据本发明的一个实施例,用于形成晶体管的第三过程包含:(a)提供具有平面表面的半导体衬底;(ii)在平面表面上方提供第一半导体层;(iii)在第一半导体层上方提供第一绝缘体层;(iv)在绝缘体上方提供第三半导体层,使得第三半导体层与第一半导体层分离;(v)提供与第一半导体层和第二半导体层两者接触的第二半导体层,其中在第三半导体层上方提供第二半导体层的部分;(vi)在第二半导体层上方提供第二绝缘体层;(vii)在第二绝缘体层上方提供导电材料;(viii)提供钝化层以包围导电材料、第二绝缘体层、第二半导体层、第三半导体层、第一绝缘体层和第一半导体层;(ix)蚀刻钝化层以提供通孔,以暴露导电材料的部分;(x)在导电材料的暴露部分或第三半导体层的暴露部分上提供金属层;以及(xi)将金属层退火以将金属层转换成金属硅化物。
第三过程可以进一步包含蚀刻第二半导体层、第二绝缘体层和导电层,以围绕第三半导体层、第一绝缘体层和第一半导体层形成线结构。
根据本发明的一个实施例,用于形成晶体管的第四过程,包含(a)在半导体衬底的平面表面上方提供第一导电率的第一导电半导体层;(b)在第一半导体层上方提供介电材料;(c)在介电材料中产生向下到达第一半导体层的空腔的第一和第二部分,空腔的第二部分具有显著小于空腔的第一部分的对应宽度的宽度;(d)在空腔的侧壁上共形地提供蚀刻终止层;(e)在蚀刻终止层上方在第一空腔的侧壁上共形地提供牺牲层,向所述牺牲层提供一定厚度,使得基本上填满空腔的第二部分;(f)各向异性地蚀刻牺牲层和蚀刻终止层以在空腔的第一部分的底部处暴露第一半导体层的部分;(g)提供非晶半导体材料以填充空腔的第一部分;(h)在非晶半导体材料上提供金属层;(i)将金属层退火成半导体材料的合金;(j)通过离子注入将结晶的半导体层的部分转换成第一导电类型的半导体材料的区域;(k)从空腔的第一和第二部分去除牺牲层;(l)在空腔的第一和第二部分的侧壁上保形地提供栅极介电材料;以及(m)通过栅极导体层填充空腔的第一和第二部分。
在第一、第二、第三和第四过程中的每一个中,金属硅化物可以包含以下项中的一个或多个:钛的硅化物和镍的硅化物。当金属层包含钛时,退火可以在超过550℃下执行1秒到24小时。具体来说,在钛的情况下,退火(a)在550℃到600℃下执行12到24小时;(b)在600℃到750℃下执行5分钟到12小时;(c)在750℃到800℃下执行1分钟到5分钟,或(d)在800℃到1000℃下执行1秒到1分钟。当金属层包含镍时,退火在约350℃到约450℃之间执行。
在第一、第二、第三和第四过程中的每一个中,通过退火成晶体半导体材料转换非晶半导体材料。邻近于非晶半导体层的金属硅化物有助于结晶过程。
在结合附图考虑详细描述后,可以更好地理解本发明。
附图说明
图1a说明用于来自3D存储器阵列的单侧上的8层NOR存储器串的8个位线的8层阶梯结构100-L。
图1b示出分别通过阶梯结构100-L和100-R的X-Z平面的侧视图。
图1c示出用于跨越3D存储器阵列的8个堆叠的一个选定层级的8位位线选择器150(例如,用于图1a的位线106-0的位线选择器)的俯视图。
图1d是8位位线选择器150的示意性电路图。
图1e示出在阶梯结构下方的半导体衬底中,感测放大器连接到4个8位位线选择器,其中每个8位位线连接器连接到在3D存储器阵列中的NOR存储器串的4个层级中的对应一个中的共同位线。
图2a说明根据本发明的一个实施例的分别在阶梯结构200上方、在阶梯结构200下方以及沿着阶梯结构200提供垂直薄膜晶体管(TFT)的方案201、202和203。
图2b示出根据本发明的一个实施例的垂直TFT 330的截面。
图2c示出根据本发明的一个实施例的垂直TFT 350的截面。
图3示出根据本发明的一个实施例的使用在阶梯结构320上方提供的垂直TFT形成的位线选择器300。
图4示出根据本发明的一个实施例的通过奇数位线的图3的阶梯320的Y-Z平面截面。
图5示出在阶梯结构320中位线选择信号分别使用全局字线103-0、103-4、103-1和103-5与垂直TFT TR0、TR4、TR1和TR5中的每一个的栅极端233的连接,以及垂直TFT TR0、TR4、TR1和TR5中的每一个的漏极端231a使用导电层212的连接。
图6是根据本发明的一个实施例的在通过垂直TFT和阶梯结构40上方的互连导体制造互连之前若干垂直TFT(例如,垂直TFT 5)和阶梯结构40的等距视图。
图7a、7b-1、7b-2、7c、7d-a、7d-2、7e-1、7e-2、7f-1、7f-2、7g-1、7g-2、7h-1、7h-2、7i、7j-1、7j-2、7k-1、7k-2和7l说明根据本发明的一个实施例的用于形成垂直TFT的制造过程。
图8示出根据本发明的一个实施例的垂直TFT 850。
图9a、9b、9c、9d、9e、9f、9g和9h说明根据本发明的一个实施例的可以根据其形成垂直TFT 850的另一过程。
图10示出根据本发明的一个实施例的垂直TFT 280。
图11a、11b、11c、11d、11e、11f说明根据本发明的一个实施例的可以形成图10的垂直TFT 280的过程。
图12a、12b、12c、12d、12e、12f、12g、12h、12i、12j、和12k说明根据本发明的实施例中的又另一实施例的连接到NOR存储器串的阵列中的存储器单元的具有高迁移率的垂直TFT 20。
图13示出图12a到12k的垂直TFT,其中为了清楚起见去除一些介电层。
具体实施方式
图1a说明用于来自3D存储器阵列的单侧上的NOR存储器串的八个层级的八个位线的8层阶梯结构100-L。如图1a中所示,阶梯结构100-L包含沿着Y方向以递减程度延伸的位线106-0到106-7。位线106-0、106-2、106-4和106-6通过导体填充的通孔105-0、105-2、105-4和105-6电连接到导电层101-1、101-2、101-3和101-4中的导体。在对应阶梯结构100-R(在图1a中未示出)中,位线106-1、106-3、106-5和106-7通过导体填充的通孔105-1、105-3、105-5和105-7电连接到相同导电层101-1、101-2、101-3和101-4中的导体。导电层101-1、101-2、101-3和101-4的导体分别电连接到第一组正交互连导体(“第一全局字线”)。
阶梯结构100-L(以及100-R)中的每个第一全局字线将半导体衬底中的支持电路***之间的电信号路由到3D存储器阵列中的NOR存储器串的相同层级层中的选定位线。在此描述中,“相同层级”是指在平面半导体衬底上方大致处于同一层级的NOR存储串的层。如图1a中所示,第一全局字线103-6通过通孔102-6连接到导电层101-4中的导体,所述导体电连接到位线106-6。第一全局字线103-6通过导体107-6以及通过通孔由导电支柱或局部字线104-6连接到掩埋触点108-6。导体107-6是在基本上平行于第一全局字线的3D存储器阵列下方延伸的第二组互连导体(“第二全局字线”)中的导体。掩埋触点108-6通过位线选择器电路(在图1a中未示出,但在下文结合图1c描述)连接到服务于位线106-6的感测放大器。第二全局字线107-6允许其它电路***(例如,偏置电压源)连接到位线106-6。
在图1a中,类似于第一全局字线103-6,第一全局字线103-4通过通孔102-4连接到导电层101-3中的导体,所述导体电连接到位线106-2。第一全局字线103-4通过第二全局字线107-4以及通过导体填充的通孔由局部字线104-4连接到掩埋触点108-4。掩埋触点108-4通过位线选择器电路连接到服务于位线106-4的感测放大器。第二全局字线107-6允许其它电路***(例如,偏置电压源)连接到位线106-6。
图1b示出分别在阶梯结构100-L和100-R的X-Z平面中的侧视图。图1b示出位线106-0、106-2、106-4和106-6以上文已相对于图la论述的方式与阶梯结构100-L中的掩埋触点108-0、108-4、108-6和108-8的连接。图1b还示出位线106-1、106-3、106-5和106-7以类似方式与阶梯结构100-R中的掩埋触点108-1、108-3、108-5和108-7的连接。图1b还示意性地指示掩埋触点108-0、...、108-6和108-7中的每一个通过8输入选择电路连接,以选择跨越3D存储器阵列中的8个堆叠的8个相同层级位线中的一个。
因此,在图1a和1b中所说明的连接方法中,对于8层级3D存储器阵列,需要四个额外导电层(即,导电层101-1、101-2、101-3和101-4)。一般来说,图1a和1b的连接方法需要与3D存储器阵列中的层级数目的一半一样多的额外导电层。另外,随着3D存储器阵列中的层级数目增加,用于位线选择器的3D存储器阵列下方的硅占据面积按比例增加。图1c示出用于跨越3D存储器阵列的8个堆叠的一个选定层级的8位位线选择器150(例如,用于图1a的位线106-0的位线选择器)的俯视图。
如图1c中所示,位线BL0<0>、BL0<1>、...以及BL0<7>各自连接到形成半导体衬底中的位线选择器150的八个CMOS晶体管的对应漏极端。同样,BL1<0>、BL1<1>、...以及BL1<7>、BL2<0>、BL2<1>、...以及BL2<7>和BL3<0>、BL3<1>、...以及BL3<7>各自连接到另三个8位位选择器中的一个。四个8位位线选择器中的所有32个CMOS晶体管的源极端共同连接到服务于所有32个位线(即,32个位线对应于在阶梯结构的四个选定层级中的每一个中的八个位线)的感测放大器的端子151。在位线选择器150中,将选择信号SEL<0>、SEL<1>、...以及SEL<7>提供到八个CMOS晶体管的对应栅极电极,使得当激活选择信号中的一个时,对应CMOS晶体管变成导电,从而将对应位线连接到感测放大器的端子151。
图1d是8位位线选择器150的示意性电路图。在图1d中,将位线选择器150的八个CMOS晶体管分组成4个晶体管对,其中每个晶体管对中的两个CMOS晶体管在其间共享共同源极区。
图1e示出在阶梯结构下方的半导体衬底中,感测放大器连接到4个8位位线选择器,其中每个8位位线连接器连接到在3D存储器阵列中的NOR存储器串的4个层级中的对应一个中的位线。
在图1a到1e的方法的一个实施方案中,对于8层级3D存储器阵列,在每个阶梯结构下方的四个位线选择器(例如,位线选择器150)需要半导体衬底区域中的约35μm2,这基本上是在每个3D存储器阵列的占用面积下方的半导体衬底区域的28%。随着3D存储器阵列中的层级数目增加,用于位线选择器的所需半导体区域按比例增加。具有超过16个层级的3D存储器阵列的位线选择器所需的半导体区域将超过3D存储器阵列本身的占用面积。
根据本发明的一个实施例,可以通过使用在阶梯结构的侧面上方、下方或上形成的薄膜晶体管(“垂直TFT”)实施位线选择器而去除用于将位线连接到感测放大器的半导体衬底中的位线选择器。垂直TFT允许将连接位线所需的额外导电层(例如,图1a和1b的导电层101-1、101-2、101-3和101-4)减少到一个。垂直TFT如此命名,因为在一些优选的实施例中,其沟道电流沿着Z方向流动。重要的是应理解,垂直TFT中的“垂直”标示仅仅是此具体实施方式中的方便命名规则。如以下描述中将显而易见,垂直TFT中的沟道电流(尽管优选地用于最小化其占用面积)不一定沿着Z方向流动以执行其预期功能。
图2a说明分别在阶梯结构200上方、在阶梯结构200下方以及沿着阶梯结构200提供垂直TFT的方案201、202和203。如在图2a中所说明,根据方案201,在3D存储器阵列的NOR存储器串的相同层级处的位线通过导体填充的通孔(例如,图1a的导体填充的通孔105-0、105-2、105-4和105-6)连接到形成于阶梯结构200上方的对应垂直TFT(例如,分别连接层级1、3、5和7中的位线的垂直TFT 211-1、211-3、211-5和211-7)。当接通垂直TFT时,其将对应位线连接到单个导电层212中的对应导体。导电层212中的导体可以通过阶梯结构200中的其它导体(例如,导电支柱或局部字线)连接到半导体衬底中的支持电路***(例如,感测放大器214)。
根据方案202,在3D存储器阵列的NOR存储器串的相同层级处的位线通过导体填充的通孔(例如,图1a的通孔105-0、105-2、105-4和105-6)由可用导体连接到形成于阶梯结构200下方的垂直TFT(例如,分别连接层级1、3、5和7中的位线的垂直TFT217-1、217-3、217-5和217-7)中的对应一个。对于每个位线,此类可用导体可以包含第一全局字线(例如,图1a的全局字线103-4)和对应局部字线(例如,局部字线104-4)的对应短区段。当接通垂直TFT时,其将对应位线连接到单个导电层215中的对应导体。导电层215中的导体可以选择性地连接到半导体衬底中的支持电路***(例如,感测放大器214)。
根据方案203,在3D存储器阵列的NOR存储器串的相同层级处的位线通过导体填充的通孔(例如,图1a的导体填充的通孔105-0、105-2、105-4和105-6)由其它导体连接到沿着阶梯结构200形成的垂直TFT(例如,连接层级1、3、5和7中的位线的垂直TFT 218-1、218-3、218-5和218-7)中的对应一个。对于每个位线,此类其它导体可以包含第一全局字线(例如,图1a的全局字线103-4)的对应短区段以及对应的导体填充的通孔。当接通垂直TFT时,其将对应位线连接到单个导电层216中的对应导体,所述导体连接到半导体衬底中的支持电路***(例如,感测放大器214)。
图2b示出根据本发明的一个实施例的垂直TFT 330的截面。如图2b中所示,垂直TFT 330包含环形栅极电极233(例如,多晶硅栅极),所述环形栅极电极围绕通过环形栅极氧化物235与环形栅极电极分离的半导体结构。(如本文中所使用的术语“环形”不仅指圆环,而且指任何形状的任何封闭外层。)半导体结构包含N+掺杂漏极区231a和N-掺杂漏极区231b、沟道区236、N-掺杂源极区234b和N+掺杂区234a。沟道区236可以是例如P型主体区。当栅极电极233上的电压超过垂直TFT 330的阈值电压时,垂直TFT 330变成导电,从而提供N+掺杂漏极区231a与N+掺杂源极区234a之间的低电阻电流路径。
图2c示出根据本发明的一个实施例的垂直TFT 350的截面。如图2c中所示,垂直TFT 350包含环形栅极电极233(例如,多晶硅栅极),所述环形栅极电极围绕通过环形栅极氧化物235与环形栅极电极分离的半导体结构。类似于图2b的垂直TFT 330,垂直TFT 350的半导体结构包含N+掺杂漏极区231a和N-掺杂漏极区231b、N-掺杂源极区234b和N+掺杂区234a。然而,与图2b的TFT 330不同,垂直TFT 350的沟道或P型主体区236是环形的,从而围绕氧化物核心237。当栅极电极233上的电压超过垂直TFT 350的阈值电压时,垂直TFT 350变成导电,因此提供N+掺杂漏极区231a与N+掺杂源极区234a之间的低电阻电流路径。
图2b和2c未按比例绘制。在一个实施方案中,栅极氧化物235的厚度可以是20nm,栅极电极233的厚度可以是40nm,p型主体区236的沟道长度(即,沿着Z方向)可以是500nm。p型主体236的占用面积可以是150nm×100nm(分别在X方向和Y方向上),使得如使用占用面积的周边近似的沟道宽度也是500nm。在这些尺寸的情况下,当由在其阈值电压加上8伏特下的栅极到源极(VGS)以及在0.5伏特下的漏极到源极(VDS)驱动时,垂直TFT可以提供大致2.25μA的漏极到源极(IDS)电流容量。当向沟道区提供高质量晶体半导体时,例如当如下文所论述通过金属硅化物促进结晶时,可以在这些尺寸下实现显著更大的电流容量(例如,15μA)。
图3示出根据本发明的一个实施例的使用在阶梯结构320上方提供的垂直TFT(即,方案201)形成的位线选择器300。如图3中所示,位线选择器300示为提供用于在阶梯结构320的层级1、3、5和7处连接到位线的垂直TFT。为了有效地使用空间,如下所述,为位线的每一层级提供两组垂直TFT,其中一组垂直TFT提供用于连接到奇数位线,而另一组垂直TFT提供用于连接到偶数位线。例如,垂直TFT TR0连接到层级7的奇数位线302-1、302-3、302-5和302-7,而垂直TFT TR4连接到层级7的偶数位线302-0、302-2、302-4和302-6。同样,垂直TFTTR1、TR2和TR3分别连接到层级5、3和1的奇数位线,而垂直TFT TR5、TR6和TR7分别连接到层级5、3和1的偶数位线。此布置允许服务于相邻位线的垂直TFT的交错放置。
在一个实施方案中,每个位线具有50nm的宽度且相邻位线间隔开80nm,从而提供130nm位线间距。服务于相邻位线的感测放大器(例如,感测放大器301-0在层级1、3、5和7中的每一个处服务于相邻位线302-0和302-1)可以设置在位线间距的两倍(即,260nm)之间。在所述实施例中,提供所需驱动的垂直TFT可以具有150nm×100nm的占用面积(以及500nm的垂直沟道长度)。因此,在位线选择器300中,服务于每个层级的两组垂直TFT沿着Y方向并排放置,但是其中所述垂直TFT的触点相对于彼此以交错方式放置,以允许服务于相邻位线的垂直TFT适配在260nm(即,位线间距的两倍)内。具体来说,在一个实施例中,每个垂直TFT具有在X方向和Y方向两者上超过位线间距的占用面积。通过以交错方式放置相邻的垂直TFT TRO和TR4,两个相邻垂直TFT的组合占用面积适配在320nm(Y方向)×260nm(X方向)区域内。用于具有160μm的阵列长度(即,每个NOR存储器串沿着Y方向的长度)的8层级3D存储器阵列,阶梯结构320仅需要沿着Y方向延伸2μm以适应本发明的位线选择器300。
图4示出根据本发明的一个实施例的通过奇数位线的图3的阶梯320的Y-Z平面截面。仅出于说明的目的,图4示出通过由图2b的垂直TFT 330说明的类型的垂直TFT实施垂直TFT TR0和TR1。图4还示出通过由图2c的垂直TFT 350说明的类型的垂直TFT实施垂直TFTTR2和TR3。本文所公开的任何垂直TFT适合于实施图4中所示的垂直TFT TR0、TR1、TR2和TR3中的任一个。此外,为图4中的每个垂直TFT提供N+掺杂源极区234a上的氮化钛(TiN)薄层332,以提供良好电接触。另外,C49硅化钛(TiSi2)层331形成于邻近N+掺杂漏极区231a的每个垂直TFT上。如下文所解释,例如形成TiSi2层的退火步骤还引起任何相邻非晶半导体材料的结晶,因为TiSi2层提供导致相邻非晶半导体材料变为晶体的晶种层。相较于在不存在晶种层的情况下结晶的半导体层,因此形成的晶体半导体材料具有更少缺陷以及更高载流子迁移率。或者,半导体层可以使用金属硅化物(例如硅化镍)结晶。在此替代方法中,金属硅化物在金属硅化物层的波前后方留下具有更少缺陷和更高迁移率的结晶半导体。
图5示出在阶梯结构320中位线选择信号分别使用全局字线103-0、103-4、103-1和103-5与垂直TFT TR0、TR4、TR1和TR5的栅极端的连接,以及垂直TFT TR0、TR4、TR1和TR5的漏极端使用导电层212的连接。在图5中,示出在X-Y和Y-Z平面两者中的截面。如图5中所示,垂直TFT TR0和TR4的选择信号通过第一全局字线103-0和103-4连接到在阶梯320的层级7处的位线,并且垂直TFT TR1和TR5的选择信号通过第一全局字线103-1和103-5连接到在阶梯320的层级5处的位线。图5还示出第一全局字线通常用于3D存储器阵列的阵列部分中以连接到提供为导电支柱的局部字线。垂直TFT TR0、TR4、TR1和TR5的漏极端(例如,漏极端231a)(以及在其它层级中的垂直TR2、TR6、TR3和TR7的漏极端)由相同感测放大器服务并且连接到导电层212中的共同导体。因此,与图1a到1e中所说明的使用垂直TFT的需要3D存储器阵列中的层级数目一半的额外导电层的方法不同,图2到5的方法仅需要一个单个额外导电层。
本文中所描述的垂直TFT的一个显著优点是投影在底层半导体衬底的表面上的占用面积减小,因为电流在基本上垂直于半导体衬底的Z方向上流动。如本文中所使用,术语“占用面积”是指当自上而下查看时垂直TFT投影在半导体衬底上的区域。一般来说,由处于“导通”或导电状态的垂直TFT提供的电流与其沟道区中的电荷载流子的迁移率成比例。由于将垂直TFT与存储器单元操作集成在一起需要更高的“导通”电流,因此需要垂直TFT中的电荷载流子具有更高迁移率。
以下描述说明在本发明的范围内的垂直TFT的各种实施例(无论上文是否论述)以及形成此类垂直TFT的方法。本文在一个实施例中描述的过程步骤可以用于另一实施例中,即使在另一实施例的上下文中未明确地描述那些步骤。当本文参考两个或更多个指定步骤的方法时,除非本文中另外陈述或上下文需要,否则此类指定步骤可以任何顺序或同时实施。同样,此种方法还可以包含在指定步骤之前或之后,或在任何两个指定步骤之间执行的一个或多个其它步骤。
图6是根据本发明的一个实施例的在通过垂直TFT和存储器结构40(其包含阶梯结构)上方的互连导体制造互连之前若干垂直TFT(例如,垂直TFT 5)和阶梯结构40的等距视图。存储器结构40中的阶梯结构包含多个导体填充的通孔(例如,导体填充的通孔17),每个导体填充的通孔连接到在3D存储器阵列的每一层级处的位线(即,共同漏极区)。在图6中,沿着阶梯结构40示出垂直TFT(例如,上文相对于图2a的方案203论述)。如图6中所示,垂直TFT 5通过导电元件15电连接在底部,所述导电元件可以由与NOR存储器串的共同漏极区相同的材料(即,掺杂半导体层,其还可捆绑到金属层以减小电阻)形成,由此允许导电元件15在相同的掩蔽和蚀刻步骤期间与位线同时形成。如图6中所示,垂直TFT 5包含由栅极氧化层100和栅极电极层110同心地围绕的半导体支柱90。半导体支柱90包含用于垂直TFT 5的沟道区(未示出)和源极区(未示出)。导电元件15形成垂直TFT 5的漏极区。基本上垂直于半导体衬底的平面的半导体支柱90沿着垂直方向提供电流,这允许显著地减小半导体衬底上的区域。以下描述包含允许半导体支柱90在所得垂直TFT的沟道区中具有低晶体缺陷密度和高电荷载流子迁移率的过程步骤,由此提供改进的电流容量。
图7a到7l说明根据本发明的一个实施例用于形成垂直TFT的制造过程。如图7a中所示,导电互连件10初始地在半导体衬底上方以及基本上沿着平行于半导体衬底的方向形成。用于互连件10的合适材料包含钼、钴、钨、氮化钛、氮化钽、钽、钛中的任一个、前述内容的一个或多个组合,以及任何其它合适的导电材料。互连件10可以包含导体填充的通孔20,所述导体填充的通孔允许连接到阶梯结构(例如,图6的存储器结构40中的阶梯结构)中的位线。导体填充的通孔20中的导电材料可以与用于互连件10的导电材料相同。
可以使用本领域普通技术人员已知的任何合适方法(例如,减法形成)形成互连件10和导体填充的通孔20,其中导电材料通过光刻工艺沉积和限定。可以使用任何合适的方法,例如蒸发、溅镀、化学气相沉积(CVD)、原子层沉积(ALD),或电化学沉积,或任何其它合适的方法沉积导电材料。可以使用任何合适方法,例如湿酸或碱、腐蚀性气体(例如,氯、溴或氟),或任何合适的腐蚀剂实现在光刻工艺期间的蚀刻。或者,互连件10和导体填充的通孔20可以使用本领域普通技术人员也已知的镶嵌工艺形成。在镶嵌工艺中,沟槽形成于介电层(例如,氧化硅或氮化硅)中,合适的导电材料沉积到所述沟槽中。然后可以使用任何合适方法,例如用腐蚀性气体、湿酸或碱回蚀,或化疗机械抛光(CMP),优选地通过CMP选择性地去除在介电层上以及其它地方的过多导电材料。可以使用任何合适方法,例如各向异性刻蚀形成沟槽。
参考图7b-1,在形成互连件10和导体填充的通孔20之后,第一n型或p型半导体层30(例如,硅、锗或硅锗)使用任何合适的技术(例如,CVD、ALD或溅镀)沉积为非晶半导体层。由于第一半导体层30被指定为待形成的垂直TFT 5的源极或漏极区,因此第一半导体层30在下文称为“第一源极或漏极层30”。图7b-2从不同视角示出图7b-1的结构。如下所述,随后使第一源极或漏极层30结晶。在一个实施例中,第一源极或漏极层30可以与NOR存储器串的共同漏极区同时形成(即,由与共同漏极区相同的半导体材料层形成)。图7c示出由多个沉积的半导体和绝缘体层形成部分40B中的垂直TFT 5和3D存储器结构40A(包含存储器阵列和一个或多个楼梯两者)的中间步骤。例如,已在非临时申请中公开3D存储器结构,例如存储器结构40A的形成。在此中间步骤处,垂直TFT部分40B的处理与存储器结构部分40A的处理分开。使用合适的掩蔽和蚀刻步骤,去除垂直TFT部分40B的上层,从而在第一源极或漏极层30处停止。可以存在其它层,例如捆绑到第一源极或漏极层30的金属层46。图7b-1的结构可以由从图7c的垂直TFT部分40B去除上层而产生。
此后,如在图7d-1中的等距视图以及图7d-2中的截面中所示,在形成第一源极或漏极层30之后,模制介电层50(例如,氧化硅、氮化硅、氮氧化硅、碳化硅、碳化硅氧氢、氟掺杂、硼掺杂或磷掺杂氧化硅,或这些材料的任何组合)使用任何合适方法,例如CVD、ALD或旋涂沉积在第一源极或漏极层30上。
对模制介电层50进行掩蔽、图案化和蚀刻以形成暴露第一源极或漏极层30的通孔。此后,在通过任何合适过程,例如回蚀或CMP,最优选地CMP从模制介电层50的表面去除过多半导体材料之后,第二半导体层60(例如,硅、锗或硅锗)使用任何合适的技术(例如,CVD、ALD或溅镀)沉积在通孔中,如在图7e-1中的等距视图以及图7e-2中的截面中所示。由于第二半导体层60被指定为变成待形成的垂直TFT 5的沟道区,因此第二半导体层60在下文称为沟道层60。半导体层60优选地沉积为非晶半导体材料。
可以原位掺杂与第一源极或漏极层30相同或相反类型的沟道层60。然后可以使用非原位掺杂步骤(例如,气体扩散或离子注入)掺杂沟道层60的部分以产生第三半导体层70,如在图7f-1中的等距视图以及图7f-2中的截面图中所示。最优选地,提供与第一源或漏极层30相同掺杂类型的第三半导体层70。由于第三半导体层70被指定为待形成的垂直TFT5的另一源极或漏极区,因此第三半导体层70在下文称为第二源极或漏极层70。
在第一源极或漏极层30、沟道层60和第二源极或漏极层70包含非晶硅的情况下,当沉积时,薄钛(Ti)层72(例如,约10nm厚)随后沉积在第二源极或漏极层70上,其中保护层74(例如,氮化钛或任何其它合适的材料)沉积在或不沉积在钛层72的顶部上。在图7g-1中的等距视图以及图7g-2中的截面中示出具有保护层74的沉积的所得结构。优选地紧接在沉积钛层72之后沉积保护层74。然后,所得结构在适合于非晶硅的结晶的温度下(例如,在550℃与1000℃之间持续1秒到24小时)在惰性气氛(例如,氮气或氩气)中退火。或者,退火可以在550℃下执行24小时,在600℃下执行12小时,在750℃下执行5分钟,或在800℃下执行1分钟,或在任何合适温度下执行任何持续时间,从而将硅结晶成高质量的单晶或多晶硅。
在退火过程期间,钛层72与底层硅反应以形成硅化钛80,所述硅化钛提供适合于在第一源极或漏极层30、沟道层60和第二源极或漏极层70中形成低缺陷浓度晶体硅的晶体模板。参见图7h-1和7h-2。如此获得的这种低缺陷浓度晶体硅具有比已知具有高缺陷浓度的常规多晶半导体材料更高迁移率的载流子。
根据第二实施例,可以在第二源极或漏极层70上沉积薄镍层,而不是钛层72。在镍沉积之后,结构在低温(例如,在约350℃到约450℃之间)下退火。在退火期间,形成扩散通过第二源极或漏极层70、沟道层60和第一源极或漏极层30的硅化镍膜,由此引发这些半导体层中的非晶硅结晶为低缺陷密度形式。当硅化镍膜达到结构中的硅的外边界时,过程完成。
如在图7h-1中的等距视图以及图7h-2中的截面中所示,然后通过选择性湿式蚀刻(例如,过氧化氢和硫酸的组合)去除钛层72和保护层74。硅化钛膜80保持不变,但在一些实施例中,其可以通过其它方式去除。在一些实施例中,在制造序列中的稍后步骤处形成晶体半导体层。
此时,半导体支柱90(例如,硅化钛膜80、结晶沟道层60以及结晶的第一和第二源极或漏极层30和70的组合)基本上完成。如图7i中所示,然后通过蚀刻围绕半导体层90的模制介电层50产生基本上延伸半导体支柱90的长度的沟槽。接下来使用任何合适的技术(例如,CVD、ALD或热氧化)在半导体支柱90的暴露表面上以及在沟槽的底部共形地形成栅极氧化物100,如在图7j-1中等距地以及图7j-2中的截面中示出。栅极氧化物100可以是任何合适的材料,例如氧化硅、氮化硅、氧化铝、氧化铪、氧化铪硅、这些材料中的两个或更多个的任何组合,或任何其它合适的材料。
此后,栅极电极层110(例如,氮化钛、氮化钽、钨、这些中的两个或更多个的任何组合,或任何合适材料)沉积在栅极氧化物100上方以完全填充其余沟槽并且沉积在任何暴露区域上方。可以使用任何合适的技术,例如回蚀或CMP选择性地去除在沟槽外部的暴露区域中的任何栅极电极和栅极氧化物材料。在选择性地去除栅极电极层110和栅极氧化物100之后,暴露半导体支柱90的表面130以及栅极电极层110的表面120,如在图7k-1中的等距视图以及图7k-2中的截面中所示。
介电层首先沉积在暴露的栅极电极层110、栅极氧化物100和第二源极或漏极层70上。然后对介电层进行图案化和蚀刻以产生暴露栅极电极层110的表面120以及第二源极或漏极层70的表面130的通孔并且提供用于互连导体的沟槽。接下来,通孔和沟槽用导电材料填充,因此形成互连件150,所述互连件通过导体填充的通孔140电连接到栅极电极层110和第二源极或漏极层70,如在图7l中所示。此时,垂直TFT 135基本上完成。由于沟道层60在存在金属硅化物层的情况下结晶,因此沟道层60相对于常规的多晶半导体层具有低晶体缺陷浓度和更高载流子迁移率。沟道中的改进的载流子迁移率实现导电垂直TFT中的更高电流。
图8示出根据本发明的一个实施例的垂直TFT 850。与在X-Y平面中具有矩形截面的图71的垂直TFT 135不同,垂直TFT 850在X-Y平面中具有环形截面。垂直TFT 850可以用于实施图6的垂直TFT 5。插图830以分解的等距视图示出垂直TFT 850,以更清楚地示出第一源极或漏极层30、沟道层60、栅极氧化层100和栅极电极层110。用于圆形截面的垂直TFT850的制造步骤与用于截面形状为矩形的图7l的垂直TFT 5的那些制造步骤基本上相同。
图9a到9h说明根据本发明的一个实施例的可以根据其形成垂直TFT 850的另一过程。如在图9a中所示,通孔901形成于模制介电质50中,从而暴露第一源极或漏极层30的表面。接下来,如在图9b中的等距视图中所示,绝缘层36共形地沉积在通孔901中,从而完全覆盖通孔901内的第一源极或漏极层30的任何暴露部分。绝缘层36可以是任何合适的介电质(例如,约10nm的氧化硅)。此后,如在图9c中的等距视图中所示,栅极电极层110共形地沉积在绝缘层36上。接下来,如在图9d中的等距视图中所示,使用各向异性刻蚀去除栅极电极层110和绝缘层36的部分,从而暴露第一源极或漏极层30的表面102,由此在通孔901的侧壁上基本上留下栅极电极层110的初始厚度。
接下来,如在图9e中的等距视图中所示,栅极氧化层100共形地沉积在栅极电极层110上以及第一源极或漏极层30的表面102上。然后通过各向异性蚀刻去除栅极氧化层100的部分,从而暴露第一源极或漏极层30的表面102,如在图9f中所示。如在图9g中所示,沟道层60以非晶形式沉积到通孔901中,从而接触第一源极或漏极层30。然后通过CMP从顶表面去除沟道层60的部分。通过用与沟道层60中不同浓度或相对类型的掺杂剂掺杂沟道层60的顶部部分来形成第二源极或漏极层70,如图9g中所示。然后通过以上文所描述的方式首先将钛膜沉积在第二源极或漏极层70的表面71上并在超过550℃的温度下退火,形成催化沟道层60以及第一源极或漏极层30和第二源极或漏极层70的结晶的TiSi2晶种层,可以结晶第一源极或漏极层30、第二源极或漏极层70和沟道层60中的任何非晶半导体材料,由此在这些半导体层中产生最少晶体缺陷和高载流子迁移率。
然后可以通过如上文所描述的类似方式实现用于电连接到栅极电极层110和第二源极或漏极层70的互连件和其它操作。
图10示出根据本发明的一个实施例的垂直TFT 280。如图10中所示,垂直TFT 280包含(i)通过介电层210分离的重掺杂第一源极或漏极层200a和第二源极或漏极层200b,(ii)轻掺杂沟道层220,其与重掺杂源极或漏极层200a和200b两者接触,(iii)栅极氧化层230,其与沟道层220接触,以及(iv)栅极电极层240与栅极氧化层230接触。导体触点250将个别触点提供到源极或漏极层200a和200b以及栅极电极层240。在垂直TFT 280中,制造沟道层220,使得电荷载流子在与其上形成垂直TFT 280的半导体衬底基本上垂直的方向(即,Z方向)上行进。沟道层220可以初始地沉积为非晶半导体材料,并且导体触点250可以包含与重掺杂半导体层200a或200b,或两者接触的钛或镍层。钛或镍层催化沟道层220中的任何非晶硅变成具有最少晶体缺陷的晶体状态的结晶,由此在沟道层220中的电荷载流子中产生高迁移率。
图11a到11f说明根据本发明的一个实施例的可以形成图10的垂直TFT 280的过程。如图11a中所示,重掺杂第一源极或漏极层200a、介电层210以及重掺杂第二源极或漏极层200b连续地沉积为非晶半导体材料。然后对所得结构进行图案化和蚀刻以形成矩形线或条带,如图11a中所示。随后,沟道层220然后在图11a的结构上沉积为非晶半导体材料,之后将栅极氧化层230和栅极电极层240连续地沉积在条带上。然后使用光刻步骤对所得结构,即结构290进行图案化和蚀刻,使得沟道层220、栅极氧化层230和栅极电极层240形成围绕条带的线,如图11b中所示。
此后,参考图11c,介电层255沉积在结构290上以用于钝化。图11d中示出结构290通过半导体沟道层220、栅极氧化层230和栅极电极层240的截面。通孔260然后在介电层255进行蚀刻,以暴露栅极电极层240的表面270。(同时或替代地,可以将第二通孔(未示出)蚀刻成钝化层255,以暴露第二源极或漏极层200b的部分。)导体接触层250(例如,钛或镍)然后沉积到通孔260中以接触表面270。(同时或替代地,还沉积导体接触层以接触第二源极或漏极层200b的暴露部分。)在沉积钛或镍之后,将结构290退火,从而允许TiSi2或NiSi层形成并催化第一源极或漏极层200a和第二源极或漏极层200b,以及沟道层220中的任何相邻半导体材料结晶成具有最少晶体缺陷的结晶形式。如果需要,则可以制造任何额外的导体触点250以接触重掺杂第一源极或漏极层200a或第二源极或漏极层200b,如图10中所示。
图12a到12k说明根据本发明的实施例中的又另一个实施例的连接到存储器结构1210的NOR存储器串中的存储器单元的具有高迁移率的垂直TFT 1220。如上文所提及,与具有水平沟道的TFT相比,具有垂直沟道的TFT占据较少硅区域。就此而言,由TFT占据的硅区域是由TFT投影在平面衬底的表面上的所述区域。在图12a到12k中所说明的实施例中,可以仅适用两个掩蔽步骤形成垂直TFT 1220,因为垂直TFT 1220具有均自对准和自限性的临界尺寸。在较少掩蔽步骤的情况下,实现减小的制造成本以及更大的制造均匀性和一致性。在这种垂直TFT的沟道区中需要更高的电荷载流子迁移率,因为在导电(“接通”)状态中的垂直TFT的电流与迁移率成比例,并且因为在其结合存储器结构1210中的NOR存储器串的操作中,对于这种垂直TFT需要更高“接通”电流。
结合以下描述,以等距视图示出图12a到12c并且以剖视等距视图示出图12d到12k。如图12a中所示,在半导体衬底的平面表面上方提供包含NOR存储器串的阵列的存储器结构1210。半导体衬底可以包含连接到存储器结构1210的电路装置(未示出)。在图12a中,垂直TFT 1220提供于存储器结构1210中且通过导体填充的通孔1230连接到存储器结构1210的NOR存储器串中的位线。(每个位线是形成用于NOR存储器串的存储器晶体管的共同漏极端的重掺杂半导体材料的条带。)如图12a中所示,出于示例性目的,每个位线连接到通孔1230中的两个。实际上,任何合适数目的通孔可以连接到每个位线。而且,由于垂直TFT1220提供于存储器结构1210的阶梯部分中,因此垂直TFT 1220并不占据存储器结构1210尚未占据的任何硅区域。
在形成通孔1230之后,垂直TFT 1220的形成使用任何合适的方法,例如上文或在临时申请中公开的那些方法开始。此后,将导电半导体层1240沉积、图案化和蚀刻到彼此电隔离的个别区段中。导电半导体层1240可为n型或p型,并且可以包含使用任何合适的技术(例如,CVD、ALD或溅镀)沉积的硅、硅锗、锗、任何合适的半导体材料。如图12a中所示,导电半导体层1240的每个区段通过导体填充的通孔1230中的两个与存储器结构1210的NOR存储器串的位线电连接。
为了促进下文的详细描述,参考在图12b中示出的导电半导体层1240的单个区段描述垂直TFT 1220的形成。导电半导体层1240的此区段在下文称为“第一源极或漏极层1240”,因为其被指定为变成待形成的垂直TFT中的源极或漏极区。在形成图12b中的第一源极或漏极层1240中之后,介电层1250沉积在其上(图12c)。介电层1250可以包含任何合适的介电材料(例如,氧化硅、氮化硅、氮氧化硅、氧化铝、碳化硅、碳氧化硅、碳氢氧化硅),并且可以使用任何合适的技术(例如,CVD或PVD)沉积。然后对介电层1250进行图案化和蚀刻以分别提供两个空腔,即沟道空腔1260和栅极1270,以随后在其中形成用于待形成的两个垂直TFT的沟道区和栅极电极。栅极空腔1270的宽度相对于沟道空腔1260显著较窄。沟道空腔1260和栅极空腔1270各自将介电层1250的全长向下延伸到第一源极或漏极层1240。
参考以剖视等距视图示出的图12d,蚀刻终止层1280(例如,约10nm厚的氮化硅层)然后共形地沉积在沟道空腔1260和栅极空腔1270内侧以及介电层1250的顶部上。此后,如图12e中所示,牺牲层1290(例如,约30nm厚的氧化硅层)共形地沉积在蚀刻终止层1280上。蚀刻终止层1280和牺牲层1290两者可以使用任何合适的技术(例如,CVD或ALD)沉积。在图12e中,由于其较窄宽度,因此在沉积蚀刻终止层1280和牺牲层1290之后基本上填充栅极空腔1270,而开放轴杆保持在沟道空腔1260中。
此后,参考图12f,各向异性刻蚀(“穿通蚀刻”)去除蚀刻终止层1280和牺牲层1290的部分,以将第一源极或漏极层1240的表面1300暴露于沟道空腔1260。穿通蚀刻在沟道空腔1260和栅极空腔1270的侧壁上留下蚀刻终止层1280和牺牲层1290。
此后,参考图12g以及其插图,使用任何合适的技术(例如,CVD、ALD或溅镀)沉积沟道层1310(例如,合适的n型或p型非晶硅、硅锗、锗或另一半导体薄膜)以填充沟道空腔1260。沟道层1310在表面1300处与导电半导体层1240电接触。可以在沉积期间原位掺杂沟道层1310。可以使用回蚀操作或CMP从介电层1250的顶表面去除沟道层1310的部分。
此后,沟道层1310使用任何合适的技术(例如,如上文所论述,在存在硅化钛或硅化镍的情况下使用结晶过程)结晶以提供具有低晶体缺陷密度的半导体支柱,从而产生高电荷载流子迁移率并且实现大量电流。例如,沟道层1310可以在存在TiSi2的情况下结晶,所述TiSi2由退火期间薄钛层1320与沟道层1310的反应产生。任选地,保护层(未示出)可以沉积在沟道层1310上。保护层可以是氮化钛或任何其它合适的材料。此结构然后在惰性气氛(例如,氮气或氩气)中退火到合适的结晶温度(例如,在550℃与1000℃之间持续1秒到24小时;具体来说,在550℃持续24小时,在600℃持续12小时,在750℃持续5分钟,或在800℃持续1分钟,或根据任何合适的退火工作程序)。参考图12h,在退火期间,钛膜1320的部分与沟道层1310中的半导体反应以形成硅化钛层1330。在此过程中,在沟道层1310的结晶完成之前形成硅化钛层1330,从而提供适合于形成具有高载流子迁移率的低缺陷密度晶体半导体的晶体模板。
参考图12i,然后通过离子注入掺杂结晶沟道层110的部分以形成重掺杂第二源极或漏极层1345,之后进行退火步骤(如果需要)以激活注入的掺杂剂原子。退火还使第一源极或漏极层1240中的掺杂剂原子扩散到沟道层1310中,以形成轻掺杂漏极(LDD)区1340。LDD区1340的掺杂浓度小于第一源极或漏极层1240和第二源极或漏极层1345的掺杂浓度且大于沟道层1310的掺杂浓度。
参考图12j,然后通过选择性蚀刻(例如,氢氟酸,其去除氧化硅比氮化硅快得多)去除牺牲层1290。此后,参考图12k,在去除其牺牲层1290的部分的情况下,通过共形地沉积或增长栅极介电层1350(例如,氧化硅、氮化硅、氮氧化硅、氧化铝或氧化铪)部分地填充栅极空腔1270。栅极介电层1350的厚度可以在3nm与100nm之间,尤其在5nm与20nm之间。此后,使用任何合适的导电材料(例如,掺杂硅、掺杂硅锗、掺杂锗、钛、氮化钛、钨、氮化钨、碳化钨、碳化钨氮化物、钽或氮化钽,或其任何组合)通过栅极电极或导电层1360填充栅极空腔1270,如在图12k中所指示。可以通过回蚀或CMP去除在蚀刻终止层1280的顶表面上的栅极导电层1360的任何过多材料(通过去除牺牲层1290暴露)。如图12a中所示,现在可以分别与栅极导电层1360和沟道层1310两者接触。现在基本上完成图12a的垂直TFT 1220的制造。在每个垂直TFT中,第一漏极或源极层1240和LDD层1340一起充当漏极区(或替代地源极区),第二源极或漏极层1345充当源极区(或替代地漏极区),沟道层1310用作沟道区,栅极介电层1350用作栅极介电材料,并且栅极导电层1360用作栅极电极。
图13示出图12a到12k的垂直TFT,其中为了清楚起见去除一些介电层。
提供上文详细描述以说明本发明的特定实施例,且所述详细描述并不意欲为限制性的。本发明的范围内的大量修改和变化是可能的。在所附权利要求书中阐述本发明。
权利要求书(按照条约第19条的修改)
1.一种存储器电路,其包括:
半导体衬底,其具有平面表面,所述半导体衬底具有形成于其中的用于存储器操作的电路***;
存储器单元阵列(“存储器阵列”),其形成于所述平面表面上方;所述存储器阵列包含具有一个或多个导体的第一导电层,所述导体将电信号载送到所述存储器单元或从所述存储器单元载送电信号,所述第一导电层中的所述导体各自沿着基本上平行于所述平面表面的方向延伸;以及
选择电路,其包括一或多个晶体管,每一个晶体管在所述第一导电层中的所述导体中的对应一个导体上方、沿着所述对应一个导体或在所述对应一个导体下方,但在所述半导体衬底的所述平面表面上方形成,其中(i)每个晶体管包含第一漏极或源极区、第二漏极或源极区和栅极区,每一个由半导体材料或导电材料形成,所述第一漏极或源极区、所述第二漏极或源极区和所述栅极区中的至少一个具有形成于其上的金属硅化物层;并且(ii)每个晶体管选择性地将所述第一导电层中的所述对应导体连接到用于存储器操作的所述电路***。
2.根据权利要求1所述的存储器电路,其中所述金属硅化物层包括钛的硅化物或镍的硅化物。
3.根据权利要求1所述的存储器电路,其进一步包括在所述存储器阵列中的具有多个导体的第二导电层,其中所述晶体管中的每一个的所述第一漏极或源极区连接到所述第二导电层中的所述导体中的对应一个,并且所述晶体管的所述第二漏极或源极区连接到所述第一导电层中的所述导体中的所述对应一个。
4.根据权利要求1所述的存储器电路,其进一步包括一组互连线,其中每个晶体管的栅极端连接到所述互连线中的一个。
5.根据权利要求1所述的存储器电路,其中当电流存在于所述晶体管中的一个的沟道区中时,所述电流在基本上垂直于所述平面表面的方向上流动。
6.根据权利要求1所述的存储器电路,其中所述存储器单元被组织成NOR存储器串的多个层级,每个层级具有多个NOR存储器串,并且其中所述第一导电层中的导体形成所述NOR存储器串的共同位线。
7.根据权利要求6所述的存储器电路,其中所述第一导电层中的所述导体提供于从所述存储器阵列的一侧或两侧上延伸的阶梯结构中。
8.根据权利要求7所述的存储器电路,其中所述阶梯结构的每个台阶包含NOR存储器串的对应层级的所述共同位线,在所述阶梯的每个台阶处连接到所述共同位线的所述晶体管形成用于那些共同位线的位线选择器。
9.根据权利要求8所述的存储器电路,其中每个位线选择器的所述晶体管形成第一组和第二组,使得通过所述位线选择器选择的相邻位线由来自所述第一组的晶体管和来自所述第二组的晶体管服务,并且其中所述第一组中的所述晶体管的触点相对于所述第二组中的所述晶体管的触点以交错方式放置。
10.一种用于形成晶体管的方法,其包括:
在所述半导体衬底的平面表面上方提供第一导电类型和第一掺杂浓度的第一半导体层;
在所述第一半导体层上方提供模制介电层;
蚀刻所述模制介电层以提供基本上垂直于所述平面表面的沟槽,所述沟槽暴露所述第一半导体层的表面;
用以非晶形式提供的第二半导体层填充所述沟槽,所述第二半导体层具有与所述第一类型相反的第二类型或具有低于所述第一掺杂浓度的第二掺杂浓度;
转换所述第二导电层的部分以变成第三半导体层,所述第三半导体层具有所述第一类型或具有高于所述第二半导体层的第三掺杂浓度;以及
在所述第三半导体层上提供金属层;以及
将所述金属层退火以将所述金属层转换成金属硅化物。
11.根据权利要求10所述的方法,其中所述金属硅化物层包括钛的硅化物或镍的硅化物。
12.根据权利要求10所述的方法,其中所述金属层包括钛,并且其中所述退火在超过550℃下执行1秒到24小时。
13.根据权利要求10所述的方法,其中所述金属层包括钛,并且其中所述退火(a)在550℃到600℃下执行12到24小时;(b)在600℃到750℃下执行5分钟到12小时;(c)在750℃到800℃下执行1分钟到5分钟,或(d)在800℃到1000℃下执行1秒到1分钟。
14.根据权利要求10所述的方法,其中所述金属层包括镍,其中所述退火在约350℃到约450℃之间执行。
15.根据权利要求10所述的方法,其中使用掺杂剂的离子注入或气体扩散来转换所述第三半导体层。
16.根据权利要求10所述的方法,其进一步包括在所述金属层上方提供保护层。
17.根据权利要求16所述的方法,在提供所述保护层之后,其进一步包括:
在所述模制介电层中提供第二沟槽,所述第二沟槽基本上垂直于所述平面表面且暴露所述第二半导体层的部分;
在所述第二半导体层的所述暴露部分上方提供绝缘体;以及
提供导电材料以填充所述第二沟槽,所述导电材料通过所述绝缘体与所述第二半导体层绝缘。
18.一种用于形成晶体管的方法,其包括:
在所述半导体衬底的平面表面上方提供第一类型和第一掺杂浓度的第一半导体层;
在所述第一半导体层上方提供模制介电层;
在所述模制介电层中形成基本上垂直于具有介电层的所述平面表面的沟槽,所述介电层在所述第一半导体层的表面上方;
提供共形地覆盖所述沟槽的侧壁的导电材料;
去除所述介电层上方的任何导电材料;
在所述沟槽的所述侧壁上在所述导电材料上方提供栅极介电层;
暴露所述第一半导体层的所述表面;
用以非晶形式提供的第二半导体层填充所述沟槽,所述第二半导体层具有与所述第一类型相反的第二类型或具有低于所述第一掺杂浓度的第二掺杂浓度;
将所述第二半导体层的部分转换成第三半导体层,所述第三半导体层具有所述第一类型或具有高于所述第二掺杂浓度的第三掺杂浓度;
在所述第三半导体层上提供金属层;以及
将所述金属层退火以将所述金属层转换成金属硅化物。
19.根据权利要求18所述的方法,其中所述金属硅化物包括钛的硅化物或镍的硅化物。
20.根据权利要求18所述的方法,其中所述金属层包括钛,并且其中所述退火在超过550℃下执行1秒到24小时。
21.根据权利要求18所述的方法,其中所述金属层包括钛,并且其中所述退火(a)在550℃到600℃下执行12到24小时;(b)在600℃到750℃下执行5分钟到12小时;(c)在750℃到800℃下执行1分钟到5分钟,或(d)在800℃到1000℃下执行1秒到1分钟。
22.根据权利要求18所述的方法,其中所述金属层包括镍,其中所述退火在约350℃到约450℃之间执行。
23.根据权利要求18所述的方法,其中将所述第二半导体层的所述部分转换成所述第三半导体层包括气体扩散或离子注入。
24.根据权利要求18所述的方法,其进一步包括在所述金属层上方提供保护层。
25.一种用于形成晶体管的方法,其包括:
在半导体衬底的平面表面上方提供通过隔离层彼此隔离的第一半导体层和第三半导体层;
提供与所述第一半导体层和第二半导体层两者接触的第二半导体层,其中在所述第三半导体层上方提供所述第二半导体层的部分;
在所述第二半导体层上方提供栅极介电层;
在栅极介电层上方提供导电层;
提供钝化层以包围所述导电层、所述栅极介电层、所述第二半导体层、所述第三半导体层、所述隔离层和所述第一半导体层;
蚀刻所述钝化层以提供通孔,从而暴露所述第二半导体层的部分或所述第三半导体层的部分;
在第二半导体层的所述暴露部分或所述第三半导体层的所述暴露部分上提供金属层;以及
将所述金属层退火以将所述金属层转换成金属硅化物。
26.根据权利要求25所述的方法,其进一步包括蚀刻所述第二半导体层、所述第二绝缘体层和所述导电层,以围绕所述第三半导体层、所述第一绝缘体层和所述第一半导体层形成线结构。
27.根据权利要求25所述的方法,其中所述金属硅化物包括钛的硅化物或镍的硅化物。
28.根据权利要求25所述的方法,其中所述金属层包括钛,并且其中所述退火在超过550℃下执行1秒到24小时。
29.根据权利要求25所述的方法,其中所述金属层包括钛,并且其中所述退火(a)在550℃到600℃下执行12到24小时;(b)在600℃到750℃下执行5分钟到12小时;(c)在750℃到800℃下执行1分钟到5分钟,或(d)在800℃到1000℃下执行1秒到1分钟。
30.根据权利要求25所述的方法,其中所述金属层包括镍,其中所述退火在约350℃到约450℃之间执行。
31.根据权利要求25所述的方法,其进一步包括形成通过所述钝化层到所述金属硅化物、所述导电层和所述第一半导体层的触点。
32.一种用于形成晶体管的方法,其包括:
在半导体衬底的平面表面上方提供第一导电率的第一导电半导体层;
在所述第一半导体层上方提供介电材料;
在所述介电材料中产生向下到达所述第一半导体层的空腔的第一部分和第二部分,所述空腔的所述第二部分具有显著小于所述空腔的所述第一部分的对应宽度的宽度;
在所述空腔的侧壁上共形地提供蚀刻终止层;
在所述蚀刻终止层上方在所述第一空腔的所述侧壁上共形地提供牺牲层,向所述牺牲层提供一定厚度,使得基本上填满所述空腔的所述第二部分;
各向异性地蚀刻所述牺牲层和所述蚀刻终止层,以在所述空腔的所述第一部分的底部处暴露所述第一半导体层的部分;
提供非晶半导体材料以填充所述空腔的所述第一部分;
在所述非晶半导体材料上提供金属层;
将所述金属层退火成所述半导体材料的合金;
通过离子注入将结晶的半导体层的部分转换成第一导电类型的半导体材料的区;
从所述空腔的所述第一部分和所述第二部分去除所述牺牲层;
在所述空腔的所述第一部分和所述第二部分的所述侧壁上共形地提供栅极介电材料;以及
通过栅极导体层填充所述空腔的所述第一部分和所述第二部分。
33.根据权利要求32所述的方法,其中所述退火将所述非晶半导体材料转换成晶体半导体材料。
34.根据权利要求33所述的方法,其中所述退火导致所述第一半导体层中的掺杂剂原子扩散到所述晶体半导体材料中,以形成所述第一导电类型的轻掺杂半导体层。
35.根据权利要求32所述的方法,其中所述非晶半导体材料包括硅、硅锗、锗,以及其任何组合。
36.根据权利要求32所述的方法,其中所述蚀刻终止层包括氮化硅。
37.根据权利要求32所述的方法,其中所述牺牲材料包括氧化硅。
38.根据权利要求32所述的方法,其中所述金属层包括钛。
39.根据权利要求38所述的方法,其中退火在550℃与1000℃之间执行1秒到24小时。
40.根据权利要求39所述的方法,其中退火在550℃下执行24小时,在600℃下执行12小时,在750℃下执行5分钟,或在800℃下执行1分钟。
41.根据权利要求32所述的方法,其中所述金属层包括镍。
42.根据权利要求41所述的方法,其中所述退火在约350℃到约450℃之间执行。
43.根据权利要求32所述的方法,其进一步包括在所述金属层上方提供保护层。
44.根据权利要求43所述的方法,其中所述保护层包括所述金属层的氮化物。
45.根据权利要求32所述的方法,其中所述非晶半导体材料具有与所述第一导电类型相反的第二导电类型。
46.根据权利要求32所述的方法,其中所述栅极介电层包括氧化硅、氮化硅、氮氧化硅、氧化铝,或氧化铪,或其任何组合。
47.根据权利要求32所述的方法,其中所述栅极导体层包括掺杂硅、掺杂硅锗、掺杂锗、钛、氮化钛、钨、氮化钨、碳化钨、碳化钨氮化物、钽或氮化钽,或其任何组合。

Claims (47)

1.一种存储器电路,其包括:
半导体衬底,其具有平面表面,所述半导体衬底具有形成于其中的用于存储器操作的电路***;
存储器单元阵列(“存储器阵列”),其形成于所述平面表面上方;所述存储器阵列包含具有一个或多个导体的第一导电层,所述导体将电信号载送到所述存储器单元或从所述存储器单元载送电信号,所述第一导电层中的所述导体各自沿着基本上平行于所述平面表面的方向延伸;以及
一或多个晶体管,每一个晶体管在所述第一导电层中的所述导体中的对应一个导体上方、沿着所述对应一个导体或在所述对应一个导体下方,但在所述半导体衬底的所述平面表面上方形成,其中每个晶体管包含第一漏极或源极区、第二漏极或源极区和栅极区,每一个由半导体材料或导电材料形成,所述第一漏极或源极区、所述第二漏极或源极区和所述栅极区中的至少一个具有形成于其上的金属硅化物层;并且其中每个晶体管选择性地将所述第一导电层中的所述对应导体连接到用于存储器操作的所述电路***。
2.根据权利要求1所述的存储器电路,其中所述金属硅化物层包括钛的硅化物或镍的硅化物。
3.根据权利要求1所述的存储器电路,其进一步包括在所述存储器阵列中的具有多个导体的第二导电层,其中所述晶体管中的每一个的所述第一漏极或源极区连接到所述第二导电层中的所述导体中的对应一个,并且所述晶体管的所述第二漏极或源极区连接到所述第一导电层中的所述导体中的所述对应一个。
4.根据权利要求1所述的存储器电路,其进一步包括一组互连线,其中每个晶体管的栅极端连接到所述互连线中的一个。
5.根据权利要求1所述的存储器电路,其中当电流存在于所述晶体管中的一个的沟道区中时,所述电流在基本上垂直于所述平面表面的方向上流动。
6.根据权利要求1所述的存储器电路,其中所述存储器单元被组织成NOR存储器串的多个层级,每个层级具有多个NOR存储器串,并且其中所述第一导电层中的导体形成所述NOR存储器串的共同位线。
7.根据权利要求6所述的存储器电路,其中所述第一导电层中的所述导体提供于从所述存储器阵列的一侧或两侧上延伸的阶梯结构中。
8.根据权利要求7所述的存储器电路,其中所述阶梯结构的每个台阶包含NOR存储器串的对应层级的所述共同位线,在所述阶梯的每个台阶处连接到所述共同位线的所述晶体管形成用于那些共同位线的位线选择器。
9.根据权利要求8所述的存储器电路,其中每个位线选择器的所述晶体管形成第一组和第二组,使得通过所述位线选择器选择的相邻位线由来自所述第一组的晶体管和来自所述第二组的晶体管服务,并且其中所述第一组中的所述晶体管的触点相对于所述第二组中的所述晶体管的触点以交错方式放置。
10.一种用于形成晶体管的方法,其包括:
在所述半导体衬底的平面表面上方提供第一导电类型和第一掺杂浓度的第一半导体层;
在所述第一半导体层上方提供模制介电层;
蚀刻所述模制介电层以提供基本上垂直于所述平面表面的沟槽,所述沟槽暴露所述第一半导体层的表面;
用以非晶形式提供的第二半导体层填充所述沟槽,所述第二半导体层具有与所述第一类型相反的第二类型或具有低于所述第一掺杂浓度的第二掺杂浓度;
转换所述第二导电层的部分以变成第三半导体层,所述第三半导体层具有所述第一类型或具有高于所述第二半导体层的第三掺杂浓度;以及
在所述第三半导体层上提供金属层;以及
将所述金属层退火以将所述金属层转换成金属硅化物。
11.根据权利要求10所述的方法,其中所述金属硅化物层包括钛的硅化物或镍的硅化物。
12.根据权利要求10所述的方法,其中所述金属层包括钛,并且其中所述退火在超过550℃下执行1秒到24小时。
13.根据权利要求10所述的方法,其中所述金属层包括钛,并且其中所述退火(a)在550℃到600℃下执行12到24小时;(b)在600℃到750℃下执行5分钟到12小时;(c)在750℃到800℃下执行1分钟到5分钟,或(d)在800℃到1000℃下执行1秒到1分钟。
14.根据权利要求10所述的方法,其中所述金属层包括镍,其中所述退火在约350℃到约450℃之间执行。
15.根据权利要求10所述的方法,其中使用掺杂剂的离子注入或气体扩散来转换所述第三半导体层。
16.根据权利要求10所述的方法,其进一步包括在所述金属层上方提供保护层。
17.根据权利要求16所述的方法,在提供所述保护层之后,其进一步包括:
在所述模制介电层中提供第二沟槽,所述第二沟槽基本上垂直于所述平面表面且暴露所述第二半导体层的部分;
在所述第二半导体层的所述暴露部分上方提供绝缘体;以及
提供导电材料以填充所述第二沟槽,所述导电材料通过所述绝缘体与所述第二半导体层绝缘。
18.一种用于形成晶体管的方法,其包括:
在所述半导体衬底的平面表面上方提供第一类型和第一掺杂浓度的第一半导体层;
在所述第一半导体层上方提供模制介电层;
在所述模制介电层中形成基本上垂直于具有介电层的所述平面表面的沟槽,所述介电层在所述第一半导体层的表面上方;
提供共形地覆盖所述沟槽的侧壁的导电材料;
去除所述介电层上方的任何导电材料;
在所述沟槽的所述侧壁上在所述导电材料上方提供栅极介电层;
暴露所述第一半导体层的所述表面;
用以非晶形式提供的第二半导体层填充所述沟槽,所述第二半导体层具有与所述第一类型相反的第二类型或具有低于所述第一掺杂浓度的第二掺杂浓度;
将所述第二半导体层的部分转换成第三半导体层,所述第三半导体层具有所述第一类型或具有高于所述第二掺杂浓度的第三掺杂浓度;
在所述第三半导体层上提供金属层;以及
将所述金属层退火以将所述金属层转换成金属硅化物。
19.根据权利要求18所述的方法,其中所述金属硅化物包括钛的硅化物或镍的硅化物。
20.根据权利要求18所述的方法,其中所述金属层包括钛,并且其中所述退火在超过550℃下执行1秒到24小时。
21.根据权利要求18所述的方法,其中所述金属层包括钛,并且其中所述退火(a)在550℃到600℃下执行12到24小时;(b)在600℃到750℃下执行5分钟到12小时;(c)在750℃到800℃下执行1分钟到5分钟,或(d)在800℃到1000℃下执行1秒到1分钟。
22.根据权利要求18所述的方法,其中所述金属层包括镍,其中所述退火在约350℃到约450℃之间执行。
23.根据权利要求18所述的方法,其中将所述第二半导体层的所述部分转换成所述第三半导体层包括气体扩散或离子注入。
24.根据权利要求18所述的方法,其进一步包括在所述金属层上方提供保护层。
25.一种用于形成晶体管的方法,其包括:
在半导体衬底的平面表面上方提供通过隔离层彼此隔离的第一半导体层和第三半导体层;
提供与所述第一半导体层和第二半导体层两者接触的第二半导体层,其中在所述第三半导体层上方提供所述第二半导体层的部分;
在所述第二半导体层上方提供栅极介电层;
在栅极介电层上方提供导电层;
提供钝化层以包围所述导电层、所述栅极介电层、所述第二半导体层、所述第三半导体层、所述隔离层和所述第一半导体层;
蚀刻所述钝化层以提供通孔,从而暴露所述第二半导体层的部分或所述第三半导体层的部分;
在第二半导体层的所述暴露部分或所述第三半导体层的所述暴露部分上提供金属层;以及
将所述金属层退火以将所述金属层转换成金属硅化物。
26.根据权利要求25所述的方法,其进一步包括蚀刻所述第二半导体层、所述第二绝缘体层和所述导电层,以围绕所述第三半导体层、所述第一绝缘体层和所述第一半导体层形成线结构。
27.根据权利要求25所述的方法,其中所述金属硅化物包括钛的硅化物或镍的硅化物。
28.根据权利要求25所述的方法,其中所述金属层包括钛,并且其中所述退火在超过550℃下执行1秒到24小时。
29.根据权利要求25所述的方法,其中所述金属层包括钛,并且其中所述退火(a)在550℃到600℃下执行12到24小时;(b)在600℃到750℃下执行5分钟到12小时;(c)在750℃到800℃下执行1分钟到5分钟,或(d)在800℃到1000℃下执行1秒到1分钟。
30.根据权利要求25所述的方法,其中所述金属层包括镍,其中所述退火在约350℃到约450℃之间执行。
31.根据权利要求25所述的方法,其进一步包括形成通过所述钝化层到所述金属硅化物、所述导电层和所述第一半导体层的触点。
32.一种用于形成晶体管的方法,其包括:
在半导体衬底的平面表面上方提供第一导电率的第一导电半导体层;
在所述第一半导体层上方提供介电材料;
在所述介电材料中产生向下到达所述第一半导体层的空腔的第一部分和第二部分,所述空腔的所述第二部分具有显著小于所述空腔的所述第一部分的对应宽度的宽度;
在所述空腔的侧壁上共形地提供蚀刻终止层;
在所述蚀刻终止层上方在所述第一空腔的所述侧壁上共形地提供牺牲层,向所述牺牲层提供一定厚度,使得基本上填满所述空腔的所述第二部分;
各向异性地蚀刻所述牺牲层和所述蚀刻终止层,以在所述空腔的所述第一部分的底部处暴露所述第一半导体层的部分;
提供非晶半导体材料以填充所述空腔的所述第一部分;
在所述非晶半导体材料上提供金属层;
将所述金属层退火成所述半导体材料的合金;
通过离子注入将结晶的半导体层的部分转换成第一导电类型的半导体材料的区;
从所述空腔的所述第一部分和所述第二部分去除所述牺牲层;
在所述空腔的所述第一部分和所述第二部分的所述侧壁上共形地提供栅极介电材料;以及
通过栅极导体层填充所述空腔的所述第一部分和所述第二部分。
33.根据权利要求32所述的方法,其中所述退火将所述非晶半导体材料转换成晶体半导体材料。
34.根据权利要求33所述的方法,其中所述退火导致所述第一半导体层中的掺杂剂原子扩散到所述晶体半导体材料中,以形成所述第一导电类型的轻掺杂半导体层。
35.根据权利要求32所述的方法,其中所述非晶半导体材料包括硅、硅锗、锗,以及其任何组合。
36.根据权利要求32所述的方法,其中所述蚀刻终止层包括氮化硅。
37.根据权利要求32所述的方法,其中所述牺牲材料包括氧化硅。
38.根据权利要求32所述的方法,其中所述金属层包括钛。
39.根据权利要求38所述的方法,其中退火在550℃与1000℃之间执行1秒到24小时。
40.根据权利要求39所述的方法,其中退火在550℃下执行24小时,在600℃下执行12小时,在750℃下执行5分钟,或在800℃下执行1分钟。
41.根据权利要求32所述的方法,其中所述金属层包括镍。
42.根据权利要求41所述的方法,其中所述退火在约350℃到约450℃之间执行。
43.根据权利要求32所述的方法,其进一步包括在所述金属层上方提供保护层。
44.根据权利要求43所述的方法,其中所述保护层包括所述金属层的氮化物。
45.根据权利要求32所述的方法,其中所述非晶半导体材料具有与所述第一导电类型相反的第二导电类型。
46.根据权利要求32所述的方法,其中所述栅极介电层包括氧化硅、氮化硅、氮氧化硅、氧化铝,或氧化铪,或其任何组合。
47.根据权利要求32所述的方法,其中所述栅极导体层包括掺杂硅、掺杂硅锗、掺杂锗、钛、氮化钛、钨、氮化钨、碳化钨、碳化钨氮化物、钽或氮化钽,或其任何组合。
CN202080012920.9A 2019-02-11 2020-02-10 垂直薄膜晶体管以及作为用于三维存储器阵列的位线连接器的应用 Pending CN113424319A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962804080P 2019-02-11 2019-02-11
US62/804,080 2019-02-11
US201962947405P 2019-12-12 2019-12-12
US62/947,405 2019-12-12
PCT/US2020/017494 WO2020167658A1 (en) 2019-02-11 2020-02-10 Vertical thin-film transistor and application as bit-line connector for 3-dimensional memory arrays

Publications (1)

Publication Number Publication Date
CN113424319A true CN113424319A (zh) 2021-09-21

Family

ID=71945222

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080012920.9A Pending CN113424319A (zh) 2019-02-11 2020-02-10 垂直薄膜晶体管以及作为用于三维存储器阵列的位线连接器的应用

Country Status (5)

Country Link
US (3) US11398492B2 (zh)
EP (1) EP3925004A4 (zh)
JP (1) JP2022519537A (zh)
CN (1) CN113424319A (zh)
WO (1) WO2020167658A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023231071A1 (zh) * 2022-05-31 2023-12-07 长鑫存储技术有限公司 半导体结构及其制作方法
WO2023231070A1 (zh) * 2022-05-31 2023-12-07 长鑫存储技术有限公司 半导体结构及其制作方法
WO2024037118A1 (zh) * 2022-08-15 2024-02-22 长鑫科技集团股份有限公司 半导体结构的形成方法及半导体结构
WO2024098669A1 (zh) * 2022-11-07 2024-05-16 长鑫存储技术有限公司 半导体结构及其制作方法、存储器

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9892800B2 (en) 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US10121553B2 (en) 2015-09-30 2018-11-06 Sunrise Memory Corporation Capacitive-coupled non-volatile thin-film transistor NOR strings in three-dimensional arrays
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
US10608008B2 (en) 2017-06-20 2020-03-31 Sunrise Memory Corporation 3-dimensional nor strings with segmented shared source regions
CN111033625B (zh) * 2017-06-20 2024-02-06 日升存储公司 三维nor存储器阵列架构及其制造方法
US10692874B2 (en) 2017-06-20 2020-06-23 Sunrise Memory Corporation 3-dimensional NOR string arrays in segmented stacks
US10475812B2 (en) 2018-02-02 2019-11-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin-film transistor strings
US11751391B2 (en) 2018-07-12 2023-09-05 Sunrise Memory Corporation Methods for fabricating a 3-dimensional memory structure of nor memory strings
CN112567516A (zh) 2018-07-12 2021-03-26 日升存储公司 三维nor存储器阵列的制造方法
US11069696B2 (en) * 2018-07-12 2021-07-20 Sunrise Memory Corporation Device structure for a 3-dimensional NOR memory array and methods for improved erase operations applied thereto
TWI713195B (zh) 2018-09-24 2020-12-11 美商森恩萊斯記憶體公司 三維nor記憶電路製程中之晶圓接合及其形成之積體電路
EP3891780A4 (en) 2018-12-07 2022-12-21 Sunrise Memory Corporation METHODS OF FORMING NETWORKS OF MULTILAYER VERTICAL NOR TYPE MEMORY CHAINS
WO2020160169A1 (en) 2019-01-30 2020-08-06 Sunrise Memory Corporation Device with embedded high-bandwidth, high-capacity memory using wafer bonding
EP3925004A4 (en) 2019-02-11 2023-03-08 Sunrise Memory Corporation VERTICAL THIN FILM TRANSISTOR AND USE AS BITLINE CONNECTOR FOR THREE DIMENSIONAL MEMORY ARRANGEMENTS
US11610914B2 (en) * 2019-02-11 2023-03-21 Sunrise Memory Corporation Vertical thin-film transistor and application as bit-line connector for 3-dimensional memory arrays
WO2021127218A1 (en) 2019-12-19 2021-06-24 Sunrise Memory Corporation Process for preparing a channel region of a thin-film transistor
CN115413367A (zh) 2020-02-07 2022-11-29 日升存储公司 具有低有效延迟的高容量存储器电路
US11561911B2 (en) 2020-02-24 2023-01-24 Sunrise Memory Corporation Channel controller for shared memory access
US11507301B2 (en) 2020-02-24 2022-11-22 Sunrise Memory Corporation Memory module implementing memory centric architecture
WO2021207050A1 (en) 2020-04-08 2021-10-14 Sunrise Memory Corporation Charge-trapping layer with optimized number of charge-trapping sites for fast program and erase of a memory cell in a 3-dimensional nor memory string array
US11631698B2 (en) * 2020-05-28 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory device with ferroelectric material
US11430895B2 (en) * 2020-06-03 2022-08-30 Micron Technology, Inc. Transistors including oxide semiconductive materials, and related microelectronic devices, memory devices, electronic systems, and methods
WO2022047067A1 (en) * 2020-08-31 2022-03-03 Sunrise Memory Corporation Thin-film storage transistors in a 3-dimensional array or nor memory strings and process for fabricating the same
JP7491815B2 (ja) * 2020-11-12 2024-05-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US11842777B2 (en) 2020-11-17 2023-12-12 Sunrise Memory Corporation Methods for reducing disturb errors by refreshing data alongside programming or erase operations
US11476276B2 (en) 2020-11-24 2022-10-18 Macronix International Co., Ltd. Semiconductor device and method for fabricating the same
TWI753670B (zh) * 2020-11-24 2022-01-21 旺宏電子股份有限公司 半導體裝置
US11848056B2 (en) 2020-12-08 2023-12-19 Sunrise Memory Corporation Quasi-volatile memory with enhanced sense amplifier operation
JP2022146819A (ja) * 2021-03-22 2022-10-05 キオクシア株式会社 半導体記憶装置
US20220310655A1 (en) * 2021-03-29 2022-09-29 Sandisk Technologies Llc Memory device including a ferroelectric semiconductor channel and methods of forming the same
EP4371160A1 (en) 2021-07-13 2024-05-22 Zinite Corporation Thin film semiconductor switching device
TW202310429A (zh) 2021-07-16 2023-03-01 美商日升存儲公司 薄膜鐵電電晶體的三維記憶體串陣列
US11562785B1 (en) * 2021-08-30 2023-01-24 Micron Technology, Inc. Microelectronic devices, and related memory devices and electronic systems
EP4307368A1 (en) * 2022-05-31 2024-01-17 Changxin Memory Technologies, Inc. Semiconductor structure and manufacturing method therefor

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150380418A1 (en) * 2014-06-27 2015-12-31 SanDisk Technologies, Inc. Three dimensional nand device with channel contacting conductive source line and method of making thereof
US20170077230A1 (en) * 2015-09-15 2017-03-16 Kabushiki Kaisha Toshiba Semiconductor device
US20180061851A1 (en) * 2016-09-01 2018-03-01 Asm Ip Holding B.V. 3d stacked multilayer semiconductor memory using doped select transistor channel
US20180151497A1 (en) * 2016-11-28 2018-05-31 Sandisk Technologies Llc Three-dimensional array device having a metal containing barrier and method of making thereof
CN108701475A (zh) * 2015-11-25 2018-10-23 日升存储公司 三维垂直nor闪速薄膜晶体管串

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4213139A (en) 1978-05-18 1980-07-15 Texas Instruments Incorporated Double level polysilicon series transistor cell
US4984153A (en) 1988-04-27 1991-01-08 Unisys Corporation Storage locking control for a plurality of processors which share a common storage unit
JP2531802B2 (ja) 1989-09-28 1996-09-04 甲府日本電気株式会社 リクエストバッファ制御システム
US5583808A (en) 1994-09-16 1996-12-10 National Semiconductor Corporation EPROM array segmented for high performance and method for controlling same
US5646886A (en) 1995-05-24 1997-07-08 National Semiconductor Corporation Flash memory having segmented array for improved operation
JPH098290A (ja) 1995-06-20 1997-01-10 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
US5768192A (en) 1996-07-23 1998-06-16 Saifun Semiconductors, Ltd. Non-volatile semiconductor memory cell utilizing asymmetrical charge trapping
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6057862A (en) 1997-07-01 2000-05-02 Memtrax Llc Computer system having a common display memory and main memory
KR100242723B1 (ko) 1997-08-12 2000-02-01 윤종용 불휘발성 반도체 메모리 장치의 셀 어레이 구조 및 그 제조방법
US6040605A (en) 1998-01-28 2000-03-21 Hitachi, Ltd. Semiconductor memory device
US6107133A (en) 1998-05-28 2000-08-22 International Business Machines Corporation Method for making a five square vertical DRAM cell
JP2000200842A (ja) 1998-11-04 2000-07-18 Sony Corp 不揮発性半導体記憶装置、製造方法および書き込み方法
US6118171A (en) 1998-12-21 2000-09-12 Motorola, Inc. Semiconductor device having a pedestal structure and method of making
JP2000285016A (ja) 1999-03-30 2000-10-13 Sanyo Electric Co Ltd メモリ制御回路
JP4899241B2 (ja) 1999-12-06 2012-03-21 ソニー株式会社 不揮発性半導体記憶装置およびその動作方法
JP2001357682A (ja) 2000-06-12 2001-12-26 Sony Corp メモリシステムおよびそのプログラム方法
AU2001286432A1 (en) * 2000-08-14 2002-02-25 Matrix Semiconductor, Inc. Dense arrays and charge storage devices, and methods for making same
US6580124B1 (en) 2000-08-14 2003-06-17 Matrix Semiconductor Inc. Multigate semiconductor device with vertical channel current and method of fabrication
US6621725B2 (en) 2000-08-17 2003-09-16 Kabushiki Kaisha Toshiba Semiconductor memory device with floating storage bulk region and method of manufacturing the same
US20020193484A1 (en) 2001-02-02 2002-12-19 The 54 Group, Ltd. Polymeric resins impregnated with insect repellants
US6531727B2 (en) 2001-02-09 2003-03-11 Micron Technology, Inc. Open bit line DRAM with ultra thin body transistors
US6744094B2 (en) 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
US6873004B1 (en) 2002-02-04 2005-03-29 Nexflash Technologies, Inc. Virtual ground single transistor memory cell, memory array incorporating same, and method of operation thereof
US7064018B2 (en) 2002-07-08 2006-06-20 Viciciv Technology Methods for fabricating three dimensional integrated circuits
US6774458B2 (en) 2002-07-23 2004-08-10 Hewlett Packard Development Company, L.P. Vertical interconnection structure and methods
US7005350B2 (en) 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
KR100881201B1 (ko) 2003-01-09 2009-02-05 삼성전자주식회사 사이드 게이트를 구비하는 소노스 메모리 소자 및 그제조방법
US7307308B2 (en) 2003-04-07 2007-12-11 Silicon Storage Technology, Inc. Buried bit line non-volatile floating gate memory cell with independent controllable control gate in a trench, and array thereof, and method of formation
JP4108537B2 (ja) 2003-05-28 2008-06-25 富士雄 舛岡 半導体装置
KR100546331B1 (ko) 2003-06-03 2006-01-26 삼성전자주식회사 스택 뱅크들 마다 독립적으로 동작하는 멀티 포트 메모리장치
US20040262772A1 (en) 2003-06-30 2004-12-30 Shriram Ramanathan Methods for bonding wafers using a metal interlayer
JP4545423B2 (ja) 2003-12-09 2010-09-15 ルネサスエレクトロニクス株式会社 半導体装置
US7378702B2 (en) 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
JP4407431B2 (ja) 2004-08-30 2010-02-03 株式会社日立製作所 計算機システム及び計算機システムの帯域制御方法
US7366826B2 (en) 2004-12-16 2008-04-29 Sandisk Corporation Non-volatile memory and method with multi-stream update tracking
US7412560B2 (en) 2004-12-16 2008-08-12 Sandisk Corporation Non-volatile memory and method with multi-stream updating
US7493441B2 (en) 2005-03-15 2009-02-17 Dot Hill Systems Corporation Mass storage controller with apparatus and method for extending battery backup time by selectively providing battery power to volatile memory banks not storing critical data
US8314024B2 (en) 2008-12-19 2012-11-20 Unity Semiconductor Corporation Device fabrication
KR100673105B1 (ko) 2005-03-31 2007-01-22 주식회사 하이닉스반도체 반도체 소자의 수직형 트랜지스터 및 그의 형성 방법
US7612411B2 (en) 2005-08-03 2009-11-03 Walker Andrew J Dual-gate device and method
US20070192518A1 (en) 2006-02-14 2007-08-16 Aarohi Communications, Inc., A California Corporation Apparatus for performing I/O sharing & virtualization
JP5016832B2 (ja) 2006-03-27 2012-09-05 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US7457902B2 (en) 2006-07-21 2008-11-25 Emulex Design & Manufacturing Corporation Lock and release mechanism for out-of-order frame prevention and support of native command queueing in FC-SATA
JP2008078404A (ja) 2006-09-21 2008-04-03 Toshiba Corp 半導体メモリ及びその製造方法
JP2008251138A (ja) 2007-03-30 2008-10-16 Toshiba Corp 不揮発性半導体メモリ、不揮発性半導体メモリの制御方法、不揮発性半導体メモリシステム、及びメモリカード
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
JP5130596B2 (ja) 2007-05-30 2013-01-30 国立大学法人東北大学 半導体装置
US20090157946A1 (en) 2007-12-12 2009-06-18 Siamak Arya Memory having improved read capability
US7898857B2 (en) 2008-03-20 2011-03-01 Micron Technology, Inc. Memory structure having volatile and non-volatile memory portions
JP5354944B2 (ja) 2008-03-27 2013-11-27 株式会社東芝 半導体装置および電界効果トランジスタ
US8072811B2 (en) 2008-05-07 2011-12-06 Aplus Flash Technology, Inc, NAND based NMOS NOR flash memory cell, a NAND based NMOS NOR flash memory array, and a method of forming a NAND based NMOS NOR flash memory array
WO2009154799A1 (en) 2008-06-20 2009-12-23 Aplus Flash Technology, Inc. An apparatus and method for inhibiting excess leakage current in unselected nonvolatile memory cells in an array
JP2010118580A (ja) 2008-11-14 2010-05-27 Toshiba Corp 不揮発性半導体記憶装置
US8148763B2 (en) 2008-11-25 2012-04-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor devices
US8645617B2 (en) 2008-12-09 2014-02-04 Rambus Inc. Memory device for concurrent and pipelined memory operations
JP4956598B2 (ja) * 2009-02-27 2012-06-20 シャープ株式会社 不揮発性半導体記憶装置及びその製造方法
US8178396B2 (en) 2009-03-11 2012-05-15 Micron Technology, Inc. Methods for forming three-dimensional memory devices, and related structures
US8284601B2 (en) * 2009-04-01 2012-10-09 Samsung Electronics Co., Ltd. Semiconductor memory device comprising three-dimensional memory cell array
US8139418B2 (en) 2009-04-27 2012-03-20 Micron Technology, Inc. Techniques for controlling a direct injection semiconductor memory device
KR101635504B1 (ko) 2009-06-19 2016-07-04 삼성전자주식회사 3차원 수직 채널 구조를 갖는 불 휘발성 메모리 장치의 프로그램 방법
JP2011003833A (ja) 2009-06-22 2011-01-06 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2011028540A (ja) 2009-07-27 2011-02-10 Renesas Electronics Corp 情報処理システム、キャッシュメモリの制御方法、プログラム及びコンパイラ
KR20110018753A (ko) 2009-08-18 2011-02-24 삼성전자주식회사 불휘발성 메모리 장치, 그것의 프로그램 방법, 그리고 그것을 포함하는 메모리 시스템
JP5031809B2 (ja) 2009-11-13 2012-09-26 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
EP2333830B1 (en) 2009-12-07 2014-09-03 STMicroelectronics (Research & Development) Limited a package comprising a first and a second die coupled by a multiplexed bus
JP2011142276A (ja) 2010-01-08 2011-07-21 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP2010108522A (ja) 2010-02-02 2010-05-13 Toshiba Corp メモリシステムの制御方法
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
KR101660432B1 (ko) 2010-06-07 2016-09-27 삼성전자 주식회사 수직 구조의 반도체 메모리 소자
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8630114B2 (en) 2011-01-19 2014-01-14 Macronix International Co., Ltd. Memory architecture of 3D NOR array
KR20120085591A (ko) 2011-01-24 2012-08-01 김진선 3차원 비휘발성 메모리 소자, 그 동작 방법 및 그 제조 방법
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
JP2012204684A (ja) 2011-03-25 2012-10-22 Toshiba Corp 不揮発性半導体記憶装置
US9559216B2 (en) 2011-06-06 2017-01-31 Micron Technology, Inc. Semiconductor memory device and method for biasing same
US8527695B2 (en) 2011-07-29 2013-09-03 The Boeing Company System for updating an associative memory
US8878278B2 (en) 2012-03-21 2014-11-04 Sandisk Technologies Inc. Compact three dimensional vertical NAND and method of making thereof
JP2013214552A (ja) 2012-03-30 2013-10-17 Toshiba Corp 半導体装置とその製造方法
US9645177B2 (en) 2012-05-04 2017-05-09 Seagate Technology Llc Retention-drift-history-based non-volatile memory read threshold optimization
US9054183B2 (en) 2012-07-13 2015-06-09 United Silicon Carbide, Inc. Trenched and implanted accumulation mode metal-oxide-semiconductor field-effect transistor
US9697147B2 (en) 2012-08-06 2017-07-04 Advanced Micro Devices, Inc. Stacked memory device with metadata management
US8922243B2 (en) 2012-12-23 2014-12-30 Advanced Micro Devices, Inc. Die-stacked memory device with reconfigurable logic
KR101975534B1 (ko) 2012-09-11 2019-05-07 삼성전자주식회사 연산기능을 갖는 반도체 메모리 장치
JP2014093319A (ja) 2012-10-31 2014-05-19 Toshiba Corp 半導体装置およびその製造方法
WO2014087441A1 (en) 2012-12-03 2014-06-12 Hitachi, Ltd. Computer system and method of controlling computer system
US10403766B2 (en) 2012-12-04 2019-09-03 Conversant Intellectual Property Management Inc. NAND flash memory with vertical cell stack structure and method for manufacturing same
US8878271B2 (en) 2013-03-01 2014-11-04 Micron Technology, Inc. Vertical access device and apparatuses having a body connection line, and related method of operating the same
WO2014138124A1 (en) 2013-03-04 2014-09-12 Sandisk 3D Llc Vertical bit line non-volatile memory systems and methods of fabrication
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US9368625B2 (en) 2013-05-01 2016-06-14 Zeno Semiconductor, Inc. NAND string utilizing floating body memory cell
US9281044B2 (en) 2013-05-17 2016-03-08 Micron Technology, Inc. Apparatuses having a ferroelectric field-effect transistor memory array and related method
US9337210B2 (en) 2013-08-12 2016-05-10 Micron Technology, Inc. Vertical ferroelectric field effect transistor constructions, constructions comprising a pair of vertical ferroelectric field effect transistors, vertical strings of ferroelectric field effect transistors, and vertical strings of laterally opposing pairs of vertical ferroelectric field effect transistors
US9368214B2 (en) 2013-10-03 2016-06-14 Apple Inc. Programmable peak-current control in non-volatile memory devices
JP6431536B2 (ja) 2013-10-21 2018-11-28 マーベル インターナショナル リミテッド 最終レベルキャッシュシステム及び対応する方法
KR102128469B1 (ko) 2013-11-08 2020-06-30 삼성전자주식회사 반도체 장치
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
KR102066743B1 (ko) 2014-01-09 2020-01-15 삼성전자주식회사 비휘발성 메모리 장치 및 그 형성방법
US10169948B2 (en) 2014-01-31 2019-01-01 International Business Machines Corporation Prioritizing storage operation requests utilizing data attributes
KR102183713B1 (ko) 2014-02-13 2020-11-26 삼성전자주식회사 3차원 반도체 장치의 계단형 연결 구조 및 이를 형성하는 방법
US9368601B2 (en) 2014-02-28 2016-06-14 Sandisk Technologies Inc. Method for forming oxide below control gate in vertical channel thin film transistor
US20150372099A1 (en) 2014-06-19 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact silicide formation using a spike annealing process
US20160013156A1 (en) 2014-07-14 2016-01-14 Apple Inc. Package-on-package options with multiple layer 3-d stacking
JP6290022B2 (ja) 2014-07-17 2018-03-07 東芝メモリ株式会社 半導体装置の製造方法
KR102140788B1 (ko) 2014-07-18 2020-08-03 삼성전자주식회사 저항성 메모리 장치, 저항성 메모리 시스템 및 저항성 메모리 장치의 동작방법
US10014317B2 (en) 2014-09-23 2018-07-03 Haibing Peng Three-dimensional non-volatile NOR-type flash memory
US9230985B1 (en) 2014-10-15 2016-01-05 Sandisk 3D Llc Vertical TFT with tunnel barrier
US9595566B2 (en) 2015-02-25 2017-03-14 Sandisk Technologies Llc Floating staircase word lines and process in a 3D non-volatile memory having vertical bit lines
US10007573B2 (en) 2015-04-27 2018-06-26 Invensas Corporation Preferred state encoding in non-volatile memories
KR20160128127A (ko) 2015-04-28 2016-11-07 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR102298661B1 (ko) 2015-04-30 2021-09-07 삼성전자주식회사 저장 장치 및 그것의 초기화 방법
US9620605B2 (en) 2015-05-15 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method
US10254968B1 (en) 2015-06-10 2019-04-09 Firquest Llc Hybrid memory device for lookup operations
DE112016004265T5 (de) * 2015-09-21 2018-06-07 Monolithic 3D Inc. 3d halbleitervorrichtung und -struktur
US9412752B1 (en) 2015-09-22 2016-08-09 Macronix International Co., Ltd. Reference line and bit line structure for 3D memory
US10121553B2 (en) 2015-09-30 2018-11-06 Sunrise Memory Corporation Capacitive-coupled non-volatile thin-film transistor NOR strings in three-dimensional arrays
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US9892800B2 (en) * 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
US9831266B2 (en) 2015-11-20 2017-11-28 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
WO2017111790A1 (en) 2015-12-23 2017-06-29 Manusharow Mathew J Improving size and efficiency of dies
US10535566B2 (en) * 2016-04-28 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9985046B2 (en) 2016-06-13 2018-05-29 Sandisk Technologies Llc Method of forming a staircase in a semiconductor device using a linear alignment control feature
US10417098B2 (en) 2016-06-28 2019-09-17 International Business Machines Corporation File level access to block level incremental backups of a virtual disk
US9995785B2 (en) 2016-09-30 2018-06-12 Intel Corporation Stacked semiconductor package and method for performing bare die testing on a functional die in a stacked semiconductor package
JP2018152419A (ja) 2017-03-10 2018-09-27 東芝メモリ株式会社 半導体記憶装置
CN111033625B (zh) 2017-06-20 2024-02-06 日升存储公司 三维nor存储器阵列架构及其制造方法
US10608008B2 (en) 2017-06-20 2020-03-31 Sunrise Memory Corporation 3-dimensional nor strings with segmented shared source regions
US10692874B2 (en) 2017-06-20 2020-06-23 Sunrise Memory Corporation 3-dimensional NOR string arrays in segmented stacks
US10460817B2 (en) 2017-07-13 2019-10-29 Qualcomm Incorporated Multiple (multi-) level cell (MLC) non-volatile (NV) memory (NVM) matrix circuits for performing matrix computations with multi-bit input vectors
US10431596B2 (en) * 2017-08-28 2019-10-01 Sunrise Memory Corporation Staggered word line architecture for reduced disturb in 3-dimensional NOR memory arrays
KR102332456B1 (ko) 2017-08-31 2021-12-02 마이크론 테크놀로지, 인크 두 개의 트랜지스터들과 하나의 캐패시터를 갖는 메모리 셀을 가지며, 기준 전압과 결합된 트랜지스터들의 바디 영역들을 갖는 장치
US10896916B2 (en) 2017-11-17 2021-01-19 Sunrise Memory Corporation Reverse memory cell
KR20220145927A (ko) 2017-12-28 2022-10-31 선라이즈 메모리 코포레이션 초미세 피치를 갖는 3차원 nor 메모리 어레이: 장치 및 방법
US10283493B1 (en) 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
US10475812B2 (en) 2018-02-02 2019-11-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin-film transistor strings
US10381378B1 (en) 2018-02-02 2019-08-13 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin-film transistor strings
KR102512754B1 (ko) 2018-03-30 2023-03-23 삼성전자주식회사 관통 전극을 통해 전송되는 제어 신호를 이용하여 데이터를 샘플링하는 메모리 장치
US10748931B2 (en) 2018-05-08 2020-08-18 Micron Technology, Inc. Integrated assemblies having ferroelectric transistors with body regions coupled to carrier reservoirs
US10319696B1 (en) 2018-05-10 2019-06-11 Micron Technology, Inc. Methods for fabricating 3D semiconductor device packages, resulting packages and systems incorporating such packages
US10515907B2 (en) 2018-05-17 2019-12-24 Sandisk Technologies Llc Three-dimensional memory device containing hydrogen diffusion blocking structures and method of making the same
KR102641739B1 (ko) 2018-06-22 2024-02-29 삼성전자주식회사 3차원 반도체 메모리 장치
US11069696B2 (en) 2018-07-12 2021-07-20 Sunrise Memory Corporation Device structure for a 3-dimensional NOR memory array and methods for improved erase operations applied thereto
US10692837B1 (en) 2018-07-20 2020-06-23 Xilinx, Inc. Chip package assembly with modular core dice
TWI757635B (zh) 2018-09-20 2022-03-11 美商森恩萊斯記憶體公司 記憶體結構及其用於電性連接三維記憶裝置之多水平導電層之階梯結構的製作方法
TWI713195B (zh) 2018-09-24 2020-12-11 美商森恩萊斯記憶體公司 三維nor記憶電路製程中之晶圓接合及其形成之積體電路
WO2020117978A1 (en) 2018-12-04 2020-06-11 Sunrise Memory Corporation Methods for forming multilayer horizontal nor-type thin-film memory strings
US11086733B2 (en) 2018-12-19 2021-08-10 Micron Technology, Inc. Reporting control information errors
WO2020160169A1 (en) 2019-01-30 2020-08-06 Sunrise Memory Corporation Device with embedded high-bandwidth, high-capacity memory using wafer bonding
EP3925004A4 (en) 2019-02-11 2023-03-08 Sunrise Memory Corporation VERTICAL THIN FILM TRANSISTOR AND USE AS BITLINE CONNECTOR FOR THREE DIMENSIONAL MEMORY ARRANGEMENTS
CN115413367A (zh) 2020-02-07 2022-11-29 日升存储公司 具有低有效延迟的高容量存储器电路
CN115362436A (zh) 2020-02-07 2022-11-18 日升存储公司 准易失性***级存储器
US11508693B2 (en) 2020-02-24 2022-11-22 Sunrise Memory Corporation High capacity memory module including wafer-section memory circuit

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150380418A1 (en) * 2014-06-27 2015-12-31 SanDisk Technologies, Inc. Three dimensional nand device with channel contacting conductive source line and method of making thereof
US20170077230A1 (en) * 2015-09-15 2017-03-16 Kabushiki Kaisha Toshiba Semiconductor device
CN108701475A (zh) * 2015-11-25 2018-10-23 日升存储公司 三维垂直nor闪速薄膜晶体管串
US20180061851A1 (en) * 2016-09-01 2018-03-01 Asm Ip Holding B.V. 3d stacked multilayer semiconductor memory using doped select transistor channel
US20180151497A1 (en) * 2016-11-28 2018-05-31 Sandisk Technologies Llc Three-dimensional array device having a metal containing barrier and method of making thereof

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023231071A1 (zh) * 2022-05-31 2023-12-07 长鑫存储技术有限公司 半导体结构及其制作方法
WO2023231070A1 (zh) * 2022-05-31 2023-12-07 长鑫存储技术有限公司 半导体结构及其制作方法
WO2024037118A1 (zh) * 2022-08-15 2024-02-22 长鑫科技集团股份有限公司 半导体结构的形成方法及半导体结构
WO2024098669A1 (zh) * 2022-11-07 2024-05-16 长鑫存储技术有限公司 半导体结构及其制作方法、存储器

Also Published As

Publication number Publication date
US11910612B2 (en) 2024-02-20
US20220293623A1 (en) 2022-09-15
WO2020167658A1 (en) 2020-08-20
US20240179919A1 (en) 2024-05-30
US11398492B2 (en) 2022-07-26
EP3925004A4 (en) 2023-03-08
EP3925004A1 (en) 2021-12-22
WO2020167658A4 (en) 2020-11-05
US20200258897A1 (en) 2020-08-13
JP2022519537A (ja) 2022-03-24

Similar Documents

Publication Publication Date Title
CN113424319A (zh) 垂直薄膜晶体管以及作为用于三维存储器阵列的位线连接器的应用
US20240008276A1 (en) Nonvolatile semiconductor memory device and method for manufacturing same
US20200098779A1 (en) Staircase Structures for Electrically Connecting Multiple Horizontal Conductive Layers of a 3-Dimensional Memory Device
US9748267B2 (en) Three dimensional NAND device with channel contacting conductive source line and method of making thereof
US10128257B2 (en) Select transistors with tight threshold voltage in 3D memory
US9887207B2 (en) Three dimensional NAND device having dummy memory holes and method of making thereof
US9419135B2 (en) Three dimensional NAND device having reduced wafer bowing and method of making thereof
US20170243921A1 (en) Methods of forming vertical field-effect transistor with selfaligned contacts for memory devices with planar periphery/array and intermediate structures formed thereby
US20070126033A1 (en) Dual-gate device and method
TW201234537A (en) Nonvolatile semiconductor memory
US9793283B1 (en) High conductivity channel for 3D memory
US9779948B1 (en) Method of fabricating 3D NAND
US20080099814A1 (en) Integrated circuit and method for production
CN111801802B (zh) 三维存储器件
US11605729B2 (en) Method of making nanosheet local capacitors and nvm devices
US7678654B2 (en) Buried bitline with reduced resistance
US11610914B2 (en) Vertical thin-film transistor and application as bit-line connector for 3-dimensional memory arrays
CN111788686B (zh) 三维存储器件及用于形成其的方法
US20070045722A1 (en) Non-volatile memory and fabrication thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination