CN112695294A - 半导体沉积反应器歧管 - Google Patents

半导体沉积反应器歧管 Download PDF

Info

Publication number
CN112695294A
CN112695294A CN202011124004.2A CN202011124004A CN112695294A CN 112695294 A CN112695294 A CN 112695294A CN 202011124004 A CN202011124004 A CN 202011124004A CN 112695294 A CN112695294 A CN 112695294A
Authority
CN
China
Prior art keywords
gas
reactant
manifold
semiconductor processing
supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202011124004.2A
Other languages
English (en)
Inventor
D·南德瓦纳
E·J·希罗
C·L·怀特
T·R·杜纳
W·G·皮特罗
J·L·温科
A·奇塔莱
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN112695294A publication Critical patent/CN112695294A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本公开涉及一种半导体沉积反应器歧管和使用所述半导体沉积反应器歧管的方法的实施例,所述半导体沉积反应器歧管可用于使用如原子层沉积(ALD)等工艺沉积半导体层。所述半导体沉积反应器歧管具有孔、第一供应通道和第二供应通道。有利的是,第一供应通道和第二供应通道以偏移的方式与孔合并,这导致减少供应通道内的交叉污染。

Description

半导体沉积反应器歧管
相关申请的交叉引用
根据37C.F.R.§1.57,随本申请提交的申请数据表中确定了外国或本国优先权要求的任何和所有申请在此通过引用并入。
技术领域
本领域大体上涉及用于气相沉积的歧管,并且具体地涉及用于改善原子层沉积(ALD)反应器中的沉积质量的歧管。
背景技术
存在用于将薄膜沉积在衬底表面上的若干气相沉积方法。这些方法包括真空蒸发沉积、分子束外延(MBE)、化学气相沉积(CVD)的不同变化形式(包括低压和有机金属CVD以及等离子体增强CVD) 以及原子层沉积(ALD)。
在ALD工艺中,将具有至少一个待涂布表面的一个或多个衬底引入沉积腔室中。将衬底加热至所需温度,通常高于所选择的气相反应物的冷凝温度并低于其热分解温度。一种反应物能够与先前反应物的吸附物种反应,从而在衬底表面上形成所需产物。通常以在空间上和在时间上分开的脉冲形式向衬底提供两种、三种或更多种反应物。
在一个实例中,在第一脉冲中,代表前体材料的第一反应物以自限性过程被大致完整地吸附在晶片上。所述过程是自限性的,因为气相前体不能与前体的已吸附部分反应或吸附在前体的已吸附部分上。在从晶片或腔室移除任何剩余的第一反应物之后,衬底上已吸附的前体材料与随后的反应物脉冲反应,形成不超过单个分子层的所需材料。随后的反应物可以例如从已吸附的前体材料中剥离配体以使表面再次具有反应性,替换配体并留下化合物的其它材料等。在纯粹的ALD工艺中,每个循环平均形成不到一个单层,这是由于空间位阻,其中前体分子的大小妨碍了接近衬底上的吸附位点,这些吸附位点可能在随后的循环中变得可用。通过重复生长循环产生较厚的膜,直到达到目标厚度。生长速率通常按照每个周期的埃数提供,因为理论上,生长仅取决于循环次数,并且不依赖于所供应的质量或温度,只要每个脉冲具饱和性并且温度在那些反应物的理想ALD温度窗口内(没有热分解且没有冷凝)即可。
通常选择反应物和温度以避免在所述过程中反应物的冷凝和热分解,使得化学反应通过多个循环负责生长。然而,在ALD加工的某些变化形式中,通过利用混合CVD和ALD反应机制,可以选择条件以改变每个循环的生长速率,可能每个循环会超过一个分子单层。其它变化形式可以允许反应物之间的一些空间和/或时间重叠。在ALD和其它顺序的气相沉积变型中,可以在单个循环中依次提供两种、三种、四种或更多种反应物,并且可以改变不同循环的内容物以调整成分。
在典型的ALD工艺期间,反应物脉冲(其均是蒸汽形式)依次脉冲进入反应空间(例如,反应腔室),且反应物脉冲之间有移除步骤,以避免气相中反应物之间的直接相互作用。例如,可以在反应物脉冲之间提供惰性气体脉冲或“净化”脉冲。惰性气体在下一个反应物脉冲之前净化腔室中的一个反应物脉冲以避免气相混合。为了获得自限性生长,提供足够量的每种前体以使衬底饱和。由于真正ALD工艺的每个循环中的生长速率是自限性的,因此生长速率与反应顺序的重复率而不是反应物的流量成比例。
发明内容
在一个方面,提供了一种半导体处理装置,其包括:歧管,所述歧管包括:配置成将汽化反应物递送到反应腔室的孔,所述孔沿着纵向轴线延伸;设置在歧管的上部的上壁,所述上壁在孔的第一端沿着纵向轴线界定封盖表面;设置在歧管的下部沿着纵向轴线的出口,所述出口配置成将气体递送到反应器;配置成将气体供应到孔的第一供应通道;以及配置成将气体供应到孔的第二供应通道,其中第一供应通道和第二供应通道在沿着纵向轴线的偏移位置与孔合并。
在一些实施例中,封盖表面的形状为将向上引导的气体向下通过孔重新引导回到出口。
在一些实施例中,半导体处理装置还包括安装到歧管的第一块,第一块和歧管协作以至少部分地界定第一供应通道。在一些实施例中,半导体处理装置还包括安装到歧管的第二块,第二块和歧管协作以至少部分地界定第二供应通道。
在一些实施例中,第一供应通道与第一反应物源流体连通,并被配置成将第一汽化反应物递送到孔,并且第二供应通道与第二反应物源流体连通,并被配置成将第二汽化反应物递送到孔。
在一些实施例中,第一供应通道与非活性气体流体连通,以净化孔。
在一些实施例中,半导体处理装置还包括出口下方的喷淋头装置,喷淋头装置被配置成分散来自出口的气体流。半导体处理装置还可以包括喷淋头装置下方的反应腔室和配置成在反应腔室中支撑衬底的衬底支架。
在一些实施例中,第一块包括第一气相入口,其被配置成将第一反应物输入到第一供应通道中。
在一些实施例中,第一块还包括第二气相入口和第三气相入口。在一些实施例中,第一块还包括第四气相入口,其中第四气相入口位于第一块的与歧管相对的侧边。
在一些实施例中,第四气相入口被配置成向歧管输入净化气体。
在一些实施例中,第一供应通道朝向封盖表面倾斜,第二供应通道朝向出口倾斜,第二供应通道位于第一供应通道的下游。
在一些实施例中,歧管包括单个整体块。
在一些实施例中,孔沿着纵向轴线连续延伸。
在另一个方面,提供了一种半导体处理装置,其包括:歧管,所述歧管包括被配置成将气体递送到反应腔室的孔,所述孔沿着纵向轴线设置;设置在歧管的上部的上壁,所述上壁在孔的第一端沿着纵向轴线界定封盖表面;第一供应管线,被配置成在封盖表面下游沿着纵向轴线的第一位置向孔供应非活性净化气体;第二供应管线,被配置成在沿着纵向轴线的第二位置向孔供应气体,所述第二位置与所述第一位置不同。
在一些实施例中,供应管线连接到非活性气体源以净化孔。
在一些实施例中,非活性气体包含氩气和氮气中的至少一种。
在另一个方面,提供了一种沉积方法。所述方法包括:将第一气体在沿着孔的纵向轴线的第一位置通过第一供应通道供应到歧管的孔中,封盖表面设置在孔的上端;将第二气体在沿着孔的纵向轴线的第二位置通过第二供应通道供应到歧管的孔中,所述第二位置与第一供应通道纵向偏移;将第一气体和第二气体中的至少一个沿着纵向轴线向孔的出口下游引导。
在一些实施例中,供应第一气体和第二气体包含供应第一汽化反应物和供应第二汽化反应物。在一些实施例中,所述方法还包括在供应第一汽化反应物之后和供应第二汽化反应物之前用非活性气体净化反应腔室。非活性气体可以包括氮气和氩气中的至少一种。第一汽化反应物可以包括H2、NH3、N2、O2或O中的至少一种。第二汽化反应物可以包括二氯硅烷(DCS)、三氯硅烷(TCS)、丙硅烷、有机硅烷、氯化钛(TiCl4)、ZrCl4和HfCl4中的至少一种。第一汽化反应物可以包括NH3,第二汽化反应物可以包括TiCl4。在一些实施例中,所述方法包括汽化液体TiCl4以产生第二汽化反应物。
附图说明
现将参考若干实施例的图描述本发明的这些和其它特征、方面和优势,所述实施例旨在说明而非限制本发明。
图1是半导体处理装置的一个示例性实施例的示意性透视图。
图2是在歧管主体的上部具有非活性气体入口的半导体处理装置的示意性侧剖视图。
图3A-C是图2的半导体处理装置的各种组件的图像。
图4A是图2的半导体处理装置的另外的剖视图。
图4B是图1的半导体处理装置的一部分的剖视图。
图5A是图2的半导体处理装置的俯视图。
图5B是图1和4B的半导体处理装置的俯视图。
图6A是图4A的半导体处理装置的俯视剖视图。
图6B是图4B的半导体处理装置的俯视剖视图。
图7A是根据各种实施例的半导体处理装置的孔和供应通道的透视图。
图7B是图4B、5B和6B的半导体处理装置的孔和供应通道的透视图。
图8A和8B是图7A和7B的两种不同供应通道设计的蒸汽通过各自孔的速度图。
图9A和9B是图7A和7B的两种不同供应通道设计的各自孔的氨 (NH3)反应物的摩尔分数图。
图10A和10B分别是图7A和7B的两种不同供应通道设计的供应通道和孔内位置的NH3反应物的摩尔分数图。
具体实施方式
本文公开的实施例可以利用配置成用于任何合适的气体或气相沉积工艺,包括交替地将反应物暴露于衬底的工艺(例如脉冲)的半导体处理装置。例如,所示实施例示出了使用原子层沉积(ALD)技术在衬底上沉积材料的各种***。在气相沉积技术中,ALD具有许多优势,包括在低温下的高保形性和在所述工艺中对成分的精细控制。ALD 型工艺基于前体化学品的受控的自限性表面反应。通过交替地和顺序地将前体进料到反应腔室中来避免气相反应。例如通过在反应物脉冲之间从反应腔室去除过量反应物和/或反应物副产物,使气相反应物在反应腔室中彼此分离。可以通过各种技术完成移除,包括净化和/或降低脉冲之间的压力。脉冲可以连续流动顺序进行,或者反应器可以被隔离并且可以针对每个脉冲进行回填。当然,本文公开的设备可用于其它气相沉积工艺,特别是需要反应物的交替的那些,使得设备所采用的工艺可能包括一定程度的热分解和/或前体在空间或时间上的重叠。
简要地说,将衬底装载至反应腔室中并通常在较低压力下加热至合适的沉积温度。沉积温度通常保持低于前体热分解温度,但是处于足够高的水平以避免反应物的冷凝并且为所期望的表面反应提供活化能。当然,对于任何给定的ALD反应,合适的温度窗口将取决于所涉及的表面终止和反应物种类,并且允许冷凝或热分解的工艺可以用本文描述的设备进行。
第一反应物可以气相脉冲的形式传导到腔室中,并与衬底的表面接触。任何合适的反应物蒸汽都可以被脉冲到腔室中。例如,在各种实施例中,第一汽化反应物可以包含氨(NH3)。可以选择条件,使不超过约一个单层的前体以自限性方式吸附在衬底表面上。从反应腔室中净化过量的第一反应物和反应副产物(如果有的话),通常用如氮气或氩气的惰性气体净化。
净化反应腔室意味着从反应腔室中去除气相前体和/或气相副产物,例如通过用真空泵抽空腔室和/或用如氩气或氮气的惰性气体置换反应器内部的气体来进行。单晶片反应器的典型净化时间为约0.05至 20秒,特别是在约1与10秒之间,且还更特别在约1与2秒之间。然而,如果期望的话,可以使用其它净化时间,例如当需要在极高纵横比结构上沉积层或者需要具有复杂表面形态的其它结构时,或者当使用高容量间歇式反应器时。熟练的技术人员可以基于特定情况容易地确定适当的脉冲时间。
第二气态反应物可以被脉冲到腔室中,在那里它与结合到表面的第一反应物反应。任何合适的反应物蒸汽都可以被脉冲到腔室中。例如,在各种实施例中,第二汽化反应物可以包含氯化钛或TiCl4。从反应腔室中净化掉过量的第二反应物和表面反应的气态副产物,优选借助于惰性气体进行。重复脉冲和净化的步骤,直到在衬底上形成所期望厚度的薄膜,每个循环留下不超过一个分子单层。一些ALD工艺可以具有更复杂的顺序,其中三个或更多个前体脉冲交替,其中每个前体为生长中的膜贡献元素。反应物也可以以其自己的脉冲或前体脉冲供应以剥离或吸附附着的配体和/或游离副产物,而不是为所述膜提供元素。此外,并非所有周期都必须相同。例如,二元膜可以通过不频繁添加第三反应物脉冲(例如每五个周期)掺杂第三元素,以控制膜的化学计量,并且在沉积期间频率可以改变以渐次调和膜组成。此外,尽管描述为从吸附反应物开始,但一些配方可以从另一种反应物开始或者通过单独的表面加工开始,例如以确保有最多的反应位点来发ALD反应(例如,对于某些配方,水脉冲可以在衬底上提供羟基以增强某些ALD前体的反应性)。
如上所述,每个循环的每个脉冲或相位优选对于ALD反应是自限性的。在每相中供应过量的反应物前体以使易感结构表面饱和。表面饱和确保了反应物占据所有可用的反应位点(例如,受物理尺寸或空间位阻限制),从而确保在衬底上的任何形貌上具有优异的阶梯覆盖。在一些布置中,自限性行为的程度可以通过例如允许反应物脉冲的一些重叠来调节,从而在沉积速度(通过允许一些CVD型反应)与保形性之间进行折衷。反应物在时间和空间上良好分离的理想ALD条件提供接近完美的自限性行为并因此提供最大的保形性,但空间位阻导致每个循环少于一个分子层。与自限性ALD反应混合的有限CVD反应可以提高沉积速度。虽然本文所述的实施例对于依序脉冲沉积技术(如 ALD和混合模式ALD/CVD)尤其有利,但歧管还可以用于脉冲或连续CVD加工。可以使用能够ALD生长薄膜的许多种类的反应器,包括配备有用于脉冲前体的适当设备和装置的CVD反应器。在一些实施例中,与回填反应器相比,使用流动型ALD反应器。在一些实施例中,歧管在设计成将气体分配到反应空间中的喷射器上游,特别是在单晶片反应空间上方的分散机构,如淋喷淋头组件。
ALD工艺可任选地在连接到集群工具的反应腔室或空间中进行。在集群工具中,由于每个反应空间专用于一种类型的工艺,因此每个模块中反应空间的温度可以保持恒定,这与在每次运行前将衬底加热到工艺温度的反应器相比,提高了吞吐量。独立反应器可配备负载锁。在此情况下,不必在每次运行之间冷却反应腔室或空间。这些方法也可以在设计用于同时加工多个衬底的反应器中进行,例如小型间歇型喷淋头反应器。
本文公开的各种实施例涉及半导体装置,如气相沉积装置(例如, ALD装置、CVD装置等),其包括用于将反应物蒸汽递送到反应腔室的歧管。无论化学制品在标准条件下的天然状态如何,反应物蒸汽都可称为“气体”。本文所公开的实施例可以有利地通过分别沿着歧管的孔相互偏移的第一供应通道和第二供应通道提供第一反应物和第二反应物。第一供应通道和第二供应通道可以分别向歧管供应第一反应物蒸汽和第二反应物蒸汽。此外,第一供应通道和第二供应通道还可以向歧管供应净化气体(例如,惰性载体气体),以净化歧管和反应物的供应通道。如本文所解释,第一供应通道和第二供应通道的偏移性允许减少第一反应物渗透到第二供应通道中和第二反应物渗透到第一供应通道中。第一反应物渗透到第二供应通道中和第二反应物渗透到第二供应通道中可能会导致供应通道中反应物的交叉污染,从而导致沉积质量差。增加供应通道之间的偏移可以减少反应物渗透到相对的供应通道中。
此外,在各种实施例中,歧管包括由两个阀块包围的中间块。在与中间块和两个阀块相接的表面相对的阀块的表面上设置有净化气体入口。
图1是半导体工艺装置10的透视图,所述装置可包括歧管100,以将气体递送到反应腔室(未示出)。下面结合图4B和5B的描述详细描述图1的主要组件。例如,半导体处理装置10可以包括歧管100,其包含歧管主体120。第一阀块110a和第二阀块110b可以安装到歧管主体120,并且可以包括一个或多个气相入口,以将反应物蒸汽和/或非活性气体(例如,净化气体)递送到歧管主体120。半导体处理装置 10可以包括多个阀,以控制将反应物蒸汽和非活性气体递送到歧管主体120。例如,如本文所述,装置10可以包含惰性气体阀132a、132b 以控制向歧管100供应非活性气体,反应物气体阀134a、134b以控制向歧管100供应反应物蒸汽,以及第一阀136a和第二阀136b以控制向歧管100供应蚀刻剂和涂层蒸汽。
在各种布置中,第一阀136a和第二阀136b中的一个可以控制来自远程等离子体单元(RPU)的蚀刻剂的流动,以周期性地清洁沉积物的反应腔室的表面(例如,在每个晶片被处理之后,或在每个设定数量的晶片被处理之后)。蚀刻剂可以在RPU中被激活并供应到歧管 100和反应腔室。在各种布置中,第一阀136a和第二阀136b中的另一个可以控制涂层前体(如三甲基铝或TMA)的流动,所述前体与氧源 (如水、远程激活氧、臭氧等)一起,可用于周期性地用保护涂层(如氧化铝)涂覆腔室,以使腔室壁失活,减少腔室壁上的沉积,和/或保护腔室壁免受其它化学品如蚀刻剂的影响。
图2是半导体处理装置20的示意性侧剖视图,所述装置可以包括歧管200以将气体递送到反应腔室(未示出)。图2中所示的半导体处理装置20和歧管200可能与美国专利号9,574,268和美国专利公开号 US 2017-0350011中所示和描述的半导体处理装置和歧管大体相似,所述专利的公开内容通过引用全部并入本文,用于所有目的。在美国专利公开号US2017-0350011中描述的歧管和图2的歧管200之间可能存在各种差异,但整体功能和设计可能类似于例如美国专利公开号US 2017-0350011中的图6A-6J和/或图8A-8F。此外,本公开的歧管可以与美国专利公开号US 2017-0350011的图2的阀组合;和/或与美国专利公开号US 2017-0350011的图3A的反应物/惰性气体源、气体分散机构、控制器、反应腔室和真空源组合。
歧管200可以包括与阀块212a、212b连接的歧管主体202,所述阀块显示在歧管主体202的相对两侧上。反应物阀和惰性气体阀(未示出)设置在块212a、212b或其它上游块(未示出)上。惰性气体入口220可以例如从歧管200的上部向歧管200供应惰性气体。歧管主体202包含多个相互堆叠的块,以至少部分地界定气体沿着其流动的孔230,包括例如上部块204、中间块206和下部块208。在图2的布置中,中间块206包含子块206a和与子块206a机械连接的子块206b。下部块208包含第一子块208a、与第一子块208a机械连接的第二子块208b以及与第二子块208b机械连接的第三子块208c。使用多个块和子块可以实现歧管200的模块化构造,从而可以使用具有弯曲或倾斜形状的内部通道和其它内部腔体。
图2是关于美国专利公开号US 2017-0350011的图6A-6J描述的歧管的改进版本。子块208a-208c可以界定具有第一侧向部分280a、偏移轴向部分280b和第二侧向部分280b的延伸的混合长度通路280。通路280可以在将供应气体引入到孔230中的位置下游提供延伸的混合长度。歧管200可以包括多个气体分配通道,包括图2所示的气体分配通道236。供应通道238a-c将气体从分配通道236输送到孔230。如图所示,供应通道238a-c包含倾斜的供应通道,其向下倾斜以连接孔 230,其中流体也向下朝向出口232。在图2的布置中,气体流过孔230,包括沿着延伸的混合长度通路280,并通过出口232离开歧管200。出口232可以设置在分散机构(例如喷淋头)上,所述分散机构可以将气体分散在反应腔室(未示出)中的衬底上。
尽管图2的布置可以有利地提供延伸的混合长度,但延伸的混合长度通路280和歧管200中的其它通路包括曲率和转弯,其可能引入死体积,例如,在净化反应物气体期间。死体积的形成可降低沉积工艺的效率和有效性。图2所示布置的弯曲和倾斜的流动通路也可以形成“抛掷”到衬底上的现象。例如,弯曲通路280的使用可以向气体赋予角动量,这可以在衬底上引入非均匀性。
此外,如图2所示,歧管200利用漏斗形结构作为孔230顶部的非活性气体入口220,以引入净化气体,从而对***进行净化。然而,在注入第一反应物和/或第二反应物的过程中,对于某些工艺,通过非活性气体入口220注入的净化气体可能是不够的,并且在孔230的顶部可能存在死体积,使得供应的反应物蒸汽可以回流到漏斗形入口220 中。在块204、206之间使用O形环237可以进一步增加入口220内的死体积。这些死体积可能不能有效地由从非活性气体入口220引入的净化气体净化。在注入第一反应物和/或第二反应物期间,反应物沿着入口220的部分的寄生化学气相沉积(CVD)可以降低装置20的性能。
图3A描绘了反应物沿着非活性气体入口220的部分以在漏斗220 内形成的黄色加合物的形式的寄生沉积。此外,图3B示出了连接到漏斗220的顶部的块222,其将净化气体供应到漏斗220中。可以看到指示沉积反应物的黄色加合物形成在第一入口中,所述第一入口向漏斗 220供应非活性气体(例如,氮气、氩气或其它非活性气体)。图3C 示出了块222的另一侧,其中第二入口(例如,三甲基铝或TMA的入口)位于其中。TMA可以被供应到反应器中以在腔室壁上形成保护性涂层,例如保护性氧化铝涂层。在一些布置中,TMA可以在设定的清洁次数之后施用于腔室。可以看到在向***供应TMA的第二入口中形成黄色加合物。沿着入口220去除图示的加合物可能是困难的,这可能会污染衬底并降低装置质量。因此,需要改进向反应器的气体递送。
图4A示出了半导体处理装置20的另一个示意性侧剖视图,它与图2的所有组件相同,因此将不再讨论。图4B是图1中呈现的半导体处理装置10的示意性侧剖视图。图4B的装置10可以包括歧管100,其通过歧管主体120界定孔126。与图4A一样,在一些实施例中,歧管100可以通过将多个块相互安装来构造。然而,有利的是,在图4B 的图示实施例中,歧管100可以由构成歧管主体120的单个整体块构造而成。整体块可以通过提供防漏孔126来改进歧管主体120,例如,通过避免使用O型环和/或其它接口。在各种实施例中,还可以避免可能导致污染的死角。然而,或者,如图4A和2的实施例中所示,歧管主体120可以替代地由上部块、中间块和下部块制成。熟练的技术人员将认识到,基于成本和制造权衡,多个块和整体块是可以互换的。使用多个块可以实现模块的模块化构造,包括弯曲的、倾斜的或其它复杂的几何形状。歧管主体120的底部可以包括出口130。第一阀块 110a和第二阀块110b也可以安装到歧管主体120。
歧管主体120的孔126可以通过歧管主体120底部的出口130将反应物和/或非活性气体递送到反应器21的反应腔室30。分散装置35 (例如,所示的喷淋头)或其它实施例中的水平喷射装置可包括与多个开口19流体连通的增压室32。反应物蒸汽可通过开口19并供应到反应腔室30中。衬底支承件22可构造成或大小和形状设置成在反应腔室30内支承衬底36,例如晶片。分散的反应物蒸汽可接触衬底并反应以在衬底上形成层(例如单层)。分散装置35可按某种方式分散反应物蒸汽,从而在衬底上形成均匀层。
排出管线23可与反应腔室30流体连通。真空泵24可将吸力施加于排出管线23以将蒸汽和过量材料从反应腔室30排出。反应器21可包含任何合适类型的半导体反应器,如原子层沉积(ALD)装置、化学气相沉积(CVD)装置等。此外,装置10可包含与反应器21电子和数据通信的控制***34。控制***34可包含配置成控制装置10的操作的一个或多个处理器。可以提供附加部件以管理装置10的操作。
可以提供供应通道124a和124b以从相应的气体分配通道向孔126 供应气体。第一供应通道124a和第二供应通道124b可以与位于第一阀块110a和第二阀块110b中的供应通道122a和122b流体连接。第一供应通道124a和第二供应通道124b可以在沿着歧管主体120的纵向轴线L的大致相同区域与孔126合并,但进入孔126的入口开口127a、 127b可以沿着纵向轴线L稍微偏移。如图4B所示,孔126可以沿着纵向轴线L连续延伸,使得孔126不包括任何转弯或弯曲的通路,这与图2和4A中的布置不同。孔126的上端可以由上壁123封盖,所述上壁在孔126的上端界定了封盖表面128。第一供应通道124a可以向上朝向封盖表面128倾斜,而第二供应通道124b可以向下朝向出口130 倾斜。或者,第一供应通道124a和第二供应通道124b可以被制造成处于不同的水平,并且到达孔126的交错位置。因此,第一供应通道124a和第二供应通道可以向上、向下或笔直地倾斜,并且可以在沿着纵向轴线L的偏移位置处与孔126合并。在图4B中,第一阀块110a 和第二阀块110b可以机械地连接到歧管主体120,而无需使用O型环。例如,在一些实施例中,可以在歧管主体120与第一阀块110a和第二阀块110b之间使用金属密封件。
第一供应通道124a的入口开口127a可以将气体向上引导到封盖表面128,所述封盖表面可以将所供应的气体向下重新引导通过孔130。或者,第一供应通道124a可以远低于封盖表面128封端。封盖表面128 可以包含弯曲的表面,其形状是为了有效地引导撞击在表面128上的气体以一定的角度回落通过孔126。在图4B中,封盖表面128和出口 130可以沿着孔126的线性纵向轴线L设置。因此,与图4A中在上部块204中设置惰性气体入口220不同,在图4B的实施例中,歧管主体 120的最上部被封盖,使得供应的气体,包括反应物和惰性气体,从歧管100的侧面提供。
有利的是,当第一供应通道124a和第二供应通道124b在交错偏移位置处与孔126相接时,第一反应物向第二供应通道中的渗透减少。图7B中看到了第一供应通道124a和第二供应通道124b在交错偏移位置与孔126相接的位置的图示。相比之下,图7A示出了第一供应通道 124a和第二供应通道124b在相同位置与孔126相接的布置。图7A和 7B将在下面更详细地描述。此外,在一些实施例中,第一反应物蒸汽 (例如氨)可以沿着第一供应通道124a供应到孔126。第二反应物蒸汽 (例如氯化钛)可以沿着第二供应通道124b供应到孔126。如图4B所示,第一供应通道124a和第二供应通道124b可以彼此设置成与孔126 相对。
如果第一供应通道124a和第二供应通道124b在沿着纵向轴线L 的相同位置处直接设置在彼此对面,那么第一反应物蒸汽(例如氨) 可以被驱入相对的第二供应管线124b中。这种效果的实例在图10A中示出,并在下面更详细地描述。类似地,第二反应物蒸汽(例如,氯化钛)可以被驱入相对的第一供应管线124a中。在一些布置中,可以提供通过第一供应管线124a和第二供应管线124b的非活性气体的低流量,这可以作为来自相对供应管线的反应物蒸汽的屏障。然而,反应物蒸汽可以在足够高的压力下被引导,以便克服非活性气体的低压。因此,在图示的实施例中,第一供应通道124a和第二供应通道124b 的入口开口127a、127b可以沿着纵向轴线L彼此偏移。此外,在一些实施例中,入口开口127a、127b可以沿着纵向轴线L彼此相对地倾斜。例如,如图4B所示,上游第一入口开口127a可以倾斜以具有朝向上壁123的封盖表面128的定向分量。下游第二入口开口127b可以倾斜以具有朝向出口130的定向分量。因此,第一供应通道124a和第二供应通道124b的定向和/或定位可以减少或消除第一反应物进入第二供应通道124b和第二反应物进入第一供应通道124a的渗透,这可以减少交叉污染的影响并改善整体沉积质量。这种减少交叉污染的实例在图10B中示出,并在下面更详细地描述。
此外,与图4A的布置相比,图4B的孔126可以提供改进的流导率,例如,由于使用更大直径的孔126,可以提供更高的流速。更大的直径或主要尺寸可以提高流向反应器21的气体的流速。
此外,与图4A的布置不同,净化或非活性气体入口108和118可以位于与阀块110a/110b与歧管主体120相接的一侧相对的侧边。因此,与图4A的入口220不同,其中非活性净化气体通过漏斗形结构向下引导到孔230,在图4B的实施例中,非活性净化气体可以通过供应通道侧向引导到孔126。在一些实施例中,非活性净化气体可以通过第一供应通道124a和第二供应通道124b被引导,第一反应物蒸汽和第二反应物蒸汽通过这些通道供应到孔126。在其它实施例中,非活性净化气体可以通过从孔126的侧面部分而不是孔126的上部合并到孔126中的附加供应通道被引导。有利的是,图4B的实施例可以减少或消除在图4A的装置20中可能发生的反应物蒸汽的合并点以上的死体积和由此产生的寄生沉积。此外,净化或非活性气体入口108和118可以位于供应通道的最后一段,以便净化气体可以直接进入整个通道。因此,在净化循环期间,整个供应通道可以被净化,这可以减少或消除死体积。
图5A示出了图2和4A的实施例的俯视图。每个阀块212b和212a 包括气体入口。第一阀块212b包括用于输入第一气体或气相反应物的气相入口220。第一阀块212b还包括用于输入低流速非活性气体的气相入口222,以便将汽化反应物带入反应器21和/或提供防止来自其它反应物的交叉污染的缓冲。第二阀块212a包括用于输入第二气体或气相反应物的气相入口224。第二阀块212a还包括用于供应非活性气体的气相入口222,以便将汽化反应物带入反应器21和/或提供防止来自其它反应物的交叉污染的缓冲。歧管主体202包括漏斗形入口220,在所述入口中,高流速的非活性气体进入***,以便净化装置20。如上文结合图2和4A所解释,漏斗形入口220可以将非活性的净化气体向下引导到孔230中。另外,附加的蚀刻剂(例如,来自RPU)和涂布剂(例如TMA)可以从漏斗形入口220进入孔230。图5A还示出了安装到各自的阀块212a、212b的反应物阀214a、214b。反应物阀214a、 214b可以分别用于调节第一反应物蒸气和第二反应物蒸气的流量。
非活性气体的实例包括氩气(Ar)或氮气(N2),尽管可以使用任何合适的非活性气体。可以使用两种不同的非活性气体的混合物。第一气相反应物的实例是自然气态ALD反应物,如H2、NH3、N2、O2或O。第二气体或气相反应物可以由汽化器(未示出)产生,用于在室温和大气压下汽化固体或液体的反应物。汽化器可以包含例如液体鼓泡器或固体升华容器。可以在汽化器中保持和汽化的固体或液体反应物的实例包括但不限于液体有机金属前体,例如三甲基铝(TMA)、 TEMAHf或TEMAZr;液体半导体前体,例如二氯硅烷(DCS)、三氯硅烷(TCS)、丙硅烷、有机硅烷或TiCl4;以及粉末状前体,例如ZrCl4或HfCl4。熟练的技术人员将理解,实施例可以包括自然气态、固态或液态反应物源的任何所需组合和布置。在一个实施方案中,第一反应物蒸汽包含NH3,第二反应物蒸汽包含TiCl4
图5B示出了图1和4B的实施例的俯视图。类似于图5A的第一阀块212b,第一阀块110b包括用于供应第一气体或气相反应物的气相入口116和用于供应非活性气体以充当载体或缓冲的气相入口114。第一阀块110b包括用于供应蚀刻剂(例如RPU)的附加气相入口112。应注意,入口112设置在歧管主体120的侧边,例如,在阀块110b中,而不是与图5A中所示的漏斗形入口220连通。有利的是,将气相入口 112位于歧管主体的侧边,导致死体积的减少,从而降低了寄生沉积的量。此外,第一阀块110b还包括附加的非活性气相入口118,其中高流速非活性气体进入孔126以净化歧管120。气相入口118也可以设置在歧管主体120的侧边,例如,在阀块110b中,而不是与图5A中所示的漏斗形入口220连通。例如,如图5B所示,非活性气相入口118 可以放置在与第一阀块110b与歧管主体120相接的一侧相对的一侧。
此外,类似于图5A的第二阀块212a,第二阀块110a包括用于输入第二气体或气相反应物的气相入口106和用于供应低流速非活性气体以充当载体或缓冲的气相入口104。第二阀块110a包括用于输入涂层材料(例如TMA)的附加气相入口102。应注意,入口102可以设置在歧管主体120的侧边,例如,在阀块110a中,而不是与图5A中所示的漏斗形入口220连通。此外,第二阀块110a还包括附加的气相入口108,其中高流速非活性气体进入孔126以净化歧管120。非活性蒸汽入口108也可以设置在歧管主体120的侧边,例如,在阀块110a 中,而不是与图5A中所示的漏斗形入口220连通。例如,如图5B所示,非活性气相入口108可以放置在与第二阀块110a与歧管主体120 相接的一侧相对的一侧。与图5A类似,图5B也示出了安装到各自的阀块110a、110b的反应物阀134a、134b。图5B还示出了安装到各自的阀块110a/110b的非活性气体阀132a、132b和附加的第一阀136a和第二阀136b。非活性气体阀132a、132b控制从附加的气相入口108、 118进入的惰性气体。此外,如上文所解释,第一阀136a和第二阀136b 可以分别控制从气相入口102进入的涂层材料(例如,TMA)和从气相入口112进入的蚀刻剂(例如,来自RPU的蚀刻剂)。上述图5A的描述中对惰性气体、第一反应物和第二的实例的描述也适用于图5B,不再重复。
图6A和6B分别是图5A和5B的布置的俯视剖视图,显示了歧管内的内部通道。在图6A中,内部通道220A、222A、224A、226A对应于从气相入口220、222、224、226输送各自的蒸汽的内部供应管线,入口的元件符号用字母“A”附加,以指代相应的内部供应通道。类似地,在图6B中,内部通道102A、104A、106A、108A、112A、114A、 116A、118A对应于从相应的气相入口102、104、106、108、112、114、 116、118输送各自的蒸汽的内部供应管道,入口的元件符号用字母“A”附加,以指代相应的内部供应通道。在图6A中,内部通道与反应物阀 214a、214b流体相通,所述反应物阀调节进入反应腔室的第一反应物和第二反应物的流量。在图6B中,内部通道也与反应物阀134a、134b 流体连通,所述反应物阀调节第一反应物和第二反应物的流量。此外,图6B示出了如上所述的非活性气体阀132a、132b以及第一阀136a和第二阀136b。有利的是,来自非活性气体阀132a、132b的非活性气体可以流经整个通道,这使得整个通道中的反应物被净化,并产生减少的死体积。
图7A和7B是第一供应管线和第二供应管线到孔的两种不同布置的内孔和供应通道的透视图。图7B是图4B中所示的偏移设计,其中封盖表面128设置在孔126的上部,并将蒸汽向孔126下方引导通过出口130。图7A也示出了类似的设计,其中封盖表面506将蒸汽向孔502下方引导通过出口508。在图7B中,与图4B一样,供应通道124a、 124b在相对于纵向轴线L偏移的位置与孔126合并。相比之下,在图 7A中,供应通道504a、504b沿着纵向轴线L在与孔126相同的位置相接。如上文所解释,图7B的布置可以有利地减少供应通道124a、 124b中反应物的交叉污染。
图8A和8B示出了图7A和7B的两种不同供应通道设计的各自孔的速度图。在图8B中,观察到很少或没有“抛掷”,这意味着由于蒸汽的角动量而导致的非均匀性减少。图9A和9B分别示出了图7A和 7B的两种不同供应通道设计的各自孔的NH3反应物摩尔分数图。图9A中显示的非均匀性低于图9B中显示的非均匀性。此外,注意到图2、 4A、5A和6A的设计中孔的非均匀性显著低于图9A和9B中显示的非均匀性。虽然在图7A和7B(和4B)的设计中,与图4A的设计相比,在两种反应物混合沉积过程中的非均匀性可能更高,但在一些实施例中,提供高流速或电导脉冲可能更重要,例如,为了实现高长宽比结构。在这样的工艺中,混合非均匀性可能不如高流导率重要,图4B的设计可能是优选的。
图10A和10B分别示出了对于图7A和7B的两种不同供应通道设计,在供应通道和孔内的位置处NH3反应物的摩尔分数。垂直延伸的黄色突出显示区域左侧的区域C描绘了第一供应通道内输入NH3反应物的位置。在区域C内的两种不同的供应通道设计中,NH3水平都很高。垂直延伸的黄色突出显示区域内的区域A描绘了孔区域。比较图 10A和图10B的区域A的右边缘部分,在图7B中描绘的偏移设计中, NH3水平比图7A的直T设计渐渐降低得更快。垂直延伸的黄色突出显示区域右侧的区域B描绘了第二供应管线内的区域。显示孔与第二供应管线相接处的区域B的左边缘部分在图10A中显示了高浓度的NH3,而在图10B中同一区域中NH3的浓度相对较低,描绘了对于图7B的偏移设计,NH3向第二供应管线中的渗透明显较少。因此,在图7B的偏移设计中,NH3向第二供应管线中的不希望的渗透较少。
尽管为了清楚和理解起见借助图示和实例详细描述了前文,但所属领域的技术人员清楚可实践某些变化和修改。因此,说明书和实例不应被理解为将本发明的范围限制在本文中所描述的特定实施例和实例,而是实际上还涵盖属于本发明的真正范围和精神内的所有修改和替代方案。此外,实践本发明未必需要所有的上述特征、方面和优点。

Claims (26)

1.一种半导体处理装置,其包含:
歧管,所述歧管包含:
孔,配置成将汽化反应物递送到反应腔室,所述孔沿着纵向轴线延伸;
上壁,设置在所述歧管的上部,所述上壁在所述孔的第一端沿着所述纵向轴线界定封盖表面;
出口,在所述歧管的下部沿着所述纵向轴线,所述出口配置成将气体递送到反应器;
第一供应通道,配置成将气体供应到所述孔;以及
第二供应通道,配置成将气体供应到所述孔,
其中所述第一供应通道和所述第二供应通道在沿着所述纵向轴线的偏移位置与所述孔合并。
2.根据权利要求1所述的半导体处理装置,其中所述封盖表面的形状为将向上引导的气体向下通过所述孔重新引导回到所述出口。
3.根据权利要求1所述的半导体处理装置,其还包含安装到所述歧管的第一块,所述第一块和所述歧管协作以至少部分地界定所述第一供应通道。
4.根据权利要求3所述的半导体处理装置,其还包含安装到所述歧管的第二块,所述第二块和所述歧管协作以至少部分地界定所述第二供应通道。
5.根据权利要求1所述的半导体处理装置,其中所述第一供应通道与第一反应物源流体连通,并被配置成将第一汽化反应物递送到所述孔,并且其中所述第二供应通道与第二反应物源流体连通,并被配置成将第二汽化反应物递送到所述孔。
6.根据权利要求1所述的半导体处理装置,其中所述第一供应通道与非活性气体流体连通,以净化所述孔。
7.根据权利要求1所述的半导体处理装置,其还包含所述出口下方的喷淋头装置,所述喷淋头装置被配置成分散来自所述出口的气体流。
8.根据权利要求7所述的半导体处理装置,其还包含所述喷淋头装置下方的反应腔室和配置成在所述反应腔室中支撑衬底的衬底支架。
9.根据权利要求3所述的半导体处理装置,其中所述第一块包含第一气相入口,其被配置成将第一反应物输入到所述第一供应通道中。
10.根据权利要求9所述的半导体处理装置,其中所述第一块还包含第二气相入口和第三气相入口。
11.根据权利要求10所述的半导体处理装置,其中所述第一块还包含第四气相入口,其中所述第四气相入口位于所述第一块的与所述歧管相对的侧边。
12.根据权利要求11所述的半导体处理装置,其中所述第四气相入口被配置成向所述歧管输入净化气体。
13.根据权利要求1所述的半导体处理装置,其中所述第一供应通道朝向所述封盖表面倾斜,所述第二供应通道朝向所述出口倾斜,所述第二供应通道位于所述第一供应通道的下游。
14.根据权利要求1所述的半导体处理装置,其中所述歧管包含单个整体块。
15.根据权利要求1所述的半导体处理装置,其中所述孔沿着所述纵向轴线连续延伸。
16.一种半导体处理装置,其包含:
歧管,所述歧管包含孔,所述孔配置成将气体递送到反应腔室,所述孔沿着纵向轴线设置;
上壁,设置在所述歧管的上部,所述上壁在所述孔的第一端沿着所述纵向轴线界定封盖表面;
第一供应管线,配置成在所述封盖表面下游沿着所述纵向轴线的第一位置向所述孔供应非活性净化气体;
第二供应管线,配置成在沿着所述纵向轴线的第二位置向所述孔供应气体,所述第二位置与所述第一位置不同。
17.根据权利要求16所述的半导体处理装置,其中所述供应管线连接到非活性气体源以净化所述孔。
18.根据权利要求16所述的半导体处理装置,其中所述非活性气体包含氩气和氮气中的至少一种。
19.一种沉积方法,所述方法包含:
将第一气体在沿着歧管的孔的纵向轴线的第一位置通过第一供应通道供应到所述孔中,封盖表面设置在所述孔的上端;
将第二气体在沿着所述歧管的所述孔的所述纵向轴线的第二位置通过第二供应通道供应到所述孔中,所述第二位置与所述第一供应通道纵向偏移;
将所述第一气体和所述第二气体中的至少一个沿着所述纵向轴线向所述孔的出口下游引导。
20.根据权利要求19所述的方法,其中供应所述第一气体和所述第二气体包含供应第一汽化反应物和供应第二汽化反应物。
21.根据权利要求20所述的方法,其还包含在供应所述第一汽化反应物之后和供应所述第二汽化反应物之前用非活性气体净化所述反应腔室。
22.根据权利要求21所述的方法,其中所述非活性气体包含氮气和氩气中的至少一种。
23.根据权利要求22所述的方法,其中所述第一汽化反应物包含H2、NH3、N2、O2或O中的至少一种。
24.根据权利要求23所述的方法,其中所述第二汽化反应物包含二氯硅烷(DCS)、三氯硅烷(TCS)、丙硅烷、有机硅烷、氯化钛(TiCl4)、ZrCl4和HfCl4中的至少一种。
25.根据权利要求24所述的方法,其中所述第一汽化反应物包含NH3并且所述第二汽化反应物包含TiCl4
26.根据权利要求25所述的方法,其还包含汽化液体TiCl4以产生所述第二汽化反应物。
CN202011124004.2A 2019-10-22 2020-10-20 半导体沉积反应器歧管 Pending CN112695294A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962924608P 2019-10-22 2019-10-22
US62/924,608 2019-10-22
US201962925035P 2019-10-23 2019-10-23
US62/925,035 2019-10-23

Publications (1)

Publication Number Publication Date
CN112695294A true CN112695294A (zh) 2021-04-23

Family

ID=75491331

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011124004.2A Pending CN112695294A (zh) 2019-10-22 2020-10-20 半导体沉积反应器歧管

Country Status (4)

Country Link
US (1) US11830731B2 (zh)
KR (1) KR20210048408A (zh)
CN (1) CN112695294A (zh)
TW (1) TW202129064A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116641041A (zh) * 2023-05-06 2023-08-25 拓荆科技(上海)有限公司 一种气体分配装置

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US11492701B2 (en) * 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
TW202117217A (zh) 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
JP1700780S (zh) * 2021-03-22 2021-11-29
CN115161619B (zh) * 2022-09-08 2023-04-14 拓荆科技(上海)有限公司 阀门及气相沉积设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040221807A1 (en) * 2003-05-09 2004-11-11 Mohith Verghese Reactor surface passivation through chemical deactivation
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080202416A1 (en) * 2006-01-19 2008-08-28 Provencher Timothy J High temperature ALD inlet manifold
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US20170350011A1 (en) * 2016-06-01 2017-12-07 Asm Ip Holding B.V. Manifolds for uniform vapor deposition

Family Cites Families (238)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1523156A (en) 1923-07-05 1925-01-13 Leslie M Adams Electrically-energized faucet heater
US1853045A (en) 1931-01-09 1932-04-12 Air Conditioning & Eng Fluid mixing means
US3429903A (en) 1968-03-12 1969-02-25 American Metal Climax Inc Method of preparing molybdenum (iii) acetylacetonate
US3784631A (en) 1972-03-09 1974-01-08 Goodyear Tire & Rubber Dimerization or codimerization of alpha-olefins
US3882934A (en) 1972-06-02 1975-05-13 Aga Ab Heat exchanger
DE7242602U (zh) 1972-11-20 1976-04-29 Hoogovens Ijmuiden B.V., Ijmuiden (Niederlande)
US4222671A (en) 1978-09-05 1980-09-16 Gilmore Oscar Patrick Static mixer
US4401052A (en) 1979-05-29 1983-08-30 The University Of Delaware Apparatus for continuous deposition by vacuum evaporation
US4422773A (en) 1980-08-04 1983-12-27 Technicon Instruments Corporation Apparatus and method for the non-invasive mixing of a flowing fluid stream
US4410281A (en) 1981-03-02 1983-10-18 Ralph B. Carter Company Mixing method and apparatus utilizing pipe elbows
US4649859A (en) 1985-02-19 1987-03-17 The United States Of America As Represented By The United States Department Of Energy Reactor design for uniform chemical vapor deposition-grown films without substrate rotation
GB8526566D0 (en) 1985-10-29 1985-12-04 Plessey Co Plc Manifold assembly
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3715644A1 (de) 1987-05-11 1988-12-01 Fraunhofer Ges Forschung Molekularstrahlepitaxieanlage
US5080549A (en) 1987-05-11 1992-01-14 Epsilon Technology, Inc. Wafer handling system with Bernoulli pick-up
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPS6411320A (en) 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5071460A (en) 1988-03-04 1991-12-10 Nippon Telegraph And Telephone Corporation Process for the preparation of fluoride glass and process for the preparation of optical fiber preform using the fluoride glass
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
DE58909880D1 (de) 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
US4889609A (en) 1988-09-06 1989-12-26 Ovonic Imaging Systems, Inc. Continuous dry etching system
US4907534A (en) 1988-12-09 1990-03-13 Siemens Aktiengesellschaft Gas distributor for OMVPE Growth
WO1990010092A1 (en) 1989-02-24 1990-09-07 Massachusetts Institute Of Technology A modified stagnation flow apparatus for chemical vapor deposition providing excellent control of the deposition
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
KR0155158B1 (ko) 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5106453A (en) 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5004374A (en) 1990-02-28 1991-04-02 Bettie Grey Method of laying out a pathway for piping
DE4006489A1 (de) 1990-03-02 1991-09-05 Hoechst Ag Vorrichtung zum herstellen duenner schichten aus metallmischoxiden aus organischen metallverbindungen auf einem substrat
US5028724A (en) 1990-03-30 1991-07-02 Air Products And Chemicals, Inc. Synthesis of volatile fluorinated and non-fluorinated metal-beta-ketonate and metal-beta-ketoiminato complexes
CA2016970A1 (en) 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
US6110529A (en) 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US7323581B1 (en) 1990-07-06 2008-01-29 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US5840897A (en) 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US5131627A (en) 1990-10-17 1992-07-21 Nupro Company Diaphragm valve
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH04308090A (ja) 1991-04-05 1992-10-30 M B K Maikurotetsuku:Kk 気相化学反応生成装置のロードロック機構
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5192371A (en) 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus
US5223001A (en) 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
US5248253A (en) 1992-01-28 1993-09-28 Digital Equipment Corporation Thermal processing furnace with improved plug flow
JPH05218176A (ja) 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
JPH0811718B2 (ja) 1992-02-27 1996-02-07 大同ほくさん株式会社 ガスソース分子線エピタキシー装置
US5229615A (en) 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
GB2264957B (en) 1992-03-12 1995-09-20 Bell Communications Res Deflected flow in a chemical vapor deposition cell
JP3183575B2 (ja) 1992-09-03 2001-07-09 東京エレクトロン株式会社 処理装置および処理方法
JP3405466B2 (ja) 1992-09-17 2003-05-12 富士通株式会社 流体切替弁および半導体装置の製造装置
JP3186262B2 (ja) 1992-10-14 2001-07-11 ソニー株式会社 半導体装置の製造方法
KR100302012B1 (ko) 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
JP2548062B2 (ja) 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 縦型熱処理装置用ロードロックチャンバー
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
JP3292540B2 (ja) 1993-03-03 2002-06-17 東京エレクトロン株式会社 熱処理装置
JP3218488B2 (ja) 1993-03-16 2001-10-15 東京エレクトロン株式会社 処理装置
JP2875458B2 (ja) 1993-07-16 1999-03-31 大日本スクリーン製造株式会社 基板の熱処理装置
JPH07122500A (ja) 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JP3042335B2 (ja) 1994-10-25 2000-05-15 信越半導体株式会社 気相成長方法及びその装置
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3380091B2 (ja) 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5609459A (en) 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
JP3258885B2 (ja) 1995-12-27 2002-02-18 東京エレクトロン株式会社 成膜処理装置
TW322602B (zh) 1996-04-05 1997-12-11 Ehara Seisakusho Kk
US6534133B1 (en) 1996-06-14 2003-03-18 Research Foundation Of State University Of New York Methodology for in-situ doping of aluminum coatings
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5951771A (en) 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US5789027A (en) 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US5980983A (en) 1997-04-17 1999-11-09 The President And Fellows Of Harvard University Liquid precursors for formation of metal oxides
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5846330A (en) 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
PT996498E (pt) 1997-07-24 2001-10-31 Siemens Axiva Gmbh & Co Kg Misturador de conveccao caotico, continuo, permutador de calor e reactor de calor
US6432479B2 (en) 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
JP3210350B2 (ja) 1998-01-26 2001-09-17 和二 福永 凝集濃縮装置と凝集濃縮法
CN1107830C (zh) 1998-03-05 2003-05-07 斯瓦戈洛克公司 按标准形式设计的表面安装歧管
US6079353A (en) 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
US5893641A (en) 1998-05-26 1999-04-13 Garcia; Paul Differential injector
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP2000172343A (ja) 1998-12-02 2000-06-23 Hitachi Ltd ガス供給装置と成膜装置
DE60035948T2 (de) 1999-06-19 2008-05-15 Asm Genitech Korea Ltd. Chemischer abscheidungsreaktor und dessen verwendung für die abscheidung eines dünnen films
WO2001029282A2 (en) 1999-10-20 2001-04-26 Cvd Systems, Inc. Fluid processing system
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP4778655B2 (ja) 2000-02-04 2011-09-21 アイクストロン、アーゲー 1つまたは多くの被膜を基板に沈積する方法および装置
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
US6303501B1 (en) 2000-04-17 2001-10-16 Applied Materials, Inc. Gas mixing apparatus and method
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
US6299692B1 (en) 2000-07-21 2001-10-09 Applied Materials, Inc. Head for vaporizing and flowing various precursor materials onto semiconductor wafers during chemical vapor deposition
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
AU2001285235A1 (en) 2000-08-28 2002-03-13 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate bychemical vapor deposition
US20020072164A1 (en) 2000-09-13 2002-06-13 Applied Materials, Inc. Processing chamber with multi-layer brazed lid
DE10064944A1 (de) 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6428847B1 (en) 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
US20040028810A1 (en) 2000-10-16 2004-02-12 Primaxx, Inc. Chemical vapor deposition reactor and method for utilizing vapor vortex
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6905547B1 (en) 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
TW560029B (en) 2001-01-18 2003-11-01 Watanabe M & Co Ltd Carburetor, various types of devices using the carburetor, and method vaporization
US7591957B2 (en) 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
KR100781820B1 (ko) 2001-02-21 2007-12-03 시부야 코교 가부시키가이샤 기체액체 혼합류의 분사장치
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
BR0101433B1 (pt) 2001-04-10 2011-02-22 método e dispositivo de entrada multi-funcional para reator tubular de fluxo descendente.
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6626997B2 (en) 2001-05-17 2003-09-30 Nathan P. Shapiro Continuous processing chamber
KR100421219B1 (ko) 2001-06-14 2004-03-02 삼성전자주식회사 β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20080102208A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780789B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6660177B2 (en) 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US7163587B2 (en) 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6684719B2 (en) 2002-05-03 2004-02-03 Caterpillar Inc Method and apparatus for mixing gases
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
FR2846733B1 (fr) 2002-10-31 2006-09-15 Valeo Thermique Moteur Sa Condenseur, notamment pour un circuit de cimatisation de vehicule automobile, et circuit comprenant ce condenseur
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
JP3889698B2 (ja) 2002-11-22 2007-03-07 本田技研工業株式会社 蓄熱装置
US7045060B1 (en) 2002-12-05 2006-05-16 Inflowsion, L.L.C. Apparatus and method for treating a liquid
US7296532B2 (en) 2002-12-18 2007-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Bypass gas feed system and method to improve reactant gas flow and film deposition
KR100500246B1 (ko) 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US20050000428A1 (en) 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7304263B2 (en) 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US7297892B2 (en) 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
JP2005101454A (ja) 2003-09-26 2005-04-14 Watanabe Shoko:Kk 気化器
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
WO2005038320A2 (en) 2003-10-17 2005-04-28 Sundew Technologies, Llc Fail safe pneumatically actuated valve
JP2007511902A (ja) 2003-10-29 2007-05-10 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
WO2009105668A1 (en) 2008-02-20 2009-08-27 President And Fellows Of Harvard College Bicyclic guanidines, metal complexes thereof and their use in vapor deposition
NL1026873C2 (nl) 2004-02-25 2005-08-26 Ferro Techniek Holding Bv Inrichting en werkwijze voor het verwarmen van vloeistoffen, en basisstructuur.
WO2005085521A1 (en) 2004-03-08 2005-09-15 Lars Vedsted A method and a product for heating or cooling of foodstuffs
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
EP1829920B9 (en) 2004-11-09 2017-01-04 Sumitomo Bakelite Company, Limited Apparatus and method for producing raw material for recycled resin composition
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
US8211230B2 (en) 2005-01-18 2012-07-03 Asm America, Inc. Reaction system for growing a thin film
US8298336B2 (en) 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US7416994B2 (en) 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
JP4855471B2 (ja) 2005-09-26 2012-01-18 エルジー・ケム・リミテッド 積層反応装置
WO2007142690A2 (en) 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
US20070194470A1 (en) 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
US8399056B2 (en) 2006-06-02 2013-03-19 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
CN102993050A (zh) 2006-06-28 2013-03-27 哈佛学院院长等 四脒基金属(iv)化合物及其在气相沉积中的用途
CN101117308A (zh) 2006-08-04 2008-02-06 浙江医药股份有限公司新昌制药厂 乙酰丙酮酸钼的制备方法
KR100791073B1 (ko) 2006-08-16 2008-01-02 삼성전자주식회사 난류 날개들을 갖는 배기 배관 및 배기 시스템
JP5037510B2 (ja) 2006-08-23 2012-09-26 株式会社堀場エステック 集積型ガスパネル装置
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
CN101191612A (zh) 2006-11-20 2008-06-04 游图明 用于家用电器的蒸汽形成方法及装置
US20080241387A1 (en) 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080254218A1 (en) 2007-04-16 2008-10-16 Air Products And Chemicals, Inc. Metal Precursor Solutions For Chemical Vapor Deposition
JP2008264640A (ja) 2007-04-18 2008-11-06 Shimadzu Corp 混合器
US8142847B2 (en) 2007-07-13 2012-03-27 Rohm And Haas Electronic Materials Llc Precursor compositions and methods
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
KR100880773B1 (ko) 2008-01-23 2009-02-02 (주) 씨엠테크 유체 가열장치
WO2009104732A1 (ja) 2008-02-20 2009-08-27 東京エレクトロン株式会社 ガス供給装置
JP2009239082A (ja) 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
US8463117B2 (en) 2008-06-24 2013-06-11 Advanced Materials Enterprises Company Limited Water heating apparatus
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
KR20100015213A (ko) 2008-08-04 2010-02-12 삼성전기주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8666238B2 (en) 2008-08-06 2014-03-04 Nexthermal Corporation Fluid preheater
US9259694B2 (en) 2008-08-07 2016-02-16 Asahi Organic Chemicals Industry Co., Ltd. Fluid mixer and apparatus using fluid mixer
US8187381B2 (en) 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
WO2010047168A1 (ja) 2008-10-20 2010-04-29 旭有機材工業株式会社 渦巻き式流体混合器及び渦巻き式流体混合器を用いた装置
KR101263395B1 (ko) 2008-10-20 2013-05-10 아사히 유키자이 고교 가부시키가이샤 나선식 유체혼합기 및 나선식 유체혼합기를 이용한 장치
US8702017B2 (en) 2008-12-16 2014-04-22 Asm Assembly Automation Ltd Nozzle device employing high frequency wave energy
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
JP5658463B2 (ja) 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
WO2010114386A1 (en) 2009-03-30 2010-10-07 Universitetet I Oslo Thin films containing molybdenum oxide
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2010123877A2 (en) 2009-04-21 2010-10-28 Applied Materials, Inc. Cvd apparatus for improved film thickness non-uniformity and particle performance
US20100266765A1 (en) 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US8628618B2 (en) 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
JP2011104483A (ja) 2009-11-13 2011-06-02 Asahi Organic Chemicals Industry Co Ltd 静的流体混合器及び静的流体混合器を用いた装置
JP5484008B2 (ja) 2009-11-13 2014-05-07 旭有機材工業株式会社 静止型流体混合器及び静止型流体混合器を用いた装置
JP5441746B2 (ja) 2010-02-05 2014-03-12 旭有機材工業株式会社 流体混合器および流体混合器を用いた装置
US9175394B2 (en) 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
JP5839830B2 (ja) 2010-04-28 2016-01-06 昭和電工株式会社 有機金属錯体化合物、有機金属錯体化合物の製造方法および有機金属錯体化合物を含む光硬化性組成物
DE112011102020B4 (de) 2010-06-16 2019-07-11 Hitachi High-Technologies Corporation Flüssigkeitsmischvorrichtung und Flüssigkeitschromatograph
CN103097394B (zh) 2010-08-27 2016-03-02 辛格玛艾瑞契有限责任公司 钼(iv)酰胺前驱物和其在原子层沉积中的用途
JP2012099594A (ja) 2010-11-01 2012-05-24 Hitachi Kokusai Electric Inc 基板処理装置
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
JP5730670B2 (ja) 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
US8636958B2 (en) 2011-09-07 2014-01-28 Marathon Oil Canada Corporation Nozzle reactor and method of use
US8485230B2 (en) 2011-09-08 2013-07-16 Laor Consulting Llc Gas delivery system
JP2013075281A (ja) 2011-09-30 2013-04-25 Asahi Organic Chemicals Industry Co Ltd 流体混合器および流体混合器を用いた装置
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
JP5848140B2 (ja) 2012-01-20 2016-01-27 東京エレクトロン株式会社 プラズマ処理装置
WO2013111789A1 (ja) 2012-01-23 2013-08-01 旭有機材工業株式会社 スタティックミキサーおよびスタティックミキサーを用いた装置
ITTO20120451A1 (it) 2012-05-24 2013-11-25 Lavazza Luigi Spa Dispositivo riscaldatore elettrico per la produzione di acqua calda e/o vapore.
US9279185B2 (en) 2012-06-14 2016-03-08 Asm Technology Singapore Pte Ltd Feed-through apparatus for a chemical vapour deposition device
US8985152B2 (en) 2012-06-15 2015-03-24 Novellus Systems, Inc. Point of use valve manifold for semiconductor fabrication equipment
CN102974255B (zh) 2012-10-31 2015-07-01 中国科学院过程工程研究所 一种被动式螺旋微结构混合装置及应用
KR102152858B1 (ko) 2013-03-12 2020-09-07 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US10480077B2 (en) 2013-03-13 2019-11-19 Applied Materials, Inc. PEALD apparatus to enable rapid cycling
US20140284404A1 (en) 2013-03-20 2014-09-25 Asm Technology Singapore Pte Ltd. Chemical vapour deposition injector
KR101464173B1 (ko) 2013-07-23 2014-11-21 영남대학교 산학협력단 전이금속 칼코겐화합물 박막 형성 방법
KR101621470B1 (ko) 2013-07-31 2016-05-16 건국대학교 산학협력단 MoS2 박막 및 이의 제조방법
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
FR3016889B1 (fr) 2014-01-24 2016-01-22 Commissariat Energie Atomique Procede de reaslisation par ald d'une couche mince de formule myx
US10099948B2 (en) 2014-02-20 2018-10-16 King Abdullah University Of Science And Technology Spiral-shaped disinfection reactors
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
KR102267923B1 (ko) 2014-08-26 2021-06-22 에이에스엠 아이피 홀딩 비.브이. 증착 장치
JP6346298B2 (ja) 2014-10-14 2018-06-20 アルプス電気株式会社 流体混合装置
US10557197B2 (en) 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
KR101535573B1 (ko) 2014-11-04 2015-07-13 연세대학교 산학협력단 전이금속 칼코겐 화합물 합성 방법
CN104561937B (zh) 2015-01-05 2017-08-15 上海纳米技术及应用国家工程研究中心有限公司 原子层沉积制备具有固体润滑作用的ws2薄膜方法
US9982341B2 (en) 2015-01-30 2018-05-29 Lam Research Corporation Modular vaporizer
US20160256889A1 (en) 2015-03-06 2016-09-08 Nordson Corporation Variable output dispensing applicator and associated methods of dispensing
WO2016191432A1 (en) 2015-05-27 2016-12-01 Asm Ip Holding B.V. Synthesis and use of precursors for ald of molybdenum or tungsten containing thin films
CN109312874B (zh) 2016-06-21 2020-11-03 株式会社富士金 流体控制装置
US10358407B2 (en) 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US11091837B2 (en) 2016-10-24 2021-08-17 Fujikin Incorporated Fluid control system and product manufacturing method using fluid control system
US11104993B2 (en) 2017-07-28 2021-08-31 Entegris, Inc. Modular tray ampoule
US10147597B1 (en) 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
KR102380863B1 (ko) 2017-11-30 2022-04-01 가부시키가이샤 후지킨 밸브 장치, 이 밸브 장치를 사용한 유체제어장치 및 반도체 제조 장치
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20040221807A1 (en) * 2003-05-09 2004-11-11 Mohith Verghese Reactor surface passivation through chemical deactivation
US20080202416A1 (en) * 2006-01-19 2008-08-28 Provencher Timothy J High temperature ALD inlet manifold
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US20170350011A1 (en) * 2016-06-01 2017-12-07 Asm Ip Holding B.V. Manifolds for uniform vapor deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116641041A (zh) * 2023-05-06 2023-08-25 拓荆科技(上海)有限公司 一种气体分配装置

Also Published As

Publication number Publication date
US20210118668A1 (en) 2021-04-22
KR20210048408A (ko) 2021-05-03
US11830731B2 (en) 2023-11-28
TW202129064A (zh) 2021-08-01

Similar Documents

Publication Publication Date Title
US11830731B2 (en) Semiconductor deposition reactor manifolds
US11377737B2 (en) Manifolds for uniform vapor deposition
US11208722B2 (en) Vapor flow control apparatus for atomic layer deposition
US10370761B2 (en) Pulsed valve manifold for atomic layer deposition
US20200340109A1 (en) Reactant vaporizer and related systems and methods
US8197599B2 (en) Gas head and thin-film manufacturing apparatus
US7648578B1 (en) Substrate processing apparatus, and method for manufacturing semiconductor device
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
US6758911B2 (en) Apparatus and process of improving atomic layer deposition chamber performance
US6890596B2 (en) Deposition methods
US6905547B1 (en) Method and apparatus for flexible atomic layer deposition
US20020052097A1 (en) Apparatus and method for depositing thin film on wafer using atomic layer deposition
US20130203267A1 (en) Multiple vapor sources for vapor deposition
US20040154538A1 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
KR20060096445A (ko) 박막 성장용 반응 시스템
US11492701B2 (en) Reactor manifolds
CN112242324A (zh) 用于半导体处理***的喷淋头装置
US20210214846A1 (en) Showerhead assembly and components
KR20210146801A (ko) 바나듐 전구체의 직접 액체 주입을 위한 시스템 및 방법
JP3246139U (ja) 反応器マニホールド
US20230069359A1 (en) Reactor manifolds

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination