CN111971802A - 用氮化铪层使氧化铪铁电性能改性 - Google Patents

用氮化铪层使氧化铪铁电性能改性 Download PDF

Info

Publication number
CN111971802A
CN111971802A CN201980024522.6A CN201980024522A CN111971802A CN 111971802 A CN111971802 A CN 111971802A CN 201980024522 A CN201980024522 A CN 201980024522A CN 111971802 A CN111971802 A CN 111971802A
Authority
CN
China
Prior art keywords
layer
hfo
hfn
depositing
controller
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980024522.6A
Other languages
English (en)
Inventor
衡石·亚历山大·尹
朱忠伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111971802A publication Critical patent/CN111971802A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一种在衬底处理***中形成铁电氧化铪(HfO2)的方法包括:在衬底上沉积HfO2层;在所述HfO2层上沉积氮化铪(HfN)层;以及使所述HfO2层和所述HfN层退火以形成铁电铪HfO2

Description

用氮化铪层使氧化铪铁电性能改性
相关申请的交叉引用
本申请要求2018年4月2日提交的美国临时申请No.62/651,454的权益。以上引用的申请的全部公开内容通过引用合并于此。
技术领域
本公开涉及用于处理衬底的方法,并且更具体地涉及用于减少基于氧化铪的铁电材料中的泄漏电流的方法。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
在基于氧化铪(HfO2)的材料中铁电性能的发现振兴了对铁电存储器(FeRAM)的研究。常规的铁电材料,例如锆钛酸铅(PZT),对于厚度低于50纳米(nm)没有足够的开关窗。因此,PZT不能用于特征尺寸小于50nm的设备。
由于高矫顽场,HfO2的铁电开关迟滞低至6nm的厚度。HfO2也是3D存储器结构的理想选择。HfO2已在CMOS技术中广泛用作栅极电介质。在这些应用中,使用共形原子层沉积(ALD)沉积HfO2。因此,HfO2可能适合使用当前的3D NAND集成方案集成到3D FeRAM中。
发明内容
一种在衬底处理***中形成铁电氧化铪(HfO2)的方法包括:在衬底上沉积HfO2层;在所述HfO2层上沉积氮化铪(HfN)层;以及使所述HfO2层和所述HfN层退火以形成铁电铪HfO2
在其他特征中,所述方法还包括在所述HfN层上沉积第二HfO2层。所述方法还包括在所述HfN层上执行氧化。在所述HfN层上执行所述氧化包括使用分子氧和臭氧中的至少一种来执行所述氧化。所述方法还包括在沉积所述HfN层之前氮化所述HfO2层。氮化所述HfO2层包括使用氮气物质产生等离子体。
在其他特征中,所述方法还包括在所述退火之前在所述HfN层上沉积顶部电极。所述顶部电极包括选自由钛、钽和钨组成的组中的材料。所述方法还包含在所述HfN层上沉积第二HfO2层以及在所述HfN层上沉积顶部电极。所述方法还包括在所述衬底上沉积底部电极以及在所述底部电极上沉积所述HfO2层。使用选自由硅(Si)、铝(Al)、钇(Y)、锆(Zr)和/或镧(La)组成的组中的掺杂剂物质来对所述HfO2层掺杂。使所述HfO2层和所述HfN层退火包括在500℃和1100℃之间的温度下执行快速热退火工艺。
一种被配置为在处理室中的衬底上形成铁电氧化铪(HfO2)的***包括:气体输送***,其被配置为向所述处理室供应气体;和射频(RF)产生***,其被配置为在所述处理室内产生等离子体。控制器被配置为通过控制所述气体输送***和所述RF产生***以:在所述衬底上沉积HfO2层;在所述HfO2层上沉积氮化铪(HfN)层;以及使所述HfO2层和所述HfN层退火以形成铁电铪HfO2
在其他特征中,所述控制器还被配置为在所述HfN层上沉积第二HfO2层。所述控制器还被配置为在所述HfN层上执行氧化。在所述HfN层上执行所述氧化包括使用分子氧和臭氧中的至少一种来执行所述氧化。所述控制器还被配置为在沉积所述HfN层之前氮化所述HfO2层。氮化所述HfO2层包括使用氮气物质产生等离子体。
在其他特征中,所述控制器还被配置为在所述退火之前在所述HfN层上沉积顶部电极。所述顶部电极包含选自由钛、钽和钨组成的组中的材料。所述控制器还被配置为在所述HfN层上沉积第二HfO2层,并且在所述HfN层上沉积顶部电极。所述控制器还被配置为在所述衬底上沉积底部电极,并且在所述底部电极上沉积所述HfO2层。使用选自由硅(Si)、铝(Al)、钇(Y)、锆(Zr)和/或镧(La)组成的组中的掺杂剂物质对所述HfO2层掺杂。使所述HfO2层和所述HfN层退火包括在500至1100℃之间的温度下执行快速热退火工艺。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅旨在用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1是根据本公开内容的用于形成铁电氧化铪(HfO2)层的示例性衬底处理***的功能框图;
图2A至图2F是用于形成包括铁电HfO2的设备的示例性工艺的侧视截面图;
图3A至图3F是根据本公开内容的用于形成包括氮化铪(HfN)的铁电HfO2层的示例性工艺的侧视截面图;
图4A和图4B是根据本公开内容的包括在HfO2层上形成的HfN层的示例性设备的侧截面图;
图5A和图5B是根据本公开内容的包括在HfO2层之间形成的HfN层的示例性设备的侧截面图;和
图6示出了根据本公开的用于形成包括HfN层的铁电HfO2层的示例方法。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
HfO2的热稳定性是FeRAM应用商业化的障碍。尽管600-650℃的温度足够高以使沉积的非晶HfO2结晶成铁电相,但许多集成方案要求的热预算至少为1000℃。较高的工艺温度会通过增加泄漏电流和/或使设备短路而使基于HfO2的FeRAM退化。高温退火后的泄漏源包括在顶部电极/HfO2界面处产生缺陷。泄漏电流的另一个来源包括HfO2的膜破裂。随着HfO2的裂解,来自顶部和底部电极(通常是TiN)的原子会自由地扩散到HfO2中,这最终使设备失效。例如,顶部电极在退火过程中用作盖,以防止HfO2层转变为热力学稳定的单斜晶相。在单斜晶相中,HfO2不是铁电的。
在一些示例中,可以掺杂HfO2(即,可以将掺杂剂添加到HfO2中)。例如,可以通过改变掺杂剂的类型和浓度来控制所需的HfO2铁电性。然而,某些类型和浓度的掺杂剂可能在包含铁电HfO2的半导体设备的操作中引起不良特性。例如,使铁电HfO2中的硅(Si)的mol%增加(例如,从4%增加至6%)会引起“唤醒效应”,这可能对应于HfO2的剩余极化的增加。在一个示例中,使用锆(Zr)代替Si作为掺杂剂引起极化和退火温度的相互依赖性,这增加了设备处理变化和不均匀性的敏感性。
根据本公开的***和方法,在HfO2层上在交替的HfO2层之间沉积一个或多个氮化铪(HfN)层等,以改变HfO2层的铁电性质。例如,可以在与用于沉积HfO2层的相同处理室中使用ALD沉积HfN层。
图1示出了根据本公开内容的用于使用原子层沉积(ALD)来沉积和掺杂包含一个或多个HfN层的HfO2层以形成铁电HfO2的示例性衬底处理***100。尽管在该示例中在同一处理室中进行HfO2层的沉积和掺杂以及HfN层的沉积,但是可以使用分开的处理室。例如,可以使用变压器耦合等离子体(TCP)室、等离子体增强化学气相沉积(PECVD)室、高压CVD(HPCVD)室和/或使用远程等离子体源的处理室来执行一个或更多沉积或蚀刻步骤,如下文所述。
衬底处理***100包括处理室102,处理室102包围衬底处理***100的其他部件并包含RF等离子体。衬底处理室102包括上电极104和衬底支撑件,例如静电卡盘(ESC)106。在操作期间,衬底108布置在ESC106上。
仅举例而言,上电极104可包括喷头109,其引入和分配处理气体。喷头109可包括杆部,杆部包括连接到处理室的顶部表面的一端。基部部分通常为圆柱形,并且在与处理室的顶部表面间隔开的位置处从杆部的相对端径向向外延伸。喷头的基部部分的面向衬底的表面或面板包括让处理气体或清扫气体流过的多个孔。替代地,上电极104可包括导电板,并且可以以另一种方式引入处理气体。
ESC 106包括用作下电极的导电基板110。基板110支撑加热板112,所述加热板可对应于陶瓷多区加热板。热阻层114可以布置在加热板112和基板110之间。基板110可以包括用于使冷却剂流过基板110的一个或多个冷却剂通道116。
RF产生***120产生RF电压并将RF电压输出到上电极104和下电极(例如,ESC 106的基板110)中的一个。上电极104和基板110中的另一个可以是DC接地的、AC接地的或浮动的。仅举例而言,RF产生***120可以包括RF电压产生器122,其产生RF电压,该RF电压由匹配和分配网络124馈送到上电极104或基板110。在其他示例中,可以感应或远程生成等离子体。
气体输送***130包括一个或多个气体源132-1、132-2、…和132-N(统称为气体源132),其中N是大于零的整数。气体源提供一种或多种沉积前体及其混合物。气体前体可以包括用于HfO2层、HfN层和/或其他层的前体气体。气体源还可以供应清扫气体和包含用于等离子体氮化的氮物质和/或用于其他等离子体处理的其他气体物质(例如,Ar、Ar/H2、NH3、O2、O3等)的气体。也可以使用汽化的前体。气体源132通过阀134-1、134-2、…和134-N(统称为阀134)和质量流量控制器136-1、136-2、…和136-N(统称为质量流量控制器136)与歧管138连接。歧管138的输出被供给到处理室102。仅举例而言,歧管138的输出被供给到喷头109。在一些示例中,在质量流量控制器136和歧管138之间可以提供任选的臭氧产生器140。在一些示例中,衬底处理***100可以包括液体前体输送***141。液体前体输送***141可以如图所示并入气体输送***130内,或者可以在气体输送***130外部。液体前体输送***141被配置为经由鼓泡器、直接液体注入、蒸气抽吸等提供在室温下为液体和/或固体的前体。
温度控制器142可以连接到多个布置在加热板112中的热控制元件(TCE)144。例如,TCE 144可以包括但不限于对应于多区域加热板中的每个区域的相应的大TCE和/或跨多区域加热板的多个区域设置的微TCE阵列,如图2A和图2B中更详细描述的。温度控制器142可以用于控制多个加热元件144,以控制ESC 106和衬底108的温度。
温度控制器142可以与冷却剂组件146(例如,包括冷却剂泵、冷却剂贮存器或冷却剂源)连通以控制流过通道116的冷却剂流。温度控制器142操作冷却剂组件146以选择性地使冷却剂流过通道116以冷却ESC 106。
阀150和泵152可用于从处理室102排空反应物。***控制器160可用于控制衬底处理***100的部件。机械手170可用于将衬底输送到ESC 106上,和从衬底支撑件106去除衬底。例如,机械手170可以在ESC106和加载锁172之间传送衬底。虽然温度控制器142示出为单独的控制器,但是温度控制器142可以在***控制器160内实现。
现在参考图2A、2B、2C、2D、2E和2F,示出了用于在设备200中形成基于(HfO2)的铁电材料的示例性工艺。在图2A中,设备200包括衬底(例如,一个或多个下伏层)204和布置在下伏层204上的界面层208。例如,下伏层204包含硅(Si)。在一些示例中,界面层208对应于包含氮化钛(TiN)、氮化钽(TaN)或钨(W)的底部电极,但是可以使用其他电极材料。其他示例包括但不限于铂(Pt)、金(Au)、钯(Pd)、铝(Al)、钼(Mo)、镍(Ni)、钛(Ti)等。在其他示例中,界面层208可以包含二氧化硅(SiO2)或氮氧化硅(SiON)。在一些示例中,使用原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)来沉积界面层208。在其他示例中,可以经由Si的热氧化来形成界面层208。例如,可以通过以下方式来形成界面层208:在具有氮物质(例如,N2O或N2)的氧环境中对Si进行热氧化以形成SiON,对SiO2进行等离子体氮化,等等。
如图2B所示,在界面层208上沉积HfO2层212。在一些示例中,沉积的HfO2层212的厚度在2nm至12nm的范围内。在一些示例中,使用选自由硅(Si)、铝(Al)、钇(Y)、锆(Zr)和/或镧(La)组成的组的掺杂剂物质来对HfO2层212进行掺杂。在一些示例中,使用原子层沉积(ALD)来沉积HfO2层212,但是可以使用其他工艺。例如,可以使用热ALD或等离子体增强的ALD。在一些示例中,HfO2层212是未掺杂的。在其他示例中,HfO2层212被掺杂到预定的掺杂水平,该预定的掺杂水平是所选掺杂剂物质的从大于0mol%到小于或等于60mol%。在一些示例中,将HfO2层212掺杂到所选掺杂剂物质的3mol%至5mol%的预定掺杂水平。HfO2层212可以是非晶形的。
可以任选地执行对HfO2层212的等离子体处理。例如,HfO2层212被包含氮气物质的等离子体氮化。例如,可以使用分子氮(N2)气体。在一些示例中,在15s到60s的范围内的预定时间段内进行氮化。在一些示例中,RF功率可以在100W到15kW的范围内。在一些示例中,等离子体功率在500W到1200W的范围内。在一些示例中,RF频率可以在1MHz到15MHz的范围内。在一些示例中,RF频率是2.0MHz和/或13.56MHz。
在等离子体处理之后,如图2C所示,在HfO2层212上沉积顶部电极216。在一些示例中,顶部电极216包括TiN、TaN或W,但是可以使用其他电极材料(例如,Pt、Au、Pd、Al、Mo、Ni、Ti等)。在一些示例中,使用原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)来沉积顶部电极216。在沉积顶部电极216之后,将设备200在500℃至1100℃的预定温度下退火。在其他示例中,退火温度在800℃至1000℃的范围内。
在退火之后,如图2D、2E和2F所示对顶部电极216进行图案化。例如,如图2D所示,可以沉积掩模220。掩模220可以包含铂(Pt)。如图2E所示,使用湿法蚀刻或干法蚀刻来蚀刻顶部电极216。在一些示例中,如图2F所示,在蚀刻之后任选地去除掩模220。在其他示例中,不去除掩模。
现在参考图3A、3B、3C、3D、3E和3F,示出了根据本公开内容的在设备300中形成包括HfN的基于(HfO2)的铁电层的示例性工艺。在图3A中,设备300包括衬底(例如,一个或多个下伏层)304和布置在下伏层304上的界面层308。例如,下伏层304包含硅(Si)。在一些示例中,界面层308对应于包含氮化钛(TiN)、氮化钽(TaN)或钨(W)的底部电极,但是可以使用其他电极材料。其他示例包括但不限于铂(Pt)、金(Au)、钯(Pd)、铝(Al)、钼(Mo)、镍(Ni)、钛(Ti)等。在其他示例中,界面层308可以包含二氧化硅(SiO2)或氮氧化硅(SiON)。在一些示例中,使用原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)来沉积界面层308。
如图3B所示,在界面层308上沉积包括一层或多层HfO2和一层或多层HfN的HfO2层(例如,铁电层)312。可以使用原子层沉积(ALD)沉积HfO2层312和一层或多层HfN,但是可以使用其他工艺。例如,可以使用热ALD或等离子体增强的ALD。HfO2层312可以是非晶形的。在一些示例中,HfO2层312的顶层可以是HfN层。在其他示例中,HfN层可以布置在相邻的HfO2层之间。在一些示例中,包括一个或多个HfN层的沉积的HfO2层312的厚度在2nm至12nm的范围内。
在一些示例中,使用选自由硅(Si)、铝(Al)、钇(Y)、锆(Zr)、g(Gd)、锶(Sr)和/或镧(La)组成的组的掺杂剂物质来对HfO2层312掺杂。例如,HfO2层312被掺杂到预定的掺杂水平,该预定的掺杂水平是所选掺杂剂物质的大于0mol%到小于或等于60mol%。在一些示例中,将HfO2层312掺杂到所选掺杂剂物质的3mol%至5mol%的预定掺杂水平。在其他示例中,HfO2层312可以是非掺杂的。
可以任选地执行对HfO2层312的等离子体处理。例如,HfO2层312被包含氮气物质的等离子体氮化。例如,可以使用分子氮(N2)气体。在一些示例中,在15s到60s的范围内的预定时间段内进行氮化。在一些示例中,RF功率可以在100W到15kW的范围内。在一些示例中,等离子体功率在500W到1200W的范围内。在一些示例中,RF频率可以在1MHz到15MHz的范围内。在一些示例中,RF频率是2.0MHz和/或13.56MHz。在其他示例中,可以用包括诸如分子氧(O2)或臭氧(O3)之类的氧气物质的等离子体处理HfO2层312,以对HfN的性质进行改性。
在等离子体处理之后,如图3C所示,在HfO2层312上沉积顶部电极316。在一些示例中,顶部电极316包括TiN、TaN或W,但是可以使用其他电极材料(例如,Pt、Au、Pd、Al、Mo、Ni、Ti等)。在一些示例中,使用原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)来沉积顶部电极316。在沉积顶部电极316之后,将设备300在500℃至1100℃的预定温度下退火。在其他示例中,退火温度在800℃至1000℃的范围内。
在退火之后,如图3D、3E和3F所示对顶部电极216进行图案化。例如,如图3D所示,可以沉积掩模320。可以使用剥离、阴影掩膜或其他合适的图案化方法来图案化掩模320。掩模320可以包含铂(Pt)或另一惰性金属。如图3E所示,使用湿法蚀刻或干法蚀刻来蚀刻顶部电极316。在一些示例中,如图3F所示,在蚀刻(例如,使用湿法蚀刻或干法蚀刻)之后任选地去除掩模320。在其他示例中,不去除掩模。
现在参考图4A和图4B,示出了根据本公开内容形成的示例性设备400。设备400包括衬底(例如,一个或多个下伏层)404和布置在下伏层404上的界面层408。包括HfO2层416和HfN层420的铁电层412形成在界面层408上。顶部电极424形成在铁电层412上。在一些示例中,HfO2层416被掺杂(例如,用Si、Al、Yt、Zr、La、Gd、Sr等)。如图4A和图4B所示,HfN层420是铁电层412的顶层(即,上表面)。
可以使用ALD工艺沉积HfO2层416和HfN层420。ALD工艺可以对应于在NH3、N2或N2/H2气体环境中使用氨(NH3)共反应物或等离子体工艺的热工艺。在一些示例中(例如,在将NH3用作共反应物的示例中),HfN层420可以包括残留的氢原子。残留的氢原子可能会在退火过程中引起缺陷,从而导致高漏电流和低热稳定性。因此,在一些示例中,可以用包括诸如分子氧(O2)或臭氧(O3)之类的氧气物质的等离子体处理HfN层420以减少HfN层420的氢含量。该氧化部分氧化了HfN层420,以减少HfN层420中的氢含量。以这种方式,可以减少或消除与HfN层420相关的漏电流的增加。例如,HfN层的氧化可以将泄漏电流减小90%,而不会改变剩余极化。在图4A所示的示例中,在HfN层420上不执行氧化。在图4B所示的示例中,在HfN层420上执行氧化。
现在参考图5A和图5B,示出了根据本公开内容形成的另一示例性设备500。设备500包括衬底(例如,一个或多个下伏层)504和布置在下伏层504上的界面层508。包括第一HfO2层516、HfN层520和第二HfO2层524的铁电层512形成在界面层508上。顶部电极528形成在铁电层512上。在一些示例中,HfO2层516和524被掺杂(例如,用Si、Al、Yt、Zr、La、Gd、Sr等)。如图5A和图5B所示,HfN层420布置在HfO2层516和524之间。在其他示例中,可以沉积多个HfN层420(例如,与相邻的HfO2层交替)。当HfN层420沉积在HfO2层516和524之间时,如图5A和5B所示,剩余极化增加(例如,增加25%)。在图5A所示的示例中,在HfN层520上不执行氧化。在图5B所示的示例中,在HfN层520上执行氧化(例如,如上文在图4B中所述)。
现在参考图6,根据本公开的用于形成包含HfN层的铁电HfO2层的示例性方法600开始于604。在608,提供了衬底。例如,包含一个或多个下伏层的衬底被布置在衬底处理室中的衬底支撑件上。在612,界面层沉积在衬底上。界面层可以包括二氧化硅(SiO2)或氮氧化硅(SiON),和/或可以对应于包含氮化钛(TiN)、氮化钽(TaN)或钨(W)的底部电极。可以使用原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)来沉积界面层。在616,将掺杂或未掺杂的HfO2层沉积在界面层上(例如,使用ALD)。在620处,可以任选地执行对HfO2层的等离子体处理。例如,HfO2层可以被包含氮气物质的等离子体氮化。
在624,将HfN层沉积在HfO2层上。例如,可以使用原子层沉积(ALD)来沉积HfN层。在628处,任选地在HfN层上执行氧化。在632,方法600确定是否沉积另一HfO2层。如果为是,则方法600继续至636。如果为否,则方法600继续至640。在636,将HfO2层沉积在HfN层上,并且任选地用等离子体处理。在644,方法600确定是否沉积另一HfN层。如果为是,则方法600继续至624。如果为否,则方法600继续至640。
在640,将顶部电极(例如,TiN、TaN或W)沉积在HfO2层上。例如,使用原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)沉积顶部电极。在648,将衬底、界面层、HfO2层、HfN层和顶部电极在500℃至1100℃(例如,800℃至1000℃)的范围内的预定温度下退火以形成铁电HfO2。可以在652处图案化顶部电极(例如,可以在顶部电极上图案化掩模)并在656处蚀刻。方法600在660处结束。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个,可以在任何其它实施方式的特征中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是***的一部分,该***可以是上述示例的一部分。这样的***可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流***等)。这些***可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个***的各种部件或子部件。根据处理要求和/或***类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体***连接或通过接口连接的加载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或***上或针对半导体晶片或***执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与***集成、耦合到***、以其它方式联网到***或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机***的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对***的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向***提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到***。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例可以是在与远程定位(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例***可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理***。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (24)

1.一种在衬底处理***中形成铁电氧化铪(HfO2)的方法,该方法包括:
在衬底上沉积HfO2层;
在所述HfO2层上沉积氮化铪(HfN)层;以及
使所述HfO2层和所述HfN层退火以形成铁电铪HfO2
2.根据权利要求1所述的方法,其还包括在所述HfN层上沉积第二HfO2层。
3.根据权利要求1所述的方法,其还包括在所述HfN层上执行氧化。
4.根据权利要求3所述的方法,其中在所述HfN层上执行所述氧化包括使用分子氧和臭氧中的至少一种来执行所述氧化。
5.根据权利要求1所述的方法,其还包括在沉积所述HfN层之前氮化所述HfO2层。
6.根据权利要求5所述的方法,其中氮化所述HfO2层包括使用氮气物质产生等离子体。
7.根据权利要求1所述的方法,其还包括在所述退火之前在所述HfN层上沉积顶部电极。
8.根据权利要求7所述的方法,其中,所述顶部电极包括选自由钛、钽和钨组成的组中的材料。
9.根据权利要求1所述的方法,其还包括在所述HfN层上沉积第二HfO2层以及在所述HfN层上沉积顶部电极。
10.根据权利要求1所述的方法,其还包括在所述衬底上沉积底部电极以及在所述底部电极上沉积所述HfO2层。
11.根据权利要求1所述的方法,其中,使用选自由硅(Si)、铝(Al)、钇(Y)、锆(Zr)和/或镧(La)组成的组中的掺杂剂物质来对所述HfO2层掺杂。
12.根据权利要求1所述的方法,其中,使所述HfO2层和所述HfN层退火包括在500℃和1100℃之间的温度下执行快速热退火工艺。
13.一种被配置为在处理室中的衬底上形成铁电氧化铪(HfO2)的***,该***包括:
气体输送***,其被配置为向所述处理室供应气体;
射频(RF)产生***,其被配置为在所述处理室内产生等离子体;和
控制器,其被配置为通过控制所述气体输送***和所述RF产生***以,
在所述衬底上沉积HfO2层,
在所述HfO2层上沉积氮化铪(HfN)层,以及
使所述HfO2层和所述HfN层退火以形成铁电铪HfO2
14.根据权利要求13所述的***,其中,所述控制器还被配置为在所述HfN层上沉积第二HfO2层。
15.根据权利要求13所述的***,其中,所述控制器还被配置为在所述HfN层上执行氧化。
16.根据权利要求15所述的***,其中,在所述HfN层上执行所述氧化包括使用分子氧和臭氧中的至少一种来执行所述氧化。
17.根据权利要求13所述的***,其中,所述控制器还被配置为在沉积所述HfN层之前氮化所述HfO2层。
18.根据权利要求17所述的***,其中氮化所述HfO2层包括使用氮气物质产生等离子体。
19.根据权利要求13所述的***,其中,所述控制器还被配置为在所述退火之前在所述HfN层上沉积顶部电极。
20.根据权利要求19所述的***,其中,所述顶部电极包含选自由钛、钽和钨组成的组中的材料。
21.根据权利要求13所述的***,其中,所述控制器还被配置为在所述HfN层上沉积第二HfO2层,并且在所述HfN层上沉积顶部电极。
22.根据权利要求13所述的***,其中,所述控制器还被配置为在所述衬底上沉积底部电极,并且在所述底部电极上沉积所述HfO2层。
23.根据权利要求13所述的***,其中,使用选自由硅(Si)、铝(Al)、钇(Y)、锆(Zr)和/或镧(La)组成的组中的掺杂剂物质对所述HfO2层掺杂。
24.根据权利要求13所述的***,其中使所述HfO2层和所述HfN层退火包括在500至1100℃之间的温度下执行快速热退火工艺。
CN201980024522.6A 2018-04-02 2019-03-26 用氮化铪层使氧化铪铁电性能改性 Pending CN111971802A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862651454P 2018-04-02 2018-04-02
US62/651,454 2018-04-02
PCT/US2019/023987 WO2019195024A1 (en) 2018-04-02 2019-03-26 Modifying ferroelectric properties of hafnium oxide with hafnium nitride layers

Publications (1)

Publication Number Publication Date
CN111971802A true CN111971802A (zh) 2020-11-20

Family

ID=68101163

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980024522.6A Pending CN111971802A (zh) 2018-04-02 2019-03-26 用氮化铪层使氧化铪铁电性能改性

Country Status (5)

Country Link
US (1) US11923404B2 (zh)
JP (1) JP7307745B2 (zh)
KR (1) KR102649015B1 (zh)
CN (1) CN111971802A (zh)
WO (1) WO2019195024A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113178477A (zh) * 2021-03-10 2021-07-27 中国科学院微电子研究所 一种HfO2基铁电薄膜及其沉积方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102645021B1 (ko) 2019-03-06 2024-03-06 삼성전자주식회사 반도체 장치

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060189154A1 (en) * 2005-02-23 2006-08-24 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
CN1934685A (zh) * 2004-05-21 2007-03-21 应用材料股份有限公司 高介电常数介电材料的稳定化方法
US20130034947A1 (en) * 2011-08-05 2013-02-07 Intermolecular, Inc. Atomic layer deposition of metal oxides for memory applications
US20170110335A1 (en) * 2015-10-15 2017-04-20 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
CN107134487A (zh) * 2017-06-06 2017-09-05 湘潭大学 一种基于氧化铪的铁电栅结构及其制备工艺
CN107146759A (zh) * 2017-05-04 2017-09-08 湘潭大学 一种基于离子注入掺杂的氧化铪铁电栅制备方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
KR100963132B1 (ko) * 2008-04-03 2010-06-15 한양대학교 산학협력단 멀티비트 강유전체 기억소자
US20110189860A1 (en) 2010-02-02 2011-08-04 Applied Materials, Inc. Methods for nitridation and oxidation
JP6042415B2 (ja) * 2012-04-05 2016-12-14 東京エレクトロン株式会社 半導体デバイスの製造方法
TW201408810A (zh) 2012-07-12 2014-03-01 Applied Materials Inc 用於沉積貧氧金屬膜的方法
JP2015157280A (ja) * 2014-01-21 2015-09-03 古河電気工業株式会社 アモルファス化合物ゲル、アモルファス化合物ゲルの製造方法、酸化物結晶体の製造方法、金属結晶体の製造方法、酸化物結晶体、及び金属結晶体
JP6096902B2 (ja) 2014-03-17 2017-03-15 株式会社東芝 半導体装置及び半導体装置の製造方法
WO2015141625A1 (ja) 2014-03-17 2015-09-24 株式会社 東芝 不揮発性記憶装置
DE102017200678B4 (de) * 2016-01-19 2019-06-27 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Herstellung einer Mikroelektronikschaltung sowie entsprechende Mikroelektronikschaltung
US20170345831A1 (en) * 2016-05-25 2017-11-30 Micron Technology, Inc. Ferroelectric Devices and Methods of Forming Ferroelectric Devices
US11631580B2 (en) * 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
CN107170828B (zh) * 2017-06-08 2021-05-18 湘潭大学 一种铁电场效应晶体管及其制备方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1934685A (zh) * 2004-05-21 2007-03-21 应用材料股份有限公司 高介电常数介电材料的稳定化方法
US20060189154A1 (en) * 2005-02-23 2006-08-24 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US20130034947A1 (en) * 2011-08-05 2013-02-07 Intermolecular, Inc. Atomic layer deposition of metal oxides for memory applications
US20170110335A1 (en) * 2015-10-15 2017-04-20 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
CN107146759A (zh) * 2017-05-04 2017-09-08 湘潭大学 一种基于离子注入掺杂的氧化铪铁电栅制备方法
CN107134487A (zh) * 2017-06-06 2017-09-05 湘潭大学 一种基于氧化铪的铁电栅结构及其制备工艺

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113178477A (zh) * 2021-03-10 2021-07-27 中国科学院微电子研究所 一种HfO2基铁电薄膜及其沉积方法
CN113178477B (zh) * 2021-03-10 2022-07-22 中国科学院微电子研究所 一种HfO2基铁电薄膜及其沉积方法

Also Published As

Publication number Publication date
US11923404B2 (en) 2024-03-05
KR20200130469A (ko) 2020-11-18
JP7307745B2 (ja) 2023-07-12
KR102649015B1 (ko) 2024-03-18
WO2019195024A1 (en) 2019-10-10
JP2021520629A (ja) 2021-08-19
US20210028273A1 (en) 2021-01-28

Similar Documents

Publication Publication Date Title
CN111033686B (zh) 用等离子体和/或热处理提高氧化铪基铁电材料性能的方法
TWI590329B (zh) 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法
KR100892789B1 (ko) 성막 처리 방법
CN101401194B (zh) 使用低能量等离子体***制造高介电常数晶体管栅极的方法和装置
TWI815891B (zh) 薄膜及沉積薄膜的方法
US6177305B1 (en) Fabrication of metal-insulator-metal capacitive structures
JP7354138B2 (ja) 酸化ハフニウム系強誘電材料のためのキャップ層
CN107863289A (zh) 半导体装置的制造方法、基板处理装置和存储介质
JP7307745B2 (ja) 窒化ハフニウム層による酸化ハフニウムの強誘電特性の変更
KR101713336B1 (ko) 라이너의 제거 처리 방법
TW201820386A (zh) 鍺與矽化鍺奈米線裝置之形成方法
CN113366612A (zh) 用于先进半导体应用的低应力膜
CN111492092A (zh) 合金原子层沉积中前体的均质混合的***和方法
TWI838267B (zh) 薄膜及沉積薄膜的方法
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
US20230143204A1 (en) Plasma Enhanced Film Formation Method
CN114270476A (zh) 选择性碳沉积
KR20230054721A (ko) 게르마늄에 대한 확산 배리어들

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination