CN114270476A - 选择性碳沉积 - Google Patents

选择性碳沉积 Download PDF

Info

Publication number
CN114270476A
CN114270476A CN202080059201.2A CN202080059201A CN114270476A CN 114270476 A CN114270476 A CN 114270476A CN 202080059201 A CN202080059201 A CN 202080059201A CN 114270476 A CN114270476 A CN 114270476A
Authority
CN
China
Prior art keywords
carbon
substrate
layer
plasma
onon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080059201.2A
Other languages
English (en)
Inventor
阿维尼什·古普塔
阿德里安·拉沃伊
巴特·J·范施拉芬迪克
萨曼莎·西亚姆华·坦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN114270476A publication Critical patent/CN114270476A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/16Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering
    • H10K71/166Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering using selective deposition, e.g. using a mask

Abstract

一种在处理室中将碳沉积在衬底上的方法包括:将所述衬底布置在所述处理室中的衬底支撑件上。所述衬底包括形成在所述衬底的至少一个下伏层上的具有第一厚度的碳膜。所述方法还包括实施第一蚀刻步骤以蚀刻所述衬底从而在衬底上形成特征,去除部分所述碳膜并且减小所述碳膜的所述第一厚度;选择性地将碳沉积到所述碳膜的剩余部分上;以及实施至少一个第二蚀刻步骤以蚀刻所述衬底,从而完成在所述衬底上形成所述特征。

Description

选择性碳沉积
相关申请的交叉引用
本申请要求于2019年6月24日申请的美国临时申请No.62/865,566的优先权。上述引用的申请其全部公开内容都通过引用合并于此。
技术领域
本公开涉及在原子层沉积衬底处理室中的选择性碳沉积。
背景技术
这里提供的背景描述是为了总体呈现本公开内容的背景。当前指定的发明人的工作(在此背景技术部分描述的范围内以及在提交申请时不能确定为现有技术的说明书的各方面的范围内)既不明确也不暗示地承认是针对本公开内容的现有技术。
衬底处理***可用于处理衬底,例如半导体晶片。衬底处理的示例包含蚀刻、沉积、光致抗蚀剂去除等。在处理期间,衬底被布置在衬底支撑件例如静电卡盘上,并且可以将一或多种处理气体导入处理室。
可以通过气体输送***将一种或多种处理气体输送到处理室。在一些***中,气体输送***包括通过一个或多个导管连接到位于处理室中的喷头的歧管。在一些示例中,工艺使用原子层沉积(ALD)在衬底上沉积薄膜。可以在同一衬底上实施各种交替的蚀刻和沉积循环。
在其他特征中,所述至少一个下伏层包含硅、二氧化硅和氮化硅中的至少一种。所述衬底包括形成在所述至少一个下伏层上的交替氧化物-氮化物(ONON)层,并且所述碳膜形成在所述ONON层上。形成所述特征包括在所述ONON层中形成ONON柱。所述碳膜是无定形硬掩模(AHM)膜。所述第一厚度小于或等于1μm。
在其他特征中,选择性地沉积所述碳包括:使用原子层沉积(ALD)工艺来沉积所述碳。实施所述ALD工艺包括在第一时段的配料步骤中将至少一种含碳前体气体供应到所述处理室中,在第二时段的净化步骤中净化所述处理室,以及在第三时段的等离子体步骤中在所述处理室中产生等离子体。实施所述ALD工艺包括重复交替所述配料步骤、所述净化步骤和所述等离子体步骤。产生所述等离子体包括:在不供应所述至少一种含碳前体气体的情况下,在将等离子体处理气体供应到所述处理室中的同时产生所述等离子体。
在其他特征中,在所述衬底上沉积碳种子层并且将所述碳膜沉积到所述碳种子层上。所述碳种子层包括氟化碳(CFx),其中x是整数。沉积所述碳种子层包括:使用CVD或PECVD工艺来沉积所述碳种子层。沉积所述碳种子层包括:将含碳前体气体供应到所述处理室中。所述含碳前体气体包括四溴化碳(CBr4)、三溴甲烷(CHBr3)和三溴甲烷(CH2Br2)中的至少一种。
一种被配置为在处理室中将碳沉积在衬底上的***包括:气体输送***,其被配置为将处理气体供应到所述处理室中;射频(RF)等离子体产生***,其被配置为在所述处理室中产生等离子体;以及控制器。所述衬底包括形成在所述衬底的至少一个下伏层上的具有第一厚度的碳膜。所述控制器被配置为在所述衬底被布置在所述处理室中的衬底支撑件上的情况下:控制所述RF等离子体产生***以实施第一蚀刻步骤以蚀刻所述衬底,从而在所述衬底上形成特征,去除部分所述碳膜并且减小所述碳膜的所述第一厚度;控制所述气体输送***以选择性地将碳沉积到所述碳膜的剩余部分上;以及控制所述RF等离子体产生***以实施至少一个第二蚀刻步骤以蚀刻所述衬底,从而完成在所述衬底上形成所述特征。
在其他特征中,所述控制器被配置为控制所述气体输送***和所述RF等离子体产生***以实施原子层沉积(ALD)工艺来沉积所述碳。为了实施所述ALD工艺,所述控制器配置为:控制所述气体输送***以在第一时段的配料步骤中将至少一种含碳前体气体供应到所述处理室中,在第二时段的净化步骤中净化所述处理室,以及控制所述RF等离子体产生***以在第三时段的等离子体步骤中在所述处理室中产生等离子体。
在其他特征中,所述控制器被配置为控制所述气体输送***和所述RF等离子体产生***以在所述衬底上沉积碳种子层并将所述碳膜沉积到所述碳种子层上。沉积所述碳种子层包括:将含碳前体气体供应到所述处理室中以使用CVD或PECVD工艺来沉积所述碳种子层。所述含碳前体气体包括四溴化碳(CBr4)、三溴甲烷(CHBr3)和三溴甲烷(CH2Br2)中的至少一种。
发明内容
一种在处理室中将碳沉积在衬底上的方法包括:将所述衬底布置在所述处理室中的衬底支撑件上。所述衬底包括形成在所述衬底的至少一个下伏层上的具有第一厚度的碳膜。所述方法还包括实施第一蚀刻步骤以蚀刻所述衬底从而在所述衬底上形成特征,去除部分所述碳膜并且减小所述碳膜的所述第一厚度;选择性地将碳沉积到所述碳膜的剩余部分上;以及实施至少一个第二蚀刻步骤以蚀刻所述衬底,从而完成在所述衬底上形成所述特征。
根据详细描述、权利要求和附图,本公开内容的其他适用范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开内容的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1为根据本公开内容的衬底处理***示例的功能框图;
图2A、2B、2C和2D示出了示例性碳掩模沉积工艺;
图3A、3B、3C、3D、3E和3F示出了根据本公开内容的示例性的选择性碳沉积工艺;
图4A、4B、4C、4D和4E示出了根据本公开内容的另一示例性的选择性碳沉积工艺;
图5A、5B和5C示出了根据本公开内容的示例性保形碳ALD工艺;
图6A、6B和6C示出了根据本公开内容的用于沉积碳保护层的示例性工艺;
图7A和7B示出了根据本公开内容的用于减小形成在衬底上的特征的间距的示例性工艺;
图8A、8B、8C和8D示出了根据本公开内容的使用保形碳沉积的示例性双重图案化工艺;以及
图9示出了根据本公开内容的实施选择性碳沉积工艺的示例性方法的步骤。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
沉积工艺可用于在下伏层或衬底上沉积膜(例如无定形碳膜)。在一些示例中,可以沉积膜作为掩模以在随后的图案蚀刻步骤期间保护衬底的特征。例如,在一些图案化工艺(例如,存储器孔或其他氧化物-氮化物(ONON)图案化)中,无定形碳硬掩模(AHM)膜可以沉积到衬底上以在各向异性蚀刻步骤期间保护特征。
AHM膜在选择性蚀刻步骤期间保护形成在衬底上的特征(例如,ONON柱或堆叠件)的顶部。但是,蚀刻步骤还是会从AHM膜上移除材料。因此,为了深度蚀刻(即,为了更高的ONON堆叠件),必须增加沉积的AHM膜的厚度以承受更长的蚀刻时间。与较厚的AHM膜相关的增重可能会导致衬底和/或ONON特征弯曲。在其他示例中,可以使用光致抗蚀剂膜来限定图案的间距。但是,当使用光致抗蚀剂膜时,可能难以进一步缩小间距。
根据本公开内容的***和方法选择性地将碳(例如,使用原子层沉积或ALD)沉积到先前沉积的碳膜上。例如,可以使用化学气相沉积(CVD)将碳膜沉积在包含硅(Si)、二氧化硅(SiO2)、氮化硅(SiN)等的衬底或下伏层上,和/或在一些示例中,可以作为先前步骤中蚀刻含碳膜的结果,附带地沉积碳膜。在第一蚀刻时段之后,可以(例如,使用ALD)在选择性碳生长步骤中将碳再沉积到碳膜上。例如,选择性碳生长步骤可以仅仅将碳沉积到先前沉积的碳膜的剩余部分上,而不沉积到其他(例如,Si、SiO2、SiN等)特征上。可以通过各向同性蚀刻去除沉积到其他表面上的任何少量碳。可以根据需要实施附加的碳沉积。例如,可以实施交替碳沉积步骤和蚀刻步骤。以这种方式,由碳膜提供的蚀刻保护量可以延伸用于附加的蚀刻时段,而不增加沉积的AHM膜的初始厚度。
现在参考图1,示出了配置为根据本公开内容的原理实施选择性碳沉积的一种示例性衬底处理***100。衬底处理***100包括布置在处理室108内的衬底支撑件(例如,基座)104。衬底112布置在衬底支撑件104上以进行处理。例如,可以在衬底112上实施包括沉积和蚀刻步骤的处理。
气体输送***120被配置成使处理气体流入处理室108中。例如,气体输送***120包含气体源122-1、122-2、...和122-N(统称为气体源122),其连接至阀124-1、124-2、…和124-N(统称为阀124)以及质量流量控制器126-1、126-2、…和126-N(统称为MFC 126)。MFC126控制由气体源122至歧管128的气体流动,气体在歧管128处进行混合。歧管128的输出经由可选的压力调节器132供应至气体分配装置,例如多注入器喷头140。
在一些示例中,可以使用电阻加热器160来控制衬底支撑件104的温度。衬底支撑件104可以包含冷却剂通道164。从流体贮存器168和泵170将冷却流体供应至冷却剂通道164。可以将压力传感器172、174分别布置于歧管128或喷头140中,以测量压力。阀178和泵180可以用于从处理室108中排空反应物,和/或控制处理室108内的压力。
控制器182控制来自气体输送***120的气体输送。在一些示例中,控制器182可以包含剂量控制器184,其控制由多注入器喷头140提供的配料。控制器182利用阀178和泵180来控制处理室中的压力和/或排空反应物。控制器182基于温度反馈(例如来自衬底支撑件中的传感器(未示出)和/或测量冷却剂温度的传感器(未示出))来控制衬底支撑件104和衬底112的温度。根据本公开内容的控制器182被配置为控制气体输送***120以实施下文更详细描述的选择性碳沉积。
在一些示例中,衬底处理***100可以被配置成在同一处理室108内(例如,响应于控制器182)在衬底112上实施蚀刻。因此,衬底处理***100可以包含RF产生***188,其被配置成产生RF功率(例如,作为电压源、电流源等)并将其提供至下电极(例如,衬底支撑件104的基板,如图所示)和上电极(例如,喷头140)中的一者。下电极和上电极中的另一者可以是直流接地、交流接地或浮动的。仅举例而言,RF产生***188可以包含RF产生器192,其被配置成产生RF电压,该RF电压通过匹配和分配网络196来馈送,以便在处理室108内产生等离子体,从而对衬底112进行蚀刻。在其他示例中,可感应地或远程地产生等离子体。虽然(如示例性目的所显示的那样)RF产生***188对应于电容耦合式等离子体(CCP)***,但是本公开内容的原理也可以在其他合适***中实施,例如(仅举例而言)变压器耦合式等离子体(TCP)***、CCP阴极***、远程微波等离子体产生和输送***等。
现在参考图2A、2B、2C和2D,其示出了示例性的碳掩模沉积工艺。图2A示出了被提供用于处理的示例性衬底200。例如,衬底200可以包括一个或多个下伏层204。下伏层204可以包括Si、SiO2、SiN等。图2B示出了沉积在下伏层204上的交替ONON层208。图2C示出了沉积在ONON层208上的AHM层212(例如,碳AHM膜)。图2D示出了通过蚀刻ONON层208形成的ONON特征216(例如,ONON柱或堆叠件)。AHM层212保护在选择性蚀刻步骤期间形成的ONON特征216的顶部。如图所示,初始AHM层212足够厚(例如,大于2μm)以承受蚀刻直到ONON特征216的蚀刻完成。
现在参考图3A、3B、3C、3D、3E和3F,示出了根据本公开内容的示例性的选择性碳沉积工艺。图3A示出了被提供用于处理的示例性衬底300。例如,衬底300可以包括一个或多个下伏层304。下伏层304可以包括Si、SiO2、SiN等。图3B示出了沉积在下伏层304上的交替ONON层308。
图3C示出了(例如,使用CVD或等离子体增强CVD(PECVD)工艺)沉积在ONON层308上的AHM层312(例如,碳AHM膜)。在该示例中,AHM层312的厚度明显小于图2C中所示的AHM层212的厚度(并且如320所示)。例如,AHM层312的厚度可以是AHM层212的厚度的50%或更小(例如,1μm或更小)。图3D示出了通过蚀刻ONON层308形成的ONON特征316。AHM层312保护在选择性蚀刻步骤期间形成的ONON特征316的顶部。
图3E示出了(例如,使用如下文更详细描述的ALD工艺)再沉积到AHM层312上的附加碳材料。换言之,在图3D中实施的初始蚀刻之后,并且在完成ONON特征316的蚀刻之前,将碳选择性地再沉积到AHM层312上以增加AHM层312的厚度。例如,在选择性碳生长步骤中,选择性地再沉积碳使得碳沉积到AHM层312的剩余部分上,而不沉积到ONON特征316或ONON层308上。沉积到ONON特征316或ONON层308上的任何碳都可以在随后的各向异性蚀刻步骤中被去除。
以这种方式,增加AHM层312的厚度以补偿在蚀刻期间损失的材料。图3F示出了附加蚀刻之后的ONON特征316。可以根据需要重复图3E和3F中所示的在AHM层312上交替再沉积碳和蚀刻所述ONON特征316,以完成将ONON特征316蚀刻到期望的深度。
在一个示例中,实施ALD工艺,以便通过在第一时段(例如,持续5-20秒)的配料步骤中将一种或多种碳前体气体(例如,包括烃类物质(CxHy)(诸如乙炔或C2H2)气体)配料提供到处理室108中来选择性沉积AHM层312。可以在随后的第二时段(例如,持续1-10秒)中(例如,使用氩气或Ar气)实施净化步骤。在净化步骤之后的第三时段(例如,从0.1到1.0秒)中实施RF等离子体步骤。可以在RF等离子体步骤期间提供等离子体处理气体(例如,Ar气体),而不提供前体气体。换言之,可以在Ar气体流动的同时在处理室108中产生等离子体,但在净化步骤之后不提供附加的前体气体。因此,在RF等离子体步骤期间沉积了相对少量的碳(例如,1-2埃)。可以在第四时段(例如,从1到10秒)实施第二净化步骤,以从处理室108清除副产物。
可以重复多次配料、净化和RF等离子体步骤(例如,200-300个循环),以如图3C和3E中所示的那样选择性地沉积碳。在一些示例中,该选择性碳沉积工艺使得在AHM层312上沉积30-50nm的碳,而在Si、SiO2或SiN层上仅沉积0-3.0nm的碳。
图4A、4B、4C、4D和4E示出了根据本公开内容的另一示例性的选择性碳沉积工艺。在该示例中,沉积了碳成核或种子层,并且(例如,使用ALD)将附加的碳材料选择性沉积到碳种子层上。图4A示出了一种示例性衬底400,其包括一个或多个下伏层404(例如,Si、SiO2、SiN等)、沉积在下伏层404上的交替ONON层408和沉积在ONON层408上的AHM层412(例如,碳AHM膜)。例如,碳种子层414沉积在ONON层408上并且AHM层412沉积在碳种子层414上。在一些示例中,碳种子层414可以对应于保形沉积到ONON层408上的CFx层。AHM层412的碳选择性地沉积到CFx层上。
在一些示例中,可以使用CVD或PECVD工艺来沉积碳种子层414。碳种子层414可以具有0.5到2埃的厚度。在一个示例中,溴化碳前体气体(例如,四溴化碳或CBr4)流入处理室108以沉积碳种子层414。其他示例性前体气体包括但不限于三溴甲烷(CHBr3)和三溴甲烷(CH2Br2)。
在一些示例中,通过使C2H2前体气体流入处理室108并且在RF等离子体步骤中产生等离子体,(例如,使用ALD)使碳选择性地沉积在碳种子层414上,以形成AHM层412。例如,可以在RF等离子体步骤期间提供诸如Ar气体之类的等离子体处理气体以使得碳选择性地沉积到碳种子层414上。能够以类似于图3A-3F中描述的方式在实施选择性碳沉积之前和/或之后实施可选的净化步骤。
图4B示出了通过蚀刻ONON层408形成的ONON特征416。AHM层412保护在选择性蚀刻步骤期间形成的ONON特征416的顶部。图4C示出了蚀刻已经将AHM层412向下去除到碳种子层414的示例。图4D示出了沉积在碳种子层414上以重新形成AHM层412的附加碳材料。换句话说,在图4B中实施的初始蚀刻之后,并且在完成ONON特征416的蚀刻之前,将碳选择性地再沉积到碳种子层414上以增加AHM层312的厚度。例如,在选择性碳生长步骤中(例如,使用ALD)选择性地再沉积碳使得碳沉积到碳种子层414和/或AHM层412的剩余部分上,而不沉积到ONON特征416或ONON层408上。沉积到ONON特征416或ONON层408上的任何碳都可以在随后的各向异性蚀刻步骤中被去除。
图4E示出了附加蚀刻之后的ONON特征416。可以根据需要重复图4D和4F中所述的在碳种子层414和/或AHM层412上交替再沉积碳和蚀刻ONON特征416,以完成将ONON特征416蚀刻到期望的深度。
图5A、5B和5C示出了根据本公开内容的示例性保形碳ALD工艺。图5A示出了包括一个或多个下伏层504和形成在下伏层上的图案特征(例如,堆叠件或柱)508的示例衬底500。下伏层504可以包括Si、SiO2、SiN等。仅举例而言,图案特征508可以对应于包含硅、氮化硅、氧化硅、ONON层等的特征。
图5B示出了沉积在图案特征508上的碳种子层512。例如,碳种子层512可以对应于使用PECVD工艺沉积的层。在一个示例中,PECVD工艺包括使一种或多种前体气体(例如,CBr4)流入处理室108并且产生等离子体,同时附加地使等离子体处理气体(例如氦(He)、分子氢(H2)等)流动。
图5C示出了在碳种子层512上形成的保形碳ALD层516。例如,通过将碳选择性地沉积到碳种子层512上来形成保形碳ALD层516。例如,通过使碳氢化合物前体例如C2H2气体流入处理室108并且在RF等离子体步骤中产生等离子体而使碳选择性地沉积在碳种子层512上。仅举例来说,可以在RF等离子体步骤期间提供诸如Ar气体之类的等离子体处理气体以使得碳选择性沉积到碳种子层512上。
图6A、6B和6C示出了根据本公开内容的用于沉积碳保护层的示例性工艺。图6A示出了一种示例衬底600,其包括一个或多个下伏层604、形成在下伏层604上的ONON层608和预先蚀刻到ONON层608中的ONON特征612。下伏层504可以包括Si、SiO2、SiN等。如图所示,可以在ONON特征612上形成碳掩模层(例如,碳AHM、金属掺杂的类金刚石碳(MDLC)层等)616的其余部分。碳掩模层616在蚀刻期间保护ONON特征612的上表面。
图6B示出了选择性地沉积在碳掩模层616、ONON层608的上表面和ONON特征612的侧壁624上的保形碳保护层620。例如,碳保护层620可以对应于使用ALD工艺沉积的层。在一个示例中,ALD工艺包括使一种或多种前体气体(例如,CBr4)流入处理室108并产生等离子体,同时附加地使等离子体处理气体(诸如氦(He)、分子氢(H2)等等)流入到处理室108中以保形地沉积碳保护层620。在其他示例中,碳保护层620不在单独的步骤中沉积。相反,碳保护层620可以由来自在蚀刻步骤期间再沉积的碳掩模层616的材料形成。
图6C示出了附加蚀刻之后的ONON特征612。蚀刻了保护ONON特征612的侧壁624的碳保护层620的部分。因此,相对于图6B,图6C所示的碳保护层620更薄。可以再沉积碳保护层620以用于附加的蚀刻步骤。以这种方式,可以重复交替沉积碳保护层620和蚀刻步骤,直到完成ONON特征612的蚀刻。
图7A和7B示出了根据本公开内容的用于减小形成在衬底700上的特征的间距的示例性工艺。图7A示出了衬底700的一种示例,该衬底700包括一个或多个下伏层,例如AHM704、蚀刻停止层(ESL)708等,以及形成在下伏层上的图案特征(例如,顶杆或间隔件)712。顶杆712可以包括可以在随后的蚀刻步骤中去除的任何合适的牺牲材料(例如,Si、SiO2等)。顶杆712根据间距716间隔开。
图7B示出了选择性地沉积到顶杆712上的保形碳层720。例如,保形碳层720可以对应于如上文在其他示例中描述的使用ALD工艺沉积的层。保形碳层720缩小了顶杆712之间的间隔,以形成新的缩小间距724。
图8A、8B、8C和8D示出了根据本公开内容的使用保形碳沉积的示例性双重图案化工艺。图8A示出了一种示例衬底800,其包括一个或多个下伏层804、缓冲层(例如,SiN或SiN2层)808和形成在下伏层上的图案特征(例如,顶杆或间隔件)812。顶杆812可以包括可以在随后的蚀刻步骤中去除的任何合适的牺牲材料(例如,Si、SiO2等)。
图8B示出了选择性地沉积到顶杆812上的保形(例如,无定形)碳层816。例如,保形碳层816可以对应于如上文在其他示例中描述的使用ALD工艺沉积的层。如图8C所示,随后可以从缓冲层808和顶杆812的上表面选择性地蚀刻(即,相对于SiO2、SiN2等)保形碳层816,同时碳层816的侧壁(例如,侧壁间隔件)820保留在衬底800上。在一些示例中,碳层816的蚀刻包括一个或多个灰化步骤。
如图8D所示,实施附加的蚀刻步骤以从侧壁间隔件820之间移除顶杆812。侧壁间隔件820保留在衬底800上以用于附加的处理步骤。
除了上面提供的示例之外,保形碳沉积也可以用于其他半导体处理步骤。例如,PECVD或其他ALD工艺可用于在衬底上保形沉积碳以填充(即,间隙填充)衬底中的空隙。
现在参考图9,根据本公开内容的实施选择性碳沉积工艺的示例性方法900开始于904。在908,衬底被布置在处理室中。例如,衬底可以包括一个或多个下伏层和沉积在下伏层上的交替ONON层。在912,可选的碳成核或种子层沉积到ONON层上。在916,将AHM层(例如,碳AHM膜)沉积到ONON层上(和/或碳种子层上)。在920,通过蚀刻ONON层形成ONON特征(例如,堆叠件或柱)。
在924处,(例如使用ALD工艺)将附加的碳材料选择性地沉积到AHM层上以取代在蚀刻步骤期间被去除的材料。在一种示例中,通过在第一时段(例如,持续5-20秒)的配料步骤中将一种或多种碳前体气体(例如乙炔或C2H2气体)配料提供到处理室中来实施AHM层的选择性碳沉积。可以在随后的第二时段(例如,持续1-10秒)中(例如,使用氩气或Ar气)实施净化步骤。在净化步骤之后的第三时段(例如,从0.1到1.0秒)中实施RF等离子体步骤。可以在第四时段(例如,从1到10秒)中实施第二净化步骤,以便从处理室清除副产物。可以多次重复配料、净化和RF等离子体步骤,以便选择性地沉积所需量的碳。
在928,实施附加的蚀刻以完成ONON特征。可以根据需要重复在924和928处实施的在AHM层上交替再沉积碳和蚀刻所述ONON特征,以完成将ONON特征蚀刻到期望的深度。方法900在932处结束。
前面的描述本质上仅仅是说明性的,绝不旨在限制本公开内容、其应用或用途。本公开内容的广泛教导能够以各种形式实现。因此,虽然本公开内容包括特定示例,但是本公开内容的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改方案将变得显而易见。应当理解的是,在不改变本公开内容的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方案在上面都被描述为具有某些特征,但是与本公开内容的任何实施方案相关描述的那些特征中的任何一个或多个,可以在任何其它实施方案的特征中实现和/或与任何其它实施方案的特征组合,即使该组合没有被明确描述过。换句话说,所描述的实施方案不是相互排斥的,并且一个或多个实施方案彼此的置换保持在本公开内容的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开内容中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是***的一部分,该***可以是上述示例的一部分。这样的***可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流动***等)。这些***可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个***的各种部件或子部件。依据处理要求和/或***类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体***连接或通过接口连接的装载锁。
概括地说,控制器可以被定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或***上或针对半导体晶片或***执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的晶粒的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与***集成、耦合到***、以其它方式联网到***或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机***的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对***的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,以改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向***提供处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到***。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制室上的处理。
示例***可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理***。
如上所述,依据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (20)

1.一种在处理室中将碳沉积在衬底上的方法,该方法包括:
将所述衬底布置在所述处理室中的衬底支撑件上,其中,所述衬底包括形成在所述衬底的至少一个下伏层上的具有第一厚度的碳膜;
实施第一蚀刻步骤以蚀刻所述衬底,从而在所述衬底上形成特征,其中,实施所述第一蚀刻步骤去除部分所述碳膜并且减小所述碳膜的所述第一厚度;
选择性地将碳沉积到所述碳膜的剩余部分上;以及
实施至少一个第二蚀刻步骤以蚀刻所述衬底,从而完成在所述衬底上形成所述特征。
2.根据权利要求1所述的方法,其中,所述至少一个下伏层包含硅、二氧化硅和氮化硅中的至少一种。
3.根据权利要求1所述的方法,其中,所述衬底包括形成在所述至少一个下伏层上的交替氧化物-氮化物(ONON)层,并且所述碳膜形成在所述ONON层上。
4.根据权利要求3所述的方法,其中,形成所述特征包括在所述ONON层中形成ONON柱。
5.根据权利要求1所述的方法,其中,所述碳膜是无定形硬掩模(AHM)膜。
6.根据权利要求1所述的方法,其中,所述第一厚度小于或等于1μm。
7.根据权利要求1所述的方法,其中,选择性地沉积所述碳包括:使用原子层沉积(ALD)工艺来沉积所述碳。
8.根据权利要求7所述的方法,其中,实施所述ALD工艺包括在第一时段的配料步骤中将至少一种含碳前体气体供应到所述处理室中,在第二时段的净化步骤中净化所述处理室,以及在第三时段的等离子体步骤中在所述处理室中产生等离子体。
9.根据权利要求8所述的方法,其中,实施所述ALD工艺包括重复交替所述配料步骤、所述净化步骤和所述等离子体步骤。
10.根据权利要求8所述的方法,其中,产生所述等离子体包括:在不供应所述至少一种含碳前体气体的情况下,在将等离子体处理气体供应到所述处理室中的同时产生所述等离子体。
11.根据权利要求1所述的方法,还包括在所述衬底上沉积碳种子层并且将所述碳膜沉积到所述碳种子层上。
12.根据权利要求11所述的方法,其中,所述碳种子层包括氟化碳(CFx),其中x是整数。
13.根据权利要求11所述的方法,其中,沉积所述碳种子层包括:使用CVD或PECVD工艺来沉积所述碳种子层。
14.根据权利要求11所述的方法,其中,沉积所述碳种子层包括:将含碳前体气体供应到所述处理室中。
15.根据权利要求14所述的方法,其中,所述含碳前体气体包括四溴化碳(CBr4)、三溴甲烷(CHBr3)和三溴甲烷(CH2Br2)中的至少一种。
16.一种被配置为在处理室中将碳沉积在衬底上的***,该***包括:
气体输送***,其被配置为将处理气体供应到所述处理室中;
射频(RF)等离子体产生***,其被配置为在所述处理室中产生等离子体;以及
控制器,在所述衬底被布置在所述处理室中的衬底支撑件上,其中所述衬底包括形成在所述衬底的至少一个下伏层上的具有第一厚度的碳膜的情况下,所述控制器被配置为:
控制所述RF等离子体产生***以实施第一蚀刻步骤以蚀刻所述衬底,从而在所述衬底上形成特征,其中,实施所述第一蚀刻步骤去除部分所述碳膜并且减小所述碳膜的所述第一厚度;
控制所述气体输送***以选择性地将碳沉积到所述碳膜的剩余部分上;以及
控制所述RF等离子体产生***以实施至少一个第二蚀刻步骤以蚀刻所述衬底,从而完成在所述衬底上形成所述特征。
17.根据权利要求16所述的***,其中,所述控制器被配置为控制所述气体输送***和所述RF等离子体产生***以实施原子层沉积(ALD)工艺来沉积所述碳,其中,为了实施所述ALD工艺,所述控制器配置为:
控制所述气体输送***以在第一时段的配料步骤中将至少一种含碳前体气体供应到所述处理室中,
在第二时段的净化步骤中净化所述处理室,以及
控制所述RF等离子体产生***以在第三时段的等离子体步骤中在所述处理室中产生等离子体。
18.根据权利要求16所述的***,其中,所述控制器被配置为控制所述气体输送***和所述RF等离子体产生***以在所述衬底上沉积碳种子层并将所述碳膜沉积到所述碳种子层上。
19.根据权利要求18所述的***,其中,沉积所述碳种子层包括:将含碳前体气体供应到所述处理室中以使用CVD或PECVD工艺来沉积所述碳种子层。
20.根据权利要求19所述的***,其中,所述含碳前体气体包括四溴化碳(CBr4)、三溴甲烷(CHBr3)和三溴甲烷(CH2Br2)中的至少一种。
CN202080059201.2A 2019-06-24 2020-06-22 选择性碳沉积 Pending CN114270476A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962865566P 2019-06-24 2019-06-24
US62/865,566 2019-06-24
PCT/US2020/038872 WO2020263718A1 (en) 2019-06-24 2020-06-22 Selective carbon deposition

Publications (1)

Publication Number Publication Date
CN114270476A true CN114270476A (zh) 2022-04-01

Family

ID=74060344

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080059201.2A Pending CN114270476A (zh) 2019-06-24 2020-06-22 选择性碳沉积

Country Status (5)

Country Link
US (1) US20220235464A1 (zh)
JP (1) JP2022539699A (zh)
KR (1) KR20220030249A (zh)
CN (1) CN114270476A (zh)
WO (1) WO2020263718A1 (zh)

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
JP3410574B2 (ja) * 1994-03-31 2003-05-26 株式会社メガチップス 単結晶炭素薄膜、軸配向多結晶炭素薄膜、高音用スピーカの振動板、半導体レーザ装置のヒートシンク、及び工具形成方法
JP3228183B2 (ja) * 1996-12-02 2001-11-12 日本電気株式会社 絶縁膜ならびにその絶縁膜を有する半導体装置とその製造方法
KR100780944B1 (ko) * 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
JP2007224383A (ja) * 2006-02-24 2007-09-06 Tokyo Electron Ltd アモルファスカーボン膜の成膜方法、それを用いた半導体装置の製造方法、およびコンピュータ読取可能な記憶媒体
US8466045B2 (en) * 2010-07-02 2013-06-18 Tokyo Electron Limited Method of forming strained epitaxial carbon-doped silicon films
CN102738074B (zh) * 2012-07-05 2014-07-02 中微半导体设备(上海)有限公司 半导体结构的形成方法
WO2014149281A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9524976B2 (en) * 2013-09-15 2016-12-20 Sandisk Technologies Llc Method of integrating select gate source and memory hole for three-dimensional non-volatile memory device
US9570460B2 (en) * 2014-07-29 2017-02-14 Sandisk Technologies Llc Spacer passivation for high-aspect ratio opening film removal and cleaning
US9464348B2 (en) * 2014-08-26 2016-10-11 HGST Netherlands B.V. Method for making a patterned perpendicular magnetic recording disk using glancing angle deposition of hard mask material
KR20170070281A (ko) * 2014-10-30 2017-06-21 어플라이드 머티어리얼스, 인코포레이티드 저온에서 얇은 에피택셜 필름들을 성장시키는 방법
US10763103B2 (en) * 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
TWI625784B (zh) * 2015-04-02 2018-06-01 東京威力科創股份有限公司 藉由雙頻率電容耦合式電漿利用極紫外線光阻劑之溝槽與孔的圖案化
KR101704723B1 (ko) * 2015-04-06 2017-02-09 연세대학교 산학협력단 탄소 박막 소자 및 이의 제조 방법
US20160329213A1 (en) * 2015-05-04 2016-11-10 Lam Research Corporation Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
US10280512B2 (en) * 2015-07-27 2019-05-07 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for carbon film deposition profile control
US10269566B2 (en) * 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
US10490411B2 (en) * 2017-05-19 2019-11-26 Applied Materials, Inc. Method for enabling self-aligned lithography on metal contacts and selective deposition using free-standing vertical carbon structures
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications

Also Published As

Publication number Publication date
WO2020263718A1 (en) 2020-12-30
JP2022539699A (ja) 2022-09-13
KR20220030249A (ko) 2022-03-10
US20220235464A1 (en) 2022-07-28

Similar Documents

Publication Publication Date Title
CN105845551B (zh) 衬底处理***中用作硬掩模的无定形碳和硅膜的金属掺杂
CN106024596B (zh) 减少无定形碳硬掩模膜的碳-氢含量的方法
CN107039265B (zh) 硬掩膜的自限性平坦化
CN110998790A (zh) 在水平表面上的选择性沉积SiN
TWI805644B (zh) 針對pecvd金屬摻雜碳硬遮罩之均質介面的沉積系統和方法
KR102500931B1 (ko) 하드마스크들을 위한 금속 유전체 막의 증착
US20180247828A1 (en) Systems for performing in-situ deposition of sidewall image transfer spacers
CN112400225A (zh) 使用原子层沉积(ald)、抑制剂等离子体和蚀刻的电介质间隙填充
JP7419342B2 (ja) トリムプロセスに対する限界寸法の変化の改善をもたらすチャンバおよびプロセスの補償
CN107045999B (zh) 使用ald和高密度等离子体cvd形成气隙密封件的***和方法
CN113710829A (zh) 高蚀刻选择性的低应力可灰化碳硬掩模
CN114270476A (zh) 选择性碳沉积
CN113892168A (zh) 蚀刻停止层
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
WO2019190795A1 (en) Intermediate layer for metal interconnect layer
CN110537244B (zh) 以高沉积速率沉积具有低压应力、高的膜稳定性和低收缩率的原硅酸四乙酯厚膜的方法
CN114746577A (zh) 压强批量补偿以稳定修整和沉积工艺的cd变化
CN112753091A (zh) 双频硅烷基二氧化硅沉积以最小化膜的不稳定性

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination