CN107924838B - 处理衬底的装置与***及蚀刻衬底的方法 - Google Patents

处理衬底的装置与***及蚀刻衬底的方法 Download PDF

Info

Publication number
CN107924838B
CN107924838B CN201680046221.XA CN201680046221A CN107924838B CN 107924838 B CN107924838 B CN 107924838B CN 201680046221 A CN201680046221 A CN 201680046221A CN 107924838 B CN107924838 B CN 107924838B
Authority
CN
China
Prior art keywords
substrate
reactive gas
plasma chamber
extraction aperture
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680046221.XA
Other languages
English (en)
Other versions
CN107924838A (zh
Inventor
梁树荣
科斯特尔·拜洛
葛兰·F·R·吉尔克里斯特
维克拉姆·辛
克里斯多夫·坎贝尔
理查德·赫尔特
艾立克斯恩德·刚特司
皮耶罗·斯佛拉佐
陈宗良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Publication of CN107924838A publication Critical patent/CN107924838A/zh
Application granted granted Critical
Publication of CN107924838B publication Critical patent/CN107924838B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)

Abstract

一种处理衬底的装置、处理衬底的***以及蚀刻衬底的方法。装置可包含:具有安置在处理腔室中的反应气体出口的反应气体源,反应气体出口将第一反应气体引导到衬底;耦合到处理腔室且包含提取板的等离子体腔室,提取板具有沿着第一方向延伸的提取孔隙、安置在处理腔室内以及可在面向反应气体源的第一位置与面向提取孔隙的第二位置之间沿着垂直于第一方向的第二方向移动;以及安置于反应气体出口与提取孔隙之间的气流限制器,气流限制器界定至少等离子体腔室与衬底平台之间的差动泵浦通道。本发明的处理衬底的装置提供较高产出率工艺的能力。

Description

处理衬底的装置与***及蚀刻衬底的方法
相关申请的交叉参考
本申请案要求2015年8月7日申请的美国临时专利申请案第62/202,261号,题为“使用方向性等离子体和反应气体处理衬底的装置和技术(Apparatus And Techniques ToTreat Substrates Using Directional Plasma And Reactive Gas)”的优先权且以引用的方式全文并入本文中。
技术领域
当前实施例涉及器件处理技术,且更具体地说,涉及用于处理衬底(包含用于处理衬底表面)的装置、处理衬底的***以及蚀刻衬底的方法。
背景技术
由于整合器件尺寸持续缩小,因此图案化特征的能力变得越来越困难。在一个方面中,这些困难包含蚀刻特征以保存图案或将图案转移到衬底中的能力。在许多器件应用中,经图案化的特征可具有小于50nm的最小尺寸,且在一些状况下,最小尺寸可小于10nm。此外,在一些实例中,待蚀刻以用于构建和图案化器件结构的层的厚度可小于10nm。
经研发以可控地蚀刻薄层的一种技术为原子层蚀刻(atomiclayeretching,ALE),其中蚀刻在逐层基础(layer-by-layer basis)上进行。在第一操作中,在ALE装置中,可将第一反应物(诸如,反应气体)引入到衬底,其中第一反应物在衬底表面上形成自限性单层。自限性单层可包含第一反应物以及来自衬底的上部材料层。随后,可从ALE***清除第一反应物,且在另一操作中,可提供蚀刻剂以去除自限性单层。以此方式,可一次蚀刻衬底的一个单层,从而提供对待去除的材料的量的准确控制。
ALE工艺的一个问题为处理衬底的相对缓慢速率,由于蚀刻一个单层涉及若干操作(包含用于清除反应物材料的时间)。另外,在已知ALE工艺中去除自限性单层可能适合于蚀刻平面结构,但蚀刻非平面结构(诸如,需要几何选择性的三维(threedimensional,3D)结构)的能力较低。
就这些和其它考虑来说,当前改进可为有用的。
发明内容
提供此发明内容以按简化形式介绍下文在具体实施方式中进一步描述的一系列概念。此发明内容并不意图识别所主张标的物的关键特征或基本特征,且也不意图辅助确定所主张标的物的范围。
在一个实施例中,处理衬底的装置可包含:具有安置在处理腔室中的反应气体出口的反应气体源,反应气体出口将第一反应气体引导到衬底;耦合到处理腔室且包含具有沿着第一方向延伸的提取孔隙的提取板的等离子体腔室;经配置以固持所述衬底、安置在处理腔室内以及可在面向反应气体源的第一位置与面向提取孔隙的第二位置之间沿着垂直于第一方向的第二方向移动的衬底平台;以及安置于反应气体出口与提取孔隙之间的气流限制器,气流限制器界定至少等离子体腔室与衬底平台之间的差动泵浦通道。
在另一实施例中,处理衬底的***可包含容纳衬底的处理腔室;包含具有沿着第一方向延伸的提取孔隙的提取板的等离子体腔室;具有耦合到等离子体腔室的反应气体出口的反应气体源,反应气体出口将第一反应气体引导到等离子体腔室;经配置以固持衬底,安置在处理腔室内以及可沿着垂直于第一方向的第二方向移动的衬底平台;连接到衬底平台和等离子体腔室中的至少一个的偏压电源,其中偏压由衬底偏压电源产生于等离子体腔室与衬底平台之间;以及耦合反应气体出口并耦合至所述偏压电源的控制器,控制器包含发送关闭反应气体出口的关闭信号且当关闭反应气体出口时发送相对于等离子体腔室负偏压衬底平台的负偏压信号的同步器。
在另一实施例中,蚀刻衬底的方法可包含当将衬底安置在处理腔室中时将反应气体引导到衬底,其中包括反应气体和来自衬底的材料的第一产物层形成于衬底的外部表面上;将带状束从等离子体腔室经由提取孔隙引导到衬底的经曝露部分,带状束具有沿着第一方向的长轴;以及在引导反应气体和引导带状束期间沿着垂直于第一方向的第二方向扫描衬底,其中在经曝露部分中将第一产物层从衬底蚀刻,以及在并不曝露于带状束的未经曝露部分中并不从衬底蚀刻第一产物层。
附图说明
图1A描绘根据本发明的实施例的***。
图1B描绘图1A的***的装置的平面视图。
图1C描绘根据本发明的实施例的另一***的操作的第一实例。
图1D描绘图1C的***的操作的第二实例。
图2A至图2F描绘根据本发明的实施例的衬底蚀刻的实例。
图3呈现根据本发明的实施例的另一***的一个实施例。
图4描绘例示性工艺流程。
具体实施方式
现将在下文中参考附图更全面地描述本发明的实施例,附图中展示了一些实施例。本发明的标的物可以许多不同形式体现且并不解释为限于本文中所阐述的实施例。提供这些实施例是为了使得本发明将是透彻并且完整的,并且这些实施例将把标的物的范围完整地传达给所属领域的技术人员。在附图中,相同标号始终指代相同元件。
此当前实施例提供处理衬底(例如,蚀刻衬底(包含衬底上的表面特征))的新颖装置和新颖技术。如本文中所使用,术语“衬底”可指代实体,例如半导体晶片、绝缘晶片、陶瓷以及安置在其上的任何层或结构。因而,可认为表面特征、层、一系列层或其它实体安置在衬底上,其中衬底可表示例如硅晶片、氧化层、金属层等结构的组合。
在各种实施例中,揭示提供对衬底的离子束(或“等离子束”)处理以及对衬底的反应气体处理的装置。可以大体上根据原子层蚀刻(ALE)工艺提供蚀刻的配置和方式来提供离子束和反应气体。
图1A描绘根据本发明的实施例的经展示为***100的装置。在各种实施例中,***100可用于执行衬底的蚀刻。***100可包含共同操作以作为提供对衬底106的新颖且改进型蚀刻的装置的各种组件。如所说明,***100可包含处理腔室102以及安置在处理腔室102内的衬底平台104。衬底平台104可至少沿着平行于所展示的笛卡尔坐标系(Cartesiancoordinate system)中的Y轴的方向移动以及进行沿着Z轴的360度旋转运动。
***100进一步包含至少一个反应气体源,经展示为反应气体源108。反应气体源108可具有安置在处理腔室102内的反应气体出口109。反应气体源108可用于在衬底106与反应气体源108相邻时将反应气体132递送到衬底106。在各种实施例中,反应气体132可能够与衬底106的材料反应,其中包括反应气体132和来自衬底106的材料的第一产物层形成于衬底的外部表面上。举例来说,在一个特定非限制性实施例中,反应气体132可包括氯气或含氯材料,而衬底106为硅。反应气体132可作为中性物质递送;可作为自由基递送;可作为离子递送或在一些实施例中,可作为中性物、自由基以及离子的组合递送。产物层可形成为由接合到硅物质底层的单层氯物质组成。在此上下文中,实施例不受限制。
***100进一步包含等离子体腔室110。等离子体腔室110可包含提取板116。如图1A中所说明,提取板116部分地将等离子体腔室110与处理腔室102隔开。提取板116还包含提供等离子体腔室110与处理腔室102之间的气体连通的孔隙124,其中孔隙124充当提取孔隙。以此方式,等离子体腔室110可耦合到处理腔室102。孔隙124可为沿着第一方向(例如,平行于X轴)延伸的细长孔隙,如图1B中所展示。举例来说,孔隙124在一些实施例中可具有在100mm与500mm之间的范围内的宽度W且在一些实施例中可具有在3mm与30mm之间的范围内的长度L。在此上下文中,实施例不受限制。孔隙124的此细长配置允许将离子束(“等离子束”)提取为带状束,意味着离子束具有束宽度大于束长度的横截面。
如图1A中进一步所展示,***100可包含耦合到等离子体腔室110以提供惰性气体(例如,Ar、He、Ne、Kr等)的惰性气体源112。***100可进一步包含额外组件(例如,发电机114),其中所述组件共同形成等离子体源以产生等离子体122。
可通过在等离子体腔室110中经由恰当的等离子体激励器(未展示)将来自发电机114的电力耦合到由惰性气体源112提供的稀薄气体而产生等离子体122。如本文中所使用,通用术语“等离子体源”可包含发电机、等离子体激励器、等离子体腔室以及等离子体自身。等离子体源可为电感耦合的等离子体(inductively-coupled plasma,ICP)源、环形耦合等离子体(toroidal coupled plasma,TCP)源、电容耦合等离子体(capacitively coupledplasma,CCP)源、螺旋形源、电子回旋共振(electron cyclotron resonance,ECR)源、间热式阴极(indirectly heated cathode,IHC)源、辉光放电源(glow discharge source)、产生电子束的离子源或所属领域的技术人员已知的其它等离子体源。因此,取决于等离子体源的本质,发电机114可为射频发生器、DC电源或微波产生器,而等离子体激励器可包含RF天线、铁氧体耦合器、板、加热/冷阴极、螺旋形天线或微波发射器。***100进一步可包含连接到等离子体腔室110或连接到衬底平台104或连接到等离子体腔室110和衬底平台104的偏压电源154。尽管未明确地展示,但等离子体腔室110可与处理腔室102电隔离。经由孔隙124提取包括阳离子的等离子束130可通过将等离子体腔室110升至正电位且直接或经由接地处理腔室102使衬底平台104接地;或通过使等离子体腔室110接地且对衬底平台104施加负电位来实现。偏压电源154可在DC模式或具有可变频率和工作循环的脉冲模式,或AC模式下操作。提取板116可通常根据已知设计来布置从而以允许控制离子角分布(即,如下详述的等离子束130相对于衬底106的入射角和角展度)的方式提取等离子束130中的离子。
在一些实施例中,仅可经由孔隙124提取一个等离子束130。在其它实施例中,可经由孔隙124提取一对等离子束。举例来说,如图1A和图1B中所说明,束阻断器118可安置在等离子体腔室110内且与孔隙124相邻,其中束阻断器118界定第一提取孔隙160和第二提取孔隙162。如图1A中所展示,两个等离子束130可被从等离子体腔室110提取且引导到衬底106。
如图1A中进一步所展示,***100可包含耦合到等离子体腔室110的泵浦端口135和连接到泵浦端口135的等离子体腔室泵134。举例来说,等离子体腔室泵134可用于减小等离子体腔室110内某些物质的浓度,如下文所论述。***100可进一步包含经由泵浦端口137耦合到处理腔室102以排空处理腔室102的处理腔室泵136。
***100可进一步包含安置于反应气体出口与提取孔隙之间的气流限制器,经展示为气流限制器120。如图1A中所展示,举例来说,气流限制器120可安置于提取板116的外部上面向衬底平台104。气流限制器可界定至少等离子体腔室110与衬底平台104之间的差动泵浦通道140。
在操作中,衬底平台104可相对于提取板116平行于Y轴扫描衬底。以此方式,衬底106的不同部分可在不同时间曝露于反应气体132。举例来说,反应气体出口109可如图1B中所展示为细长的且可具有类似于孔隙124的宽度W的沿着X轴的宽度和例如3mm的沿着Y轴的长度。在各种实施例中,反应气体出口109可由分布在X维度和Y维度内的众多小孔组成以界定如由虚线所展示的细长形状,以实现沿着X维度的均一气体分布。此外,在一些实例中,反应气体源108与衬底106之间的沿着Z轴的距离可为5mm或小于5mm。在此上下文中,实施例不受限制。以此方式,反应气体132可经提供为沿着X轴覆盖衬底106整体,而在平行于Y轴的方向上仅覆盖衬底106若干毫米的窄细长流。因此,可通过沿着Y轴扫描衬底而使衬底106整体以顺序方式曝露于反应气体132。同样,衬底106的不同部分可在不同时间曝露于等离子束130。
另外,如图1B中所说明,给定区域(例如,衬底106的区域A)可以顺序方式曝露于反应气体132和等离子束130。以此方式,在从下到上扫描衬底106的实例中,由反应气体132和衬底106的物质制成的产物层最初可形成在区域A处。产物层可为如上文所论述的ALE层,其中产物层为由自限性反应形成的单层。当在等离子束130下向上扫描区域A时,形成于区域A中的产物层随后可由等离子束130蚀刻。以此方式,可通过在反应气体132和等离子束130下依序扫描衬底以逐个单层的方式蚀刻衬底106。
根据本发明的实施例,气流限制器120可界定至少提取板116与衬底平台104之间的低传导通道,其经展示为差动泵浦通道140。如下文所论述,差动泵浦通道140可产生差动泵浦通道140的一端与另一端之间的大压力差。反应气体源108通过与泵浦源直接连通的大传导孔隙而与等离子体腔室110隔开。泵浦源可为处理腔室泵136或用以与孔隙142连通的任何其它泵浦源。如果由C142表示孔隙142的传导率且由C140表示差动泵浦通道140的传导率,那么离开反应气体源108和流动穿过孔隙142的反应气体的流量与C142/(C142+C140)成比例,而离开反应气体源108和流动穿过差动泵浦通道140的气体量与C140/(C142+C140)成比例。根据各种实施例,使用孔隙142和差动泵浦通道140的适当的设计,在这两个空间区域中反应气体的分压可相差2个数量级到3个数量级。使用此差动泵浦方法,***100可例如维持与反应气体出口109相邻的反应气体132的1E-3托(Torr)的分压,而在与孔隙124相邻,通向等离子体腔室110的区域144处具有1E-6托的分压。
此压力差的结果为可防止反应气体132的物质回流到区域144中或回流到等离子体腔室110中,以及反应气体132的物质可优先地经由泵浦端口137抽运。此可促进控制等离子束130的组成(例如,从等离子束130减少或排除反应气体物质)的能力。以此方式,可通过维持将衬底106曝露于反应气体132与将衬底106曝露于等离子束130分离而实现更可控制的蚀刻工艺。另外或替代地,可通过等离子体腔室泵134抽空等离子体腔室110,从而进一步减小等离子体腔室110中来自反应气体132的物质的浓度。
根据各种实施例,在反应气体源108和等离子体腔室110维持处于开启状态时,可在反应气体源108和等离子体腔室110下依序扫描衬底平台104。以此方式,***100可提供高产出率ALE工艺。具体来说,可避免清除循环,其中如在已知ALE工艺中原本要在曝露于反应气体与曝露于蚀刻工艺(例如,等离子束130)之间清除反应气体132。此外,在一些实施例中,衬底平台104可以连续方式来回(图1A中的向上和向下)扫描衬底106达到预定数目的扫描循环,以便从衬底106蚀刻预定量的材料。由于可易于计算给定产物层的厚度,因此可容易根据待执行的扫描循环的数目控制待蚀刻的总厚度。
现在转向图1C,展示根据本发明的其它实施例的另一***150。***150可与经类似地标记的***100共享组件。***150与***100之间的差异在于用于将反应气体供应到衬底106的配置。在***150中,具有反应气体出口158的反应气体源156可耦合到等离子体腔室110,因此反应气体出口158可将第一反应气体引导到等离子体腔室110。***150可进一步包含耦合到反应气体出口158和偏压电源154的控制器152。控制器152可包含发送关闭反应气体出口158的关闭信号且当关闭反应气体出口时发送相对于等离子体腔室110负偏压衬底平台104的负偏压信号的同步器170。举例来说,衬底平台104可相对于等离子体腔室110在-10V到-10000V之间的范围内经偏压。以此方式,可在足以蚀刻形成于衬底106上的产物层的离子能量下从等离子体腔室110提取等离子束130,而不将反应气体引导到衬底106,如图1C中所提议。同步器170可进一步将开启信号发送到反应气体出口158且当开启反应气体出口158时发送相对于等离子体腔室110正偏压衬底106的正偏压信号。以此方式,如图1D中所展示,可将反应气体流172提供到衬底106,而不从等离子体122提取等离子束130,甚至在等离子体122可存在于等离子体腔室110中时也是如此。
在一个操作情境中,在例如从下到上的对衬底106的第一扫描期间,衬底106可曝露于反应气体流172,如图1D中所展示。此曝露可形成如上文所论述的自限性产物层。在从上到下的第二扫描中,可通过在提取等离子束130时关闭反应气体出口158来蚀刻产物层,如图1C中所展示。以此方式,可通过在图1D的情境下执行扫描随后在图1C的情境下执行扫描来完成能有效地蚀刻衬底106的材料单层的给定蚀刻循环。
如图1A、图1C以及图1D中进一步所展示,***100和***150可进一步包含控制***174。控制***174可耦合到***100或***150的各种组件,包含上文所描述的偏压电源154、发电机114以及气体源。控制***174可经布置以改变***100或***150的至少一个***参数。***参数的实例包含施加到等离子体腔室110的RF功率的电平、RF波形、由偏压电源154施加的离子束的提取电压、脉冲偏压电压的工作循环和频率或衬底106与提取板116之间的间距z(意味着衬底106与提取板116之间的沿着Z轴的间距)。提取板116的配置为***参数的另一实例且可包含提取板中孔隙的形状或大小,等。这些***参数中的至少一个可从第一值改变为第二值,其中在第一值下等离子束130具有第一形状且在第二值下等离子束130具有第二形状。以此方式,可控制等离子束130的参数,例如衬底上的入射角、角展度(入射角的范围)等。此允许根据应用将等离子束130引导到衬底。举例来说,当衬底106包含经图案化特征(图1A中未展示)时,可通过以第一入射角引导等离子束130来更好地处理经图案化特征的垂直表面,同时可通过以第二入射角引导等离子束130来更好地处理水平表面。
现在转向图2A到图2F,展示根据本发明的实施例的用于执行方向性ALE或选择性ALE以便蚀刻衬底的一个情境。在各种实施例中,可使用例如***100或***150的***来执行方向性ALE。在图2A处,展示将反应气体202引导到衬底200的第一实例。出于说明的目的,衬底200可为硅。衬底200可包含从衬底200的衬底平面212延伸的衬底特征阵列,经展示为衬底特征204。举例来说,在一些实施例中,衬底特征204可为线结构、鳍片或台面。在图2A的说明中的衬底200可为单式结构,其中平面部分和衬底特征204为硅。反应气体202可能够与硅反应以形成产物层206,如图2B中所展示。在一些状况下,产物层206可为材料单层,且在一些实施例中,可由衬底200内的材料以及来自反应气体202的物质形成。衬底200的初始表面208展示于图2B中。如所说明,产物层206可延伸到衬底200中。
现在转向图2C,展示在形成产物层206之后将离子210引导到衬底200的另一实例。在一些实施例中,离子210可被引导作为相对于衬底平面212的垂线214形成非零入射角+θ和入射角-θ的一对等离子束,如所展示。在特定实施例中,入射角+θ和入射角-θ的绝对值相等。在一些实施例中,离子210可为惰性气体离子,其中惰性气体离子具有足以蚀刻产物层206的离子能量。可在并不蚀刻产物层206下方的区域中的衬底200的离子能量和离子剂量下提供离子210。如图2C中所说明,离子210的方向性和衬底特征204的形状可导致衬底200的某些表面或部分选择性曝露于离子210,而其它部分并不曝露于离子210。作为由图2C所提议的实例,衬底特征204可被布置成具有高度H且通过距离S彼此间隔开的特征阵列。因此,当以相对于垂线214的非零入射角引导离子210时,相邻衬底特征可彼此遮蔽,从而防止离子210冲击衬底200的某些区域。在图2C中所说明的实例中,可遮蔽离子210以防止其冲击处于X-Y平面中的水平表面(至少在衬底特征204的阵列的区域内)。由于此遮蔽,因此可使衬底200的未经曝露部分(例如,水平表面上或相邻衬底特征之间的沟槽区域(经展示为沟槽207)中的产物层206的部分)保持未经蚀刻。
现在转向图2D,展示在图2C中所展示的实例之后的实例。在图2D处,衬底200包含衬底特征204,其中沿着Y轴的衬底特征204的厚度窄于图2A中衬底特征204的厚度,同时沟槽207保持未经蚀刻。如图2E和图2F中进一步所展示,可重复包括方向性ALE的蚀刻循环的图2B和图2C的操作。在图2E中,展示在至少一个额外蚀刻循环之后的衬底200的结构,其中衬底特征204的厚度进一步减小,同时沟槽207继续保持未经蚀刻。在图2F中,展示在对图2E的结构执行至少一个额外蚀刻循环之后的衬底200的结构,其中衬底特征204的厚度进一步减小,同时沟槽207继续保持未经蚀刻。
再次参考图2C,在其它实施例中,可将离子210的入射角调节到相对于垂线214的更大非零入射角,因此仅侧壁209的各部分(例如,顶部部分)曝露于离子210。以此方式,可施加方向性ALE以仅蚀刻衬底特征的顶部部分。
在又另外的实施例中,可沿着垂线214引导离子以使得衬底200的水平表面经蚀刻,而侧壁209保持未经蚀刻。此垂直方向性可提供相较于已知ALE技术更优越的“垂直”原子层蚀刻工艺。在已知ALE技术中,处理条件引起气相散射,从而导致蚀刻离子以不受控方式在一系列角度内冲击衬底,因此可能不易于实现对衬底特征的高度垂直蚀刻。
图3呈现允许实现方向性ALE(directional ALE,D-ALE)的***300的一个实施例。衬底1可提供于处理腔室102中。衬底1可夹紧到衬底平台2,其中衬底平台2可移动,因此衬底1向上行进以拦截反应气体。举例来说,反应气体可由可调反应气体源3和可调反应气体源4提供。UV辐射源21可引导UV辐射22,其中在进一步向上扫描衬底1时由衬底1拦截UV辐射。衬底1可进一步曝露于对偶角离子束(经展示为离子束7且从形成于等离子体腔室24中的等离子体6提取)。可进一步扫描衬底1以拦截由第二可调反应气体源(亦经展示为可调反应气体源4)输出的第二反应气体流。***300可在可控制的离子能量和角分布(意味着入射角范围以及在给定入射角下离子的相对量)下提供离子束7。离子束7可由由大体上如上文所描述的提取板9组成的提取光学件提取。***300还可包含如上文所描述的束阻断器18以及偏转电极10。
可通过允许给定气体混合物从气体歧管5进入到等离子体腔室24中,同时经由电介质RF窗口12将RF功率从RF天线11耦合到气体混合物而产生等离子体6。可由射频发生器13经由匹配网络14提供点燃和维持放电的RF功率。离子束7中离子的离子能量可由脉冲DC电源15控制,其中脉冲DC电源可将等离子体腔室24维持处于较高正静电位下,而将衬底平台2和衬底1维持处于接地电位下。***300可进一步包含耦合到偏转电极10的DC电源,经展示为偏转电压源16。可通过改变自偏转电压源16施加到偏转电极的负偏压电压来控制离子束7中离子的离子角分布。具体来说,偏转电极10可与束阻断器18相邻安置,其中束阻断器18安置于等离子体腔室24与偏转电极10之间。施加到偏转电极10的电压可产生在从等离子体6提取离子束7时使离子束7偏转的电场。具体来说,此可用以在改变至偏转电极10的偏转电压时改变离子束7的入射角。偏转电极10可因此提供通过仅改变电压方便地改变通过ALE蚀刻的衬底特征的部分的能力。在各种实施例中,可在处理衬底或衬底群组期间以动态方式执行此电压变化。
在曝露于来自等离子体腔室24的低能量离子和反应物、经激发的分子和自由基期间,可蚀刻掉通过曝露于可调反应气体源3或可调反应气体源4形成的产物单层。可通过调节递送到RF放电的功率和/或气体流动速率来控制离子和自由基通量。可通过调节沿着平行于Y轴的方向的扫描速度来调节由衬底1所接收到的辐照剂量。对于某些反应,除独立离子轰击之外,UV光子辐照还可有助于去除表面产物层。由UV辐射提供的几eV的光子能量促进表面键的断裂,同时不影响安置在衬底1的表面上的单层产物层下方的材料。因此,UV辐射源21中的至少一个可用于产生UV辐射22。在用于处理具有高达300mm的尺寸的衬底的一些实施例中,UV辐射源21可在平行于X轴的方向上延伸350mm以均匀地照明整个衬底宽度。可通过调节递送到UV辐射源21的功率来调节光子通量,同时可通过调节沿着Y轴的扫描速度来调节辐照剂量。在一些实施例中,可沿着扫描方向从位置A到位置B到位置C到位置D地扫描衬底1,其中衬底1可曝露于第二可调反应气体源(亦展示为可调反应气体源4)。在一些实施例中,可以连续方式扫描衬底1。可通过将衬底从位置A到位置B到位置C到位置D地扫描一次而完成方向性ALE蚀刻循环。可按需要重复此蚀刻循环以从衬底1蚀刻目标厚度的材料。在一些变体中,气流限制器可提供于***300中,如参考图lA在上文中所描述。
图4描绘根据本发明的实施例的例示性处理流程400。在框402处,执行当将衬底安置在处理腔室中时将反应气体引导到衬底的操作,其中包括反应气体和来自衬底的材料的第一产物层形成于衬底的外部表面上。在框404处,执行将带状束从等离子体腔室经由提取孔隙引导到衬底的经曝露部分的操作,带状束具有沿着第一方向的长轴。在框406处,执行在引导反应气体和引导带状束期间沿着垂直于第一方向的第二方向扫描衬底的操作,其中在经曝露部分中从衬底蚀刻第一产物层且在未曝露于带状束的未经曝露部分中不从衬底蚀刻第一产物层。
当前实施例提供优于界定衬底中的特征的常规处理的各种优点。一个优点在于执行原子层蚀刻而不必在曝露于反应气体之后执行清除,从而提供较高产出率工艺的能力。另一优点为通过控制被引导到衬底的离子束的入射角而使用ALE工艺选择性地蚀刻衬底的经选择表面或区域的能力。
本发明的范围不受本文中所描述的特定实施例限制。实际上,根据先前描述和附图,除本文中所描述的彼等特定实施例之外,本发明的其它各种实施例和对本发明的修改对于所属领域的一般技术人员将显而易见。因此,此些其它实施例和修改应处于本发明的范围内。此外,尽管已出于特定目的在特定环境下在特定实施方案的上下文中描述了本发明,但所属领域的一般技术人员将认识到其有用性不限于此,并且出于许多目的,本发明可有利地在许多环境中予以实施。因此,应鉴于如本文中所描述的本发明的完全广度和精神来解释上文阐述的权利要求。

Claims (11)

1.一种处理衬底的装置,其特征在于,包括:
反应气体源,其具有安置在处理腔室中的反应气体出口,所述反应气体出口将第一反应气体引导到所述衬底;
等离子体腔室,其包含具有沿着第一方向延伸的提取孔隙的提取板;以及
衬底平台,其经配置以固持所述衬底,安置在所述处理腔室内以及可在面向所述反应气体源的第一位置与面向所述提取孔隙的第二位置之间沿着垂直于所述第一方向的第二方向移动;以及
气流限制器,其安置于所述反应气体出口与所述提取孔隙之间,所述气流限制器界定至少所述等离子体腔室与所述衬底平台之间的差动泵浦通道,
其中所述反应气体源为第一反应气体源,所述处理衬底的装置进一步包括具有将所述第一反应气体引导到所述衬底的第二出口的第二反应气体源,所述等离子体腔室安置于所述第一反应气体源与所述第二反应气体源之间,其中所述衬底平台可在所述第一位置、所述第二位置以及面向所述第二反应气体源的第三位置之间按顺序移动。
2.根据权利要求1所述的处理衬底的装置,其进一步包括耦合到所述等离子体腔室的泵浦端口以及连接到所述泵浦端口的等离子体腔室泵。
3.根据权利要求1所述的处理衬底的装置,其进一步包括耦合到所述处理腔室以排空所述处理腔室的处理腔室泵,其中所述差动泵浦通道内的第一压力小于所述提取孔隙与衬底平台之间的区域内的第二压力。
4.根据权利要求1所述的处理衬底的装置,其进一步包括耦合到所述等离子体腔室以将惰性气体提供到所述等离子体腔室的惰性气体源。
5.根据权利要求1所述的处理衬底的装置,其进一步包括安置在所述等离子体腔室内以及与所述提取孔隙相邻的束阻断器,所述束阻断器界定第一提取孔隙以及第二提取孔隙。
6.根据权利要求1所述的处理衬底的装置,所述提取孔隙包括沿着所述第一方向的100mm到400mm的宽度以及沿着所述第二方向的2mm到30mm的长度。
7.一种蚀刻衬底的方法,其特征在于,包括:
当将所述衬底安置在处理腔室中时将反应气体引导到所述衬底,其中包括所述反应气体以及来自所述衬底的材料的第一产物层形成于所述衬底的外部表面上;
从等离子体腔室经由提取孔隙提取离子束,其中所述离子束冲击所述衬底的经曝露部分;以及
相对于所述提取孔隙沿着扫描方向扫描固持所述衬底的衬底平台,
其中在所述经曝露部分中从所述衬底蚀刻所述第一产物层,以及在所述衬底的未经曝露部分中并不从所述衬底蚀刻所述第一产物层,所述未经曝露部分不曝露于所述离子束,
其中将所述反应气体引导到所述衬底包括将所述反应气体经由反应气体出口发送到所述等离子体腔室中,其中所述反应气体经由所述提取孔隙流动到所述衬底,所述蚀刻衬底的方法进一步包括:
将开启信号发送到所述反应气体出口以及当开启所述反应气体出口时发送相对于所述等离子体腔室正偏压所述衬底的正偏压信号;
以及当关闭所述反应气体出口时发送相对于所述等离子体腔室负偏压所述衬底平台的负偏压信号。
8.根据权利要求7所述的蚀刻衬底的方法,其中所述离子束形成相对于衬底平面的垂线的非零入射角。
9.根据权利要求7所述的蚀刻衬底的方法,其中所述离子束包括沿着垂直于所述扫描方向的第一方向的长轴。
10.根据权利要求7所述的蚀刻衬底的方法,引导所述反应气体包括:
将所述反应气体直接从安置在所述处理腔室中的反应气体源引导到所述衬底,其中在引导所述反应气体期间将所述衬底安置在第一位置处以及在引导所述离子束期间将所述衬底安置在第二位置处,其中在所述第一位置与第二位置之间沿着所述扫描方向扫描所述衬底。
11.根据权利要求7所述的蚀刻衬底的方法,其进一步包括:
将气流限制器安置在所述反应气体出口与所述提取孔隙之间,其中所述气流限制器界定至少所述等离子体腔室与所述衬底平台之间的差动泵浦通道;以及
经由所述差动泵浦通道将所述反应气体从所述反应气体出口抽空。
CN201680046221.XA 2015-08-07 2016-07-15 处理衬底的装置与***及蚀刻衬底的方法 Active CN107924838B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562202261P 2015-08-07 2015-08-07
US62/202,261 2015-08-07
US14/970,738 US9706634B2 (en) 2015-08-07 2015-12-16 Apparatus and techniques to treat substrates using directional plasma and reactive gas
US14/970,738 2015-12-16
PCT/US2016/042497 WO2017027165A1 (en) 2015-08-07 2016-07-15 Apparatus and techniques to treat substrates using directional plasma and reactive gas

Publications (2)

Publication Number Publication Date
CN107924838A CN107924838A (zh) 2018-04-17
CN107924838B true CN107924838B (zh) 2021-09-07

Family

ID=57983531

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680046221.XA Active CN107924838B (zh) 2015-08-07 2016-07-15 处理衬底的装置与***及蚀刻衬底的方法

Country Status (6)

Country Link
US (2) US9706634B2 (zh)
JP (1) JP6866350B2 (zh)
KR (1) KR20180029261A (zh)
CN (1) CN107924838B (zh)
TW (1) TWI697047B (zh)
WO (1) WO2017027165A1 (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10128082B2 (en) * 2015-07-24 2018-11-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US9865484B1 (en) * 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10141161B2 (en) * 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
TW202239270A (zh) * 2017-10-30 2022-10-01 美商元平台技術有限公司 利用化學輔助反應離子束蝕刻製造傾斜表面浮雕結構的方法
US10684407B2 (en) 2017-10-30 2020-06-16 Facebook Technologies, Llc Reactivity enhancement in ion beam etcher
US10815570B2 (en) * 2017-11-13 2020-10-27 Denton Vacuum, L.L.C. Linearized energetic radio-frequency plasma ion source
EP3843123A1 (fr) * 2017-12-20 2021-06-30 The Swatch Group Research and Development Ltd Installation pour la mise en oeuvre d'un procédé d'implantation d'ions sur une surface d'un objet à traiter
US10914954B2 (en) 2018-08-03 2021-02-09 Facebook Technologies, Llc Rainbow reduction for waveguide displays
US10761330B2 (en) 2018-01-23 2020-09-01 Facebook Technologies, Llc Rainbow reduction in waveguide displays
US10845596B2 (en) 2018-01-23 2020-11-24 Facebook Technologies, Llc Slanted surface relief grating for rainbow reduction in waveguide display
US10649119B2 (en) 2018-07-16 2020-05-12 Facebook Technologies, Llc Duty cycle, depth, and surface energy control in nano fabrication
US11137536B2 (en) 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
JP7203531B2 (ja) * 2018-08-08 2023-01-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2020051064A1 (en) * 2018-09-05 2020-03-12 Tokyo Electron Limited Apparatus and process for electron beam mediated plasma etch and deposition processes
US10468226B1 (en) * 2018-09-21 2019-11-05 Varian Semiconductor Equipment Associates, Inc. Extraction apparatus and system for high throughput ion beam processing
US11195703B2 (en) 2018-12-07 2021-12-07 Applied Materials, Inc. Apparatus and techniques for angled etching using multielectrode extraction source
US11640909B2 (en) * 2018-12-14 2023-05-02 Applied Materials, Inc. Techniques and apparatus for unidirectional hole elongation using angled ion beams
US11715621B2 (en) 2018-12-17 2023-08-01 Applied Materials, Inc. Scanned angled etching apparatus and techniques providing separate co-linear radicals and ions
US11150394B2 (en) 2019-01-31 2021-10-19 Facebook Technologies, Llc Duty cycle range increase for waveguide combiners
US11550083B2 (en) 2019-06-26 2023-01-10 Meta Platforms Technologies, Llc Techniques for manufacturing slanted structures
US11056319B2 (en) * 2019-07-29 2021-07-06 Applied Materials, Inc. Apparatus and system having extraction assembly for wide angle ion beam
JP2021048194A (ja) * 2019-09-17 2021-03-25 キオクシア株式会社 エッチング装置およびエッチング方法
US11796922B2 (en) * 2019-09-30 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices
US11043394B1 (en) * 2019-12-18 2021-06-22 Applied Materials, Inc. Techniques and apparatus for selective shaping of mask features using angled beams
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
WO2021221675A1 (en) * 2020-04-30 2021-11-04 Hzo, Inc. Atmospheric plasma systems, apparatuses and processes
US11226446B2 (en) 2020-05-06 2022-01-18 Facebook Technologies, Llc Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings
KR102396275B1 (ko) * 2020-06-05 2022-05-09 성균관대학교산학협력단 반도체 발광소자 및 이의 제조 방법
US11495430B2 (en) 2020-07-15 2022-11-08 Applied Materials, Inc. Tunable extraction assembly for wide angle ion beam
US11361968B2 (en) * 2020-08-26 2022-06-14 Applied Materials, Inc. Atomic layer deposition using a substrate scanning system
US12014898B2 (en) * 2021-09-27 2024-06-18 Applied Materials, Inc. Active temperature control for RF window in immersed antenna source
KR102475299B1 (ko) * 2021-10-29 2022-12-06 박준철 웨이퍼 스캔 방법 및 장치, 그리고 상기 장치에서 사용되는 플라즈마 노즐 및 챔버
US11930583B1 (en) * 2022-09-08 2024-03-12 Ali Kaddoura Heat conditioning through deflection/reflection/absorption of electromagnetic waves

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0770513B2 (ja) * 1985-05-13 1995-07-31 株式会社日立製作所 エッチングの方法およびエッチング装置
JPH0478268U (zh) * 1990-11-14 1992-07-08
JP4680333B2 (ja) * 1998-12-28 2011-05-11 東京エレクトロンAt株式会社 プラズマ処理方法、エッチング方法、プラズマ処理装置及びエッチング装置
KR100790652B1 (ko) * 1998-12-28 2007-12-31 동경 엘렉트론 에이티 주식회사 플라즈마 처리 방법
KR20000044933A (ko) 1998-12-30 2000-07-15 김영환 반도체 소자의 금속층 식각 방법
US6921722B2 (en) 2000-05-30 2005-07-26 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation of the same
US6407001B1 (en) 2000-06-30 2002-06-18 Intel Corporation Focused ion beam etching of copper
FR2842388B1 (fr) * 2002-07-11 2004-09-24 Cit Alcatel Procede et dispositif pour la gravure de substrat par plasma inductif a tres forte puissance
JP2006054334A (ja) * 2004-08-12 2006-02-23 Seiko Epson Corp 半導体製造装置、スパッタリング装置、ドライエッチング装置及び半導体装置の製造方法
WO2008021501A2 (en) 2006-08-18 2008-02-21 Piero Sferlazzo Apparatus and method for ultra-shallow implantation in a semiconductor device
US8101510B2 (en) * 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8603591B2 (en) 2009-04-03 2013-12-10 Varian Semiconductor Ewuipment Associates, Inc. Enhanced etch and deposition profile control using plasma sheath engineering
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
KR20110098355A (ko) 2010-02-26 2011-09-01 성균관대학교산학협력단 중성빔 식각 장치를 이용한 원자층 식각 방법
US8617411B2 (en) 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
WO2013145022A1 (ja) * 2012-03-30 2013-10-03 株式会社日立製作所 炭化珪素半導体装置の製造方法
US8497486B1 (en) * 2012-10-15 2013-07-30 Varian Semiconductor Equipment Associates, Inc. Ion source having a shutter assembly
US9288889B2 (en) * 2013-03-13 2016-03-15 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for energetic neutral beam processing
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
US9293301B2 (en) * 2013-12-23 2016-03-22 Varian Semiconductor Equipment Associates, Inc. In situ control of ion angular distribution in a processing apparatus

Also Published As

Publication number Publication date
JP2018523922A (ja) 2018-08-23
TW201707085A (zh) 2017-02-16
US20170042010A1 (en) 2017-02-09
US10004133B2 (en) 2018-06-19
JP6866350B2 (ja) 2021-04-28
KR20180029261A (ko) 2018-03-20
WO2017027165A1 (en) 2017-02-16
US9706634B2 (en) 2017-07-11
US20170311430A1 (en) 2017-10-26
CN107924838A (zh) 2018-04-17
TWI697047B (zh) 2020-06-21

Similar Documents

Publication Publication Date Title
CN107924838B (zh) 处理衬底的装置与***及蚀刻衬底的方法
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
US8603591B2 (en) Enhanced etch and deposition profile control using plasma sheath engineering
US11075057B2 (en) Device for treating an object with plasma
JP6827462B2 (ja) 基板を処理するための装置、システム及び方法
KR20110135871A (ko) 플라즈마 처리 장치
KR20130114607A (ko) 플라즈마 처리 장치 및 방법
JP2018529224A5 (ja) 基板を処理するための装置、システム及び方法
WO2003030240A2 (en) Etching method and apparatus
US9514918B2 (en) Guard aperture to control ion angular distribution in plasma processing
US11361935B2 (en) Apparatus and system including high angle extraction optics
CN108269727A (zh) 电容耦合等离子体处理装置与等离子体处理方法
US20130082030A1 (en) Plasma Tuning Rods in Microwave Resonator Plasma Sources
TWI752698B (zh) 帶狀射束電漿增強化學氣相沉積系統及操作其的方法
TWI795794B (zh) 處理系統、包括高角度提取光學元件之提取總成
JPH1167493A (ja) プラズマ処理装置及びプラズマ処理方法
US10256095B2 (en) Method for high throughput using beam scan size and beam position in gas cluster ion beam processing system
CN118103940A (zh) 紧密型低角度离子束提取组合件与处理装置
CN112201557A (zh) 基板处理装置和方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant