CN106462076B - 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法 - Google Patents

设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法 Download PDF

Info

Publication number
CN106462076B
CN106462076B CN201480079475.2A CN201480079475A CN106462076B CN 106462076 B CN106462076 B CN 106462076B CN 201480079475 A CN201480079475 A CN 201480079475A CN 106462076 B CN106462076 B CN 106462076B
Authority
CN
China
Prior art keywords
radial component
target
diffraction
amplitude
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480079475.2A
Other languages
English (en)
Other versions
CN106462076A (zh
Inventor
A·J·登博夫
K·布哈塔查里亚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN106462076A publication Critical patent/CN106462076A/zh
Application granted granted Critical
Publication of CN106462076B publication Critical patent/CN106462076B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70158Diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/708Mark formation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

由光刻工艺形成度量目标,每个目标包括底部光栅和顶部光栅。可以通过使用辐射照明每个目标并且观测衍射辐射中非对称性而度量光刻工艺的重叠性能。选择度量配方和目标设计的参数以便于最大化重叠测量的精度而不是可重复性。方法包括计算在(i)表示由顶部光栅衍射的辐射的第一辐射分量与(ii)表示在穿过顶部光栅和***层之后由底部光栅衍射的辐射的第二辐射分量之间的相对幅度和相对相位的至少一个。顶部光栅设计可以修改以使得相对幅度接近均一。度量配方中照明辐射的波长可以调节以使得相对相位接近π/2或3π/2。

Description

设计度量目标的方法、具有度量目标的衬底、测量重叠的方 法、以及器件制造方法
相关申请的交叉引用
本申请要求享有2014年6月2日提交的美国临时申请62/006,524的权益,该申请在此通过全文引用的方式并入本文。
技术领域
本发明涉及一种在例如由光刻技术制造器件中可使用的度量的方法和设备,以及使用光刻技术制造器件的方法。
背景技术
光刻设备是将所希望图案施加至衬底上、通常至衬底的目标部分上的机器。光刻设备可以用于例如集成电路(IC)的制造。在该情形中,备选地称作掩模或刻线板的图案化装置可以用于产生待形成在IC的单个层上的电路凸形。该凸形可以转移至衬底(例如硅晶片)上的目标部分(例如包括一个或数个裸片的一部分)上。图案的转移通常是经由成像至提供在衬底上的幅射敏感材料(抗蚀剂)的层上。通常,单个衬底将包含后续被图案化的相邻目标部分的网络。
在光刻工艺中,常常希望对所制造的结构进行测量,例如用于工艺控制和验证。用于进行这种测量的各种工具是已知的,包括通常用于测量关键尺寸(CD)的扫描电子显微镜,以及用于测量重叠、器件中两个层的对准精确度的专用工具。近期,已经研发了各种形式的散射仪以用于光刻领域。这些装置引导辐射束至目标上并且测量被散射辐射的一个或多个属性-例如作为波长函数的、在单个反射角下的强度;作为反射角的函数的、在一个或多个波长下的强度;或者作为反射角的函数的偏振-以用于获得可以由此确定感兴趣目标属性的衍射“频谱”。
在已知的度量技术中,通过当旋转目标或者改变照明模式或成像模式以分立地获得-1和+1阶衍射强度时、在某些条件下测量目标两次而获得重叠测量结果。比较针对给定光栅的这些强度提供了对光栅非对称性的测量,并且重叠光栅中非对称性可以用作重叠误差的指示项。
如已知的那样,每个产品和工艺要求小心地设计度量目标并选择由此将执行重叠测量的合适的度量“配方”。工作的已知方式目的在于改进测量的可重复性,例如由“总体测量不确定性”或TMU来度量。本发明人已经发现,这些已知设计技术在某些情形下不完全成功,并且可以导致在它们自身之间一致、但是不如它们在真实制造环境中所应该的那么精确的测量。
发明内容
希望提供一种针对重叠目标和度量配方的设计方法,其中更好地限定并控制精确度,以及针对抵抗工艺引发的变化是稳健的。
第一实施例提供了一种设计待由光刻工艺形成的度量目标的方法。每个目标包括待在衬底上形成的下层中的第一周期性结构,以及在衬底上待形成的上层中在第一周期性结构之上的第二周期性结构,从而可以通过使用辐射照射每个目标并且观测在所得的衍射频谱的某些部分中的非对称性而从而测量光刻工艺的重叠性能。选择针对度量配方参数和设计参数的值,以便于当由光刻工艺形成根据所选择的值的度量目标并且对其进行测量时,最大化重叠测量的精确度,而不是最大化可重复性。
在另一实施例中,提供了一种设计待由光刻工艺所形成的度量目标的方法。每个目标包括在衬底上待形成的下层中的第一周期性结构,以及在衬底上待形成的上层中第一周期性结构之上的第二周期性结构,从而可以通过使用辐射照射每个目标并且观测在所得的衍射频谱的某些部分中的非对称性而测量光刻工艺的重叠性能。方法包括:限定表示待用于测量重叠的照明辐射的属性的一个或多个度量配方参数;限定表示目标的设计的一个或多个设计参数;计算在以下两项之间的相对幅度和相对相位中的至少一个,(i)当由第二周期性结构衍射时表示照明辐射的第一辐射分量和(ii)表示当在穿过第二层至第一层并且返回第二层之后由第一周期性结构衍射时照明辐射的第二辐射分量;以及基于计算所得的相对幅度和/或相对相位而选择用于度量配方参数和设计参数的值,以便于当由光刻工艺形成并测量根据所选择值的度量目标时最大化重叠测量的精确度。
方法可以进一步包括根据所选择参数值在衬底上形成度量目标。
另一实施例提供了一种具有由光刻工艺所形成的多个度量目标的衬底。每个目标至少包括在衬底上形成的下层中的第一周期性结构,以及在上层中在第一周期性结构之上形成的第二周期性结构,从而可以通过使用辐射照明每个目标并且观测在所得的衍射频谱的某些部分中的非对称性,测量第一和第二周期性结构之间的对准。第二周期性结构是经修改的光栅,其被形成用于相针对衍射频谱的一部分而具有降低的衍射效率,从而针对某一波长的辐射,由第二周期性结构衍射的辐射分量的幅度被弱化至与由第一周期性结构所衍射的辐射分量相同的数量级,已经通过使得材料穿过第二层和一个或多个***层。
本发明在其他方面中提供了用于光刻工艺的图案化装置配对;计算机程序产品和制造器件的方法,其中产品图案的顺序被使用光刻工艺而应用于一连串衬底,所有均在所附权利要求中限定。
以下参照附图详细描述本发明的其他特征和优点、以及本发明各个实施例的结构和操作。应该注意的是本发明不限于在此所述的具体实施例。在此展现这些实施例仅为了示意性说明目的。基于在此所包含的教导,额外的实施例针对相关领域的技术人员将是明显的。
附图说明
现在将仅借由示例的方式、参照附图描述本发明的实施例,其中:
图1示出了根据本发明实施例的光刻设备;
图2示出了根据本发明实施例的光刻单元或集群;
图3A-图3D包括:图3A是根据本发明实施例使用照明光圈的第一配对的用于测量目标的暗场散射仪的示意图,图3B是针对给定照明方向目标光栅的衍射频谱的细节,图3C是使用用于基于衍射的重叠测量的散射仪而提供了其他照明模式的照明光圈的第二配对,以及图3D是组合第一和第二光圈配对的照明光圈的第三配对;
图4示出了多个光栅目标的已知形式以及衬底上测量光斑的轮廓;
图5示出了在图3的散射仪中获得的图4的目标的成像;
图6是示出了根据本发明第一实施例的使用图3的散射仪和创新性度量目标的重叠测量方法的步骤的流程图;
图7是重叠度量目标的示意性剖视图;
图8示出了在使用诸如图7中所示目标的度量中针对衍射信号有贡献的第一和第二辐射分量;
图9示出了当对这些目标执行基于衍射的重叠度量时获得的仿真强度信号;
图10示出了由实验获得的对应强度信号;
图11示出了当执行基于衍射的重叠度量时在第一辐射分量和第二辐射分量之间相对相位的影响;
图12示出了在基于衍射的重叠度量的分析中相对相位对系数K的影响;
图13示出了用于重叠度量的用于计算信号品质指示项的值;
图14A-图14D示出了通过修改重叠度量目标设计中顶层光栅而改进信号对比度;
图15和图16是根据本发明实施例的示例性设计方法的流程图;
图17是示出了三个不同品质指示项如何受由图15的方法所设计的重叠度量目标中顶层光栅强度变化的影响的视图;
图18示出了如用于图15的方法的度量目标的数学模型中扰动的引入;
图19A-图19F示出了针对照明波长的六个参数的视图,用以示出在图15和图16的方法中设计度量配方的过程;以及
图20和图21示出了使用大目标并使用图3的散射仪中的光瞳图像传感器的本发明的备选实施例。
具体实施方式
该说明书公开了包括本发明特征的一个或多个实施例。公开的实施例仅示例了本发明。本发明的范围不限于所公开的实施例。本发明由所附权利要求限定。
所述实施例以及说明书中针对“一个实施例”、“一实施例”、“示例性实施例”等的参考指示了所述实施例可以包括特定特征、结构或特性,但是每个实施例不必包括特定的特征、结构或特性。此外,这些短语不必涉及相同的实施例。进一步地,当结合实施例描述特定特征、结构或特性时,应该理解的是,不论是否明确描述,结合其他实施例而实现这些特征、结构或特性在本领域技术人员的认知范围内。
本发明的实施例可以实施在硬件、固件、软件或其任意组合中。本发明的实施例也可以实施作为存储在机器可读媒介上的指令,其可以由一个或多个处理器读取并执行。机器可读媒介可以包括用于存储或发送形式为机器(例如计算装置)可读的信息的任何机制。例如,机器可读媒介可以包括只读存储器(ROM);随机访问存储器(RAM);磁盘存储媒介;光学存储媒介;快闪存储器装置;电学、光学、声学或其他形式的传播信号等等。进一步地,固件、软件、例行程序、指令可以在此描述为执行某些动作。然而,可以理解该描述仅为了便利并且该动作实际上由计算装置、处理器、控制器或执行固件、软件、例行程序、指令等的其他装置得到。
在详细描述本发明的实施例之前,有益的是展示其中可以实施本发明实施例的示例性环境。
图1示意性地示出光刻设备LA。设备包括配置用于调节辐射束B(例如UV辐射或DUV辐射)的照明***(照明器)IL,构造用于支撑图案化装置(例如掩模)MA并连接至配置用于根据某些参数精确地定位图案化装置的第一***PM的图案化装置支座或支撑结构(例如掩模工作台)MT;构造用于固定衬底(例如涂覆了抗蚀剂的晶片)W并连接至配置用于根据某些参数精确地定位衬底的第二***PW的衬底工作台(例如晶片工作台)WT;以及配置用于将由图案化装置MA赋予辐射束B的图案投影至衬底W的目标部分C(例如包括一个或多个裸片)上的投影***(例如折射式投影透镜***)PS。
照明***可以包括用于引导、定形或控制辐射的各种类型光学部件,诸如折射、反射、磁性、电磁、静电或其他类型光学部件,或者其任意组合。
图案化装置支座以取决于图案化装置的朝向、光刻设备的设计、以及其他条件诸如例如图案化装置是否固定在真空环境中的方式而固定图案化装置。图案化装置支座可以使用机械、真空、静电或其他夹持技术以固定图案化装置。图案化装置支座可以是框架或工作台,例如,如果需要的话其可以是固定或可移动的。图案化装置支座可以确保图案化装置处于所需位置处,例如相针对投影***。在此术语“刻线板”或“掩模”的任何使用可以视作与更常用术语“图案化装置”同义。
在此使用的术语“图案化装置”应该广义地解释为涉及可以用于在其截面中赋予辐射束图案以便于在衬底的目标部分中产生图案的任何装置。应该注意的是赋予辐射束的图案可以不精确地对应于衬底的目标部分中所需图案,例如,如果图案包括相移特征或所谓的辅助特征。通常,赋予辐射束的图案将对应于在目标部分中正形成的装置中的特定功能层,诸如集成电路。
图案化装置可以是透射式或反射式的。图案化装置的示例包括掩模、可编程镜面阵列、和可编程LCD面板。掩模在光刻中是已知的,并且包括诸如二元、交替相移和衰减相移的掩模类型,以及各种混合掩模类型。可编程镜面的示例使用小镜面的矩阵排列,每个镜面可以单独倾斜以便于沿不同方向反射入射的辐射束。倾斜的镜面在由镜面矩阵反射的辐射束中赋予图案。
在此使用的术语“投影***”应该广泛地解释为包括任何类型投影***,包括折射、反射、折反射、磁性、电磁和静电光学***、或其任意组合,如针对所使用曝光辐射合适的,或针对诸如沉浸液体的使用或真空的使用的其他因素合适的。在此术语“投影透镜”的任何使用可以视作与更常用术语“投影***”同义。
如在此所示,设备是透射式类型(例如使用透射式掩模)。备选地,设备可以是折射式类型(例如使用如上所述类型的可编程镜面阵列,或者使用反射式掩模)。
光刻设备可以是具有两个(双台站)或更多衬底工作台(和/或两个或更多掩模工作台)的类型。在该“多台站”机器中,可以并行使用额外的工作台,或者当一个或多个其他工作台正用于曝光时可以在一个或多个工作台上执行准备步骤。
光刻设备也可以是其中可以由具有相对高折射率的液体例如水覆盖衬底的至少一部分的类型,以便于填充在投影***和衬底之间的空间。沉浸液体也可以施加至光刻设备中的其他空间中,例如在掩模和投影***之间。沉浸技术在本领域中是已知的用于提高投影***的值孔径。如在此使用的术语“沉浸”并非意味着诸如衬底的结构必需沉浸在液体中,而是相反地仅意味着在曝光期间液***于投影***和衬底之间。
参照图1,照明器IL从辐射源SO接收辐射束。源和光刻设备可以是分立实体,例如当源是受激准分子激光器时。在这些情形中,源不应视作形成光刻设备的一部分并且辐射束从源SO借助于包括例如合适的引导镜面和/或分束器的束输送***BD而传递至照明器IL。在其他情形中源可以是光刻设备的整体成型部分,例如当源是水银灯时。源SO和照明器IL、如果需要的话与束输送***BD一起可以称作辐射***。
照明器IL可以包括用于调节辐射束的强度分布的调节器AD。通常,可以调节在照明器的光瞳面中强度分布的至少外侧和/或内侧径向范围(通常分别称作σ-外侧和σ-内侧)。此外,照明器IL可以包括各种其他部件,诸如积分器IN和聚光器CO。照明器可以用于调节辐射束,以在其截面中具有所需均匀性和强度分布。
辐射束B入射在图案化装置(例如掩模)MA上,其固定在图案化装置支座(例如掩模工作台MT)上,并且由图案化装置而图案化。通过横跨图案化装置(例如掩模)MA,辐射束B穿过投影***PS,其将束聚焦至衬底W的目标部分C上。借助于第二***PW和位置传感器IF(例如干涉仪装置、线性编码器、2D编码器或电容性传感器),衬底工作台WT可以精确地移动,例如以便于在辐射束B的路径中定位不同的目标部分C。类似地,第一***PM和另一位置传感器(图1中并未明确示出)可以用于相针对辐射束B的路径而精确地定位图案化装置(例如掩模)MA,例如在从掩模库机器检索之后,或者在扫描期间。通常,图案化装置支座(例如掩模工作台)MT的移动可以借助于形成了第一***PM一部分的长冲程模块(粗略定位)和短冲程模块(精细定位)而实现。类似地,衬底工作台WT的移动可以使用形成了第二***PW一部分的长冲程模块和短冲程模块而实现。在步进机的情形中(与扫描机相反),图案化装置支座(例如掩模工作台)MT可以仅连接至短冲程促动器,或者可以被固定。
图案化装置(例如掩模)MA和衬底W可以使用掩模对准标记M1、M2以及衬底对准标记P1、P2而对准。尽管如图所示的衬底对准标记占据了专用目标位置,它们可以位于目标部分之间的空间中(这些已知为划片线对准标记)。类似地,在其中多于一个裸片提供在图案化装置(例如掩模)MA上的情形中,掩模对准标记可以位于裸片之间。小对准标记也可以包括在裸片内,在装置特征之中,在该情形中希望标记尽可能小并且无需任何与相邻特征不同的成像或工艺条件。
所示设备可以用于各种模式。在扫描模式中,当赋予辐射束的图案被投影至目标部分C上时(也即单次动态曝光),同步扫描图案化装置支座(例如掩模工作台)MT和衬底工作台WT。可以由投影***PS的缩放和图像反转特性而确定衬底工作台WT相针对图案化装置支座(例如掩模工作台)MT的速率和方向。在扫描模式中,曝光场的最大尺寸限值了目标部分在单次动态曝光中的宽度(沿非扫描方向),而扫描运动的长度确定目标部分的高度(沿扫描方向)。光刻设备的其他类型以及工作模式是可能的,如本领域广泛已知的。例如,步进模式是已知的。在所谓的“无掩模”光刻中,可编程图案化装置固定地保持,但是具有改变的图案,并且衬底工作台WT被移动或扫描。
也可以使用针对上述使用模式的组合和/或变化,或者使用完全不同的使用模式。
光刻设备LA是所谓的双台站型,其具有两个衬底工作台WTa、WTb,以及两个站台-曝光站台和测量站台-衬底工作台在两者之间可以交换。当在曝光站台上曝光一个衬底工作台上一个衬底时,另一衬底可以装载至在测量站台处另一衬底工作台上并且可以执行各种准备步骤。准备步骤可以包括使用水平传感器LS映射衬底的表面控制以及使用对准传感器AS测量衬底上对准标记的位置。
如图2中所示,光刻设备LA形成了光刻单元LC的一部分,也光刻单元LC有时称作光刻单元或集群,其也包括用于执行对衬底的预曝光和后曝光工艺的设备。常规地这些包括,用于沉积抗蚀剂层的旋涂机SC,用于显影已曝光抗蚀剂的显影机DE,冷却板CH和烘培板BK。衬底操纵器或机器人RO从输入/输出端口I/O 1、I/O 2拾取衬底,在不同处理设备之间移动,并且随后将它们输送至光刻设备的进料台LB。通常共同称作轨道的这些装置在轨道控制单元TCU的控制之下,TCU自身由监管控制***SCS控制,SCS也经由光刻控制单元LACU而控制光刻设备。因此,可以操作不同的设备以最大化产量和处理销量。
已知的散射仪的示例包括US2006033921A1和US2010201963A1中所述类型的角度分辨散射仪。由该散射仪使用的目标是相对较大的,例如40μm乘以40μm,光栅和测量束产生小于光栅的光斑(例如光栅未填满)。使用衍射阶量的暗场检测的基于衍射的重叠度量使能对更小目标重叠测量。暗场度量的示例可以在国际专利申请WO2009/078708和WO 2009/106279中找到,在此通过全文引用的方式并入本文。技术的其他进展已经描述在已公开的专利公开US20110027704A、US20110043791A、US20120044470A、US20120123581A、US20130258310A和US20130271740A中;以及在美国专利申请61/652,552和61/803,673中。这些目标可以小于照明光斑并且可以由晶片上产品结构围绕。可以使用复合光栅目标在一个图像中测量多个光栅。所有这些申请的内容也通过参考而并入本文中。适用于本发明实施例中的度量设备(散射仪)示出在图3(a)中。光栅目标T和衍射的射线更详细示出在图3(b)中。设备的更多细节以及其形式和使用的变化提供在US2011027704以及如上所述其他现有技术专利申请中。这些现有专利、公开和申请的全部内容通过参考而在此并入本文。散射仪可以是独立装置或者包括在光刻设备LA中,例如在测量站台或光刻单元LC处。具有遍及设备的数个分支的光轴由虚线O表示。在该设备中,由源11(例如氙灯)发出的光经由分束器15被包括透镜12、14以及物镜16的光学***引导至衬底W上。这些透镜以4F排列的双重序列而设置。可以使用不同的透镜排列,假设其仍然提供衬底图像至检测器上,并且同时地允许访问中间光瞳面以用于空间频率滤波。因此,可以通过在展示衬底平面的空间频谱的平面、此处称为(共轭)光瞳面中限定空间强度分布而选择辐射入射在衬底上的角度范围。特别地,这可以通过在作为物镜光瞳面的背投图像的平面中在透镜12和14之间***合适形式的孔板13而实现。在所示的示例中,孔板13具有不同的形式,标记为13N和13S,允许选择不同的照明模式。本示例中孔板形成各种离轴照明模式。在第一照明模式中,孔板13N从为了说明而标记为“北”的方向提供离轴照明。在第二照明模式中,孔板13S用于提供类似的照明,但是从标记为“南”的相反方向。通过使用不同的光圈其他照明模式是可能的。光瞳面的剩余部分希望是黑暗的,因为所需照明模式之外的任何不需要的光将干涉所需测量信号。
如图3(b)中所示,光栅目标T放置为与衬底W正交于物镜16的光轴O。从离开轴线O的角度撞击在目标T上的照明射线I引起零阶射线(实线0)和两个一阶射线(单点链线+1和双点链线-1)。应该记住的是,使用填满的小目标光栅,这些射线仅是覆盖了包括度量目标T和其他特征的衬底区域的许多平行射线之一。其中提供复合光栅目标,目标内每个单独光栅将引起其自己的衍射频谱。因为板13中孔径具有有限的宽度(必需承认有用的光量),入射射线I将实际上占据角度范围,并且衍射的射线0和+1/-1将稍微展开。根据小目标的点展开函数,每个+1阶和-1阶将进一步扩散在角度范围之上,而不是如图所示的单个理想射线。注意,光栅节距和照明角度可以设计或调节以使得进入物镜的一阶射线与中心光轴紧密对准。图3(a)和图3(b)中所示射线示出为稍微离轴,纯粹使得它们在图中更易于区分。
由衬底W上目标所衍射的至少0和+1阶分量由物镜16会聚并且引导返回穿过分束器15。返回至图3(a),通过直径地将相对孔径标记为北(N)和南(S),均示出了第一和第二照明模式。当入射射线I来自光轴的南侧时,也即当使用孔板13N应用第一照明模式时,标记为+1(N)的+1阶衍射射线进入物镜16。相反,当使用孔板13S应用第二照明模式时,进入透镜16的是-1阶衍射射线(标记-1(s))。
第二分束器17将衍射束分割为两个测量分支。在第一测量分支中,光学***18使用零阶和一阶衍射束在第一传感器19(例如CCD或CMOS传感器)上形成目标的衍射谱(光瞳面图像)。每个衍射阶分量撞击传感器上不同点,因此图像处理可以比较和对比阶分量。由传感器19捕获的光瞳面图像可以用于聚焦度量设备和/或归一化第一阶束的强度测量值。光瞳面也可以用于非对称测量以及许多测量目的诸如重构,其并非本公开的主题。待描述的第一示例将使用第二测量分支以测量非对称性。
在第二测量分支中,光学***20、22在传感器23(例如CCD或CMOS传感器)上形成衬底W上目标的图像。在第二测量分支中,孔径光阑21提供在与光瞳面共轭的平面中。孔径光阑21用于阻挡零阶衍射束以使得形成在传感器23上的目标的图像仅由-1或+1阶束形成。由传感器19和23捕获的图像输出至图像处理器和控制器PU,其功能将取决于所执行的测量的特定类型。注意,术语“图像”在此以广泛意义而使用。光栅线条的图像同样将不会形成在传感器23上,如果仅存在-1和+1阶分量。
图3中所示孔板13和场阑21的特定形式仅是示例。在本发明的另一实施例中,使用目标的在轴照明,并且具有离轴孔径的孔径光阑用于基本上仅将衍射光的第一阶分量传递至传感器。(在13和21处所示孔径在该情形中被有效地交换。)在又一实施例中,第2、第3和更高阶束(图3中未示出)可以用于测量,替代于或者除了第一阶束之外。
为了形成可适用于这些不同类型测量的照明,孔板13可以包括形成在盘片周围的许多孔径图案,其旋转以将所需图案置于合适位置。备选地或额外地,可以提供并交换一组挡片13以实现相同效果。也可以使用可编程照明装置诸如可变形镜面阵列或透射式空间光调制器。移动的镜面或棱镜可以用作调节照明模式的另一方式。
正如关于孔板13所述,备选地,可以通过改变光瞳光阑21、或者通过取代具有不同图案的光瞳光阑、或者通过使用可编程空间光调制器替换固定场阑而实现用于成像的衍射阶分量的选择。在该情形中测量光学***的照明侧可以保持恒定,而具有第一和第二模式的是成像侧。实际上,存在许多可能类型的测量方法,每个具有其自身的优点和缺点。在一个方法中,改变照明模式以测量不同的阶分量。在另一方法中,改变成像模式。在第三方法中,照明和成像方法保持未改变,但是目标旋转180度。在每个情形中所需的效果是相同的,也即选择非零阶衍射辐射的第一和第二部分,其在目标的衍射频谱中相互相反对称。
尽管在本示例中用于成像的光学***具有由场阑21约束的宽的入口光瞳,在其他实施例或应用中成像***自身的入口光瞳大小可以足够小以约束至所需阶分量,并且因此也用作场阑。如以下进一步所述而使用的不同的光圈挡板示出在图3(c)和图3(d)中。
通常,目标光栅将与其沿着南-北或东-西而延伸的光栅线条对准。也即,光栅将沿衬底W的X方向或Y方向而对准。注意,孔板13N或13S可以仅用于测量朝向一个方向(X或Y取决于设置)的光栅。为了测量正交的光栅,可以实施直达90°和270°的目标旋转。然而,更便利地,来自东方或西方的照明使用孔板13E或13W而提供在照明光学元件中,如图3(c)中所示。孔板13N至13W可以分立地形成并交换,或者它们可以是可以以90、180或270度而旋转的单个孔板。如已经所述,图3(c)中离轴光圈可以提供在场阑21中替代照明孔板13。在该示例中,照明将是在轴的。
图3(d)示出了可以用于组合第一和第二配对的照明模式的孔板的第三配对。孔板13NW具有在北方和东方的孔径,而孔板13SE具有在南方和西方的孔径。假设这些不同衍射信号之间的串扰不太大,可以执行X和Y光栅的测量而并未改变照明模式。另外各种孔板13Q将在图20和图21的示例中示出。
基于衍射的重叠测量-导言
图4示出了根据已知实践形成在衬底W上的复合度量目标。复合目标包括紧密定位在一起的四个单独重叠光栅32至35,从而它们将均在由度量设备的照明光束形成的测量光斑31内。这些光栅的每一个是度量目标。四个目标因此均同时被照明并同时成像在传感器19和23上。在专用于重叠测量的示例中,光栅32至35自身是由在形成于衬底W上半导体器件的不同层中被图案化的重叠光栅所形成的复合光栅。光栅32至35可以具有不同偏置的重叠偏移以便于促进在其中形成了复合光栅的不同部分的层之间重叠的测量。光栅32至35也可以它们的朝向不同,如图所示,以便于沿X和Y方向衍射入射的辐射。在一个示例中,光栅32和34是分别具有+d、-d偏置的X方向光栅。这意味着光栅32的重叠分量被设置为使得:如果它们均精确地印制在它们额定的位置处,一个分量将相针对另一个以距离d而偏移。光栅34的分量被设置使得:如果优选地印制,它们将以d但是沿与第一光栅等相反方向而偏移。光栅33和35是分别具有偏移+d和-d的Y方向光栅。尽管示出了四个光栅,另一实施例可以要求更大矩阵以获得所需精确度。例如,3×3共九个复合光栅的阵列可以具有偏置-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。可以在由传感器23捕获的图像中识别这些光栅的分离图像。
为了制造每个光栅,可以理解,光栅图案(周期性结构)形成在至少两个层中,每个层的图案由诸如刻线板之类的图案化装置而限定。在图4的示例中,假设所有四个光栅形成在层的相同配对中,但是这仅是一个选项。如果需要的话,光栅32和34可以形成为测量在层1和层2之间的重叠,而光栅33和35可以测量不同层之间、例如层3和层4之间的重叠。
图5示出了可以形成在传感器23上并且由其检测的图像的示例,使用在图3的设备中的图4的目标,使用来自图3(d)的孔板13NW或13SE。尽管光瞳面图像传感器19无法分辨不同的单个光栅32至35,但是图像传感器23可以分辨。交叉影线矩形40表示传感器上图像的场,在该图像场内衬底上的照明光斑31成像至对应的圆形区域41中。理想的场是黑暗的。在该暗场图像内,矩形区域42-45表示单个光栅32至35的图像。如果光栅位于产品区域中,产品特征也可以在该图像场的***中可见。尽管在图5的暗场图像中仅示出单个复合光栅目标,但是在实践中由光刻制造的半导体器件或其他产品可以具有许多层,并且需要在不同层配对之间进行重叠测量。针对在层配对之间的每个重叠测量,需要一个或多个复合光栅目标,并且因此在图像场内可以存在其他复合光栅目标。图像处理器和控制器PU使用图案识别处理这些图像以识别光栅32至35的分离的图像42至45。
一旦已经识别了光栅的分离的图像,可以测量那些单个图像的强度,例如通过在识别区域内平均或求和所选择像素强度值。强度和/或图像的其他属性可以相互比较。这些结果可以组合以测量光刻工艺的不同参数。重叠性能是该参数的重要示例,并且比较强度揭示了可以用作重叠度量的非对称性。在用于测量非对称性和因此测量重叠的另一技术中,使用光瞳面图像传感器19。稍后将参照图20和图21描述使用该传感器的示例。
在基于衍射的重叠中形成信号
为了理解在导演中所述类型的误差源,有用的是首先考虑重叠测量的来源,以及进一步详细考虑如何在基于衍射的重叠度量中形成计算中所使用的信号。如上所述,重叠的测量是基于观测在由目标在合适照明下所形成衍射频谱的相反部分之间的非对称性。这些部分包括更高阶衍射辐射,意味着没有零阶分量。在这些示例中所选择的部分包括基本上第一阶,但是如果需要的话可以包括更高阶分量。
图7示出了在截面中重叠光栅目标的一个配对。这些可以例如是在图4中所示形式的复合目标中的目标32和34。底部光栅包括其特征形成在衬底W上的层L1中的周期性结构。具有相同节距P的顶部光栅形成在上层L2中。上层和下层光栅之间的位移X是待测量的重叠OV与已编程偏移(在该示例中,+d或者-d)的组合。针对在图6方法的步骤S5中每个光栅,通过减去+1和-1阶衍射信号的强度而计算非对称性ΔI。这些衍射信号可以与位移X乘以系数K相关,如以下公式中所示:
针对+d偏移,ΔI+=K(OV+d),以及
针对-d偏移,ΔI-=K(OV-d),
其中假设OV和d远小于节距P。从针对不同偏移的非对称性测量的组合而计算重叠OV,如由公式所示:
尽管以上公式是广泛已知并且应用在实际中,性能也取决于最佳度量“配方”的选择,这例如限定了照明辐射的波长和偏振。通常最佳配方由实验确定。本发明人已经认识到,通过从第一原则重新考虑如何可以由待描述的目标设计和配方选择方法揭示并解决测得重叠误差引起的非对称性衍射信号。特别地,可以示出,通常用于确定测量品质的准则在一些情形中无法给出最精确的测量。
图8示意性地示出了入射的辐射中心束800与层L1、L2中底部和顶部光栅的交互作用。每个层产生其自己的衍射光谱,包括例如由顶部光栅衍射的+1和-1阶光束802-和802+,以及由底部光栅衍射的光束804-和804+。这些辐射分量在远场中相互干涉以产生由检查设备测量的衍射信号。根据该示例,顶部光栅衍射的辐射802+具有幅度A和相位α,而表示衍射光谱的相对部分的顶部光栅衍射的辐射802-具有幅度A和相位-α。由幅度B和相位β限定底部光栅衍射的辐射804-和804+。以该表述形式,相位α正比于X/P,其是待测量的光栅偏移。参数A、B和β完全取决于光栅的特性、***的层“堆叠”、以及所施加测量配方。简言之,相位β表示针对在其与底部光栅自身相互作用之前和之后辐射穿过顶部光栅和任何***层的需求有贡献的相位延迟。
按照这两个辐射分量之间的干涉表示检测的强度,我们可以写以下等式:
I1=|Ae+Be|2=A2+B2+2AB×cos[β+α]
I-1=|Ae-jα+Be|2=A2+B2+2AB×cos[β-α]
图9示出了对于示例目标而言针对α的强度I+1和I-1的计算值。注意信号可以如何具有基于约非零平均值的正弦曲线形式。在该示例中,β的值针对偏移X是1.14弧度,如图所示。以下将进一步讨论β的重要性。
图10示出了从真实产品测得强度I+1和I-1的测得值。可以看到与计算值良好一致。查看图表以及图9和图10,信号示出良好的对比度。也即,它们显示与位移X强烈相关性并且可以预期产生精确的重叠测量。不幸的,该良好对比度在所有真实测量中不明显。针对该信号I+1或I-1的对比度值CT可以由以下公式限定:
其中Imax和Imin是在正弦波变化中的相关强度信号的最大值和最小值。CT的范围是从零至1的理论最大值。在建模了顶部和底部光栅信号的干涉结果的以上等式中,当α在整个周期期间变化时,项cos(β+α)或cos(β-α)的范围从+1至-1。本发明人已经观测到,当A=B时获得在测量的强度信号中最佳对比度。尽管简单地陈述,该相关性尚未在现有技术中明确地识别,或者应用于改善目标设计。这导致令人感兴趣的观测结果。值B通常与在实际目标中A相比非常小,因为底部光栅的辐射分量必需两次穿过***的材料层,而形成在刚刚显影的抗蚀剂材料中并未被任何其他材料覆盖的顶部光栅给出非常强的A值。在此公开的方法也可以适用于“刻蚀后”重叠度量,而顶部光栅形成在产品层中。
本发明人已经注意到,仅当A=B时可获得最佳对比度(CT=1.0)。在引言和权利要求的语言中,也即是说,第一和第二辐射分量的相对幅度RA=A÷B是1。如果信号的相对幅度是2(或1/2),CT将减小至0.8。如果RA是4,CT仅低于0.5,并且RA是10,CT仅0.2。
因此,提出了一种用于重叠度量目标的设计方法,其中目的并非使得每个光栅针对所观测的衍射信号具有最强可能的贡献,而是具有更等于掩埋的底部光栅的贡献的光栅。这可以包括大大“削弱”的贡献的光栅(通常是顶部光栅),如以下将描述的那样。
除了在由相对幅度RA强烈影响的每个强度信号的对比之外,以上等式揭示相对相位β的强影响,如现在将解释说明的那样。在此公开的目标设计方法(更精确地,目标和配方设计方法)探寻优化β的值。
图11示出了在强度信号I+1和I-1与偏移X之间相位相互关系(便利地根据相位α而表达),当它们的相对相位的固定分量β在半周期期间变化时。为了良好品质的测量,强度应该显示随X变化的对比行为。然而,如图所示,当β约为π/2时可以存在对比行为,甚至如果β约为零则完全缺省。因此,即使每个信号中对比度CT非常高,重叠测量也取决于的对比行为高度依赖于相对相位β。本发明人已经认识到,在某些制造情形中由于β的值而存在度量的困难。
也可以解析地得到在β和测量品质之间的相互关系。可以回想,从观测到的强度值中的非对称性ΔI计算重叠测量OV取决于系数K。以下等式根据如上限定的参数A、B和β而得到K的值。为了简化表达,假设X<<P,因此sin(α)可以近似为2πX/P。
图12绘制了由所得的公式计算所得的K和β的值对比。观测到K近似零,并且当β约为零或π时针对β非常敏感。我们可以据此预测:重叠的测量将具有不良的灵敏度,并且具有针对工艺变化的高相关性。另一方面,当β近似π/2或3π/2时,针对因工艺变化所致的β的小变化而言,K既高又相对稳定。
现在,相对相位β取决于如下两项:针对第二辐射分量的有效光程差异,以及用于测量的辐射的波长λ。有效光程差异由产品设计和工艺需求而确定,并且通常无法被影响作为目标设计过程的一部分。波长项λ可选择作为设计过程的一部分,并且是度量配方中规定的参数。因此,所公开的设计方法探寻使得β尽可能接近π/2或3π/2。尽管工艺变化将意味着β不是优选地可控的,但是将其设计为具有这些理想值的一个值将意味着重叠测量的品质对工艺变化更容忍。
在真实的设计问题中,目标设计和/或度量配方设计均可以具有数个可变参数。最优设计解决方案将在所需的与实际情形中可实现的之间折衷。作为简单示例,现有的度量设备可以不具有无限可调的波长,但是仅具有少数波长可以从其选择。偏振的选择可以是有影响的。为了简化针对最优方案的搜索,一个或多个品质度量可以限定用于评估度量配方和目标设计的候选组合的成功。特别地,可以适用于实验目标的品质的度量可以是有用的。
图13示出了包封了对比度准则(相对幅度RA接近1)和相对相位准则(相对相位β接近π/2或3π/2)的信号品质指示项S的推导。也可以称作堆叠灵敏度指示项的该指示项可以用于对真实目标的实验测量,和/或用于仿真仿真测量。在图中,水平轴表示与周期P成比例的偏移X。绘制了强度信号I+1和I-1(针对理想情形其中OV=0)。曲线的实心部分表示由偏置光栅的典型配对覆盖的范围。作为示例,每个层中光栅节距可以是P=700nm并且可以施加偏置±d=±20nm。可以(从真实样本或在仿真仿真中)测量平均强度Im和强度变化ΔI。为了实际目的,ΔI可以限定为在两个偏置值+d和-d之间强度信号之一的差值,或者针对偏置值之一两个强度信号之间的差值。后者的ΔI限定是图中所示的一个,但是前者的限定可以方便地用于实验,因为将抵偿任何未知重叠的影响。平均强度Im简单的是(I+1+I-1)/2。限定信号品质指示项S为ΔI/Im,我们可以规定:
针对根据参数A,B,α和β的强度代入以上所得的表达式,可以示出:
注意,该单个度量S包括RA和β在单个度量中的影响。最大信号品质(最大重叠测量精度)将由值S=0.5表示,尽管自然可以使用任何比例刻度。作为指导,人们可以例如选择S>0.2作为用于足够信号品质的测试。图13的视图也示出了一种可能的实验方法,以验证我们是否也提到可以实验地验证是否已经达到β=π/2或3π/2。每个信号I+1和I-1的强度应该在α=0处和在α=π处相同。如果实验目标提供为“线条上线条”和“沟槽上线条”版本,则可以直接地测试该等同性。
关于实践设计方法,首要需求是能够调节形成重叠度量目标的周期性结构的一个或两者的强度。通常顶部光栅是应该被调节的那一个,这是因为底部光栅贡献已经较弱。
图14在(a)处示出了其中顶部光栅900在周期P内仅具有主特征902的示例重叠度量目标的剖面。这是具有50%掩模-间距比的常规光栅。图14(b)示出了其中修改顶部光栅以在每个周期P内具有主特征902和次特征904的修改目标。次特征有效地将谐波引入顶部光栅的周期中。通过该修改,在由检查设备所检测的衍射频谱的部分之外,辐射将被转为衍射频谱的较高阶分量。
主特征和次特征的相对尺寸可以作为设计参数而调节。参数ΔCD限定在示例性实施方式中。在ΔCD=0处,次特征和主特征大小相等。随着ΔCD增大,当主特征增大时次特征的尺寸缩减,直至仅剩下主特征(图14(a)情形)。图14(b)情形由ΔCD的中间值实现。弱化顶部光栅的其他修改形式自然也是可能的。一个示例将是子区段沿正交于周期性方向的方向而排列成行。例如在X方向光栅中,每个线条可以形成作为沿着Y方向延伸的线条的区段集合。
图14(c)和图14(d)图示地示出了该设计修改对重叠测量的信号品质的影响。同样,为了示例,目标被设计具有P=700nm并且d=20nm。也假设针对该示意图已经实现了β=π/2或3π/2。注意,在图(c)和图(d)中的视图具有不同的垂直比例。对应于常规目标(a)的视图(c)示出了比对应于在(b)处修改设计的图(d)远远更大的信号强度。然而,这并未指示更好的测量。可以显示的是针对常规目标,对比度CT仅0.55,并且信号品质指示项S仅0.23。相反地,针对修改设计(b),图(d)揭示0.92的对比度CT以及0.35的信号品质指示项S。这些值比常规目标远远更好。
用于目标和度量配方的示例性设计方法
图15示出了实施如上已识别原理的设计方法的一个示例。在该示例中,假设无法由设计更改底部光栅和堆叠。假设顶部光栅施加在抗蚀剂层中并且可以由诸如ΔCD的设计参数而改变。度量配方也具有可以由设计改变的参数。这种参数的主要示例是波长和偏振,但是真实的检查设备可以提供对数个额外参数的控制。熟练的读者可以容易的设计其他方法,并且本发明不限于该特定示例。限定以下步骤:
在度量目标的数学方法中在底部光栅和堆叠的顶部上放置可调强度的抗蚀剂光栅。
针对所有可应用的测量配方计算β(针对更多细节参见图16)。
选择其中β接近π/2或3π/2的配方。
针对每个所选择的配方:调节抗蚀剂光栅的强度直至所观测衍射阶分量的“对比度”被最大化。
施加扰动(例如底部光栅非对称性BGA)至已处理的底部光栅并且针对所有选择的配方计算所包括的OV误差。
选择具有最低OV误差以及具有足够信号由传感器检测的配方。
扰动的施加是有效的,以确保所选择的设计在真实条件范围下允许高测量品质,而不仅是在理想条件下。可以针对其上需要重叠目标的所有产品光栅而重复以上步骤。如已经所述,图15和图16的特定方法步骤可以改变而并未脱离在此所公开的原理。例如,当所示方法步骤隐含地导致信号品质指示项S的良好值时,备选的方法可以是明确地计算S并且使用这作为评估候选设计和配方的基础。
图16更详细示出了执行计算β的步骤的一种方式。同样,这仅是一个示意性示例。(由使用数学模型的计算所执行的)步骤为:
在X=-P/2处放置抗蚀剂光栅并且计算衍射频谱(例如第一阶)的观测到部分的第一衍射效率
在X=-P/4处放置抗蚀剂光栅并且计算第二衍射效率DEB。
在X=0处放置抗蚀剂光栅并且计算第三衍射效率DEC。
在X=+P/4处放置抗蚀剂光栅并且计算第四衍射效率DED。
从计算所得的衍射效率DEA至DED而计算相对相位β。
用于从四个衍射效率计算相对相位β的公式是:
图17示出了在以上设计方法中所使用的品质指示项和在度量目标和配方的设计中当前应用的品质度量之间的差异。同样地,为了示例,目标被设计具有P=700nm以及d=20nm。视图示出了针对顶部光栅强度参数ΔCD的三个品质参数的绘图。光栅强度从左手侧处的最小值变化至右手侧的全部强度(全部强度在该示例中由ΔCD=100nm表示)。假设目标是完美的(不具有扰动),并且β接近π/2。
视图中绘制的品质指示项是:
光子散粒噪声PN(以任意单位)。
以百分比的整个目标的衍射效率DE(在任意比例上)。此处DE限定为衍射的入射照明功率与在度量方法中观测到1阶分量的功率比例。
如上所述的对比度CT,在0至1的数量级上。
第一指示项在本领域是广泛公认的,并且光子散粒噪声特别地通常用作待增大的品质指示项。最小化PN带来的益处是所得的测量是一致的,并且较少受到随机误差的影响。该一致性通常表示为低“总体测量不确定性”或TMU。然而,本发明人已经认识到,低TMU指示测量一致性,但是并非总是良好测量精确度的良好指示项。虽然常规工作方式可能青睐具有ΔCD参数被设置为最小化PN和/或最大化DE的设计。这将意味着在ΔCD轴线上选择由开放圆表示的ΔCD值。基于最大化对比度CT的新设计方法建议在由实心圆表示的值处可以获得更好的结果。光子散粒噪声中稍微增大将增大TMU,但是测量将是更精确和工艺稳健的。
应用示例和结果
图18示出了具有P=700nm的示例目标以及其中已经优化ΔCD至最大对比度的已弱化顶部光栅。已经引入某一底部光栅非对称性BGA=1nm作为可能发生在真实制造工艺中的扰动的示例。针对度量目标和度量配方的设计者的问题是如何获得重叠的精确测量,针对这些扰动这是相对稳健的。现在将示出如何在高效技术中控制相对相位β。注意可以通过在度量中所使用的辐射波长λ的选择。
图19示出了针对波长λ的范围绘制的设计和测量方法的四个参数的视图(a)至(d)。图(e)和(f)指示了结果。所有这些测量是基于图18的目标,具有其引起的底部光栅非对称性。可以使用TE或TM偏振进行测量并且分立地绘制这些。配方可以被设计为用于使用TE和TM辐射的任一或两者。考虑第一四个视图,以下的参数已经在上面讨论并且在此绘制:
(a)示出了平均强度Im=(I+1+I-1)/2,如上所限定。(b)示出了如上所述的系数K。
(c)示出了如上所述的堆叠灵敏度S。
(d)以度数示出了如上所限定的相对相位β
图表确认了在以上讨论中做出的观测。例如:测得信号的高平均强度不是高堆叠灵敏度S的良好指示项(反之则适用);针对λ的变化也相对不灵敏的K的高值对应于其中β约为90度(弧度)的值λ。
转向结果,图(e)示出测量的“复制品”或可重复性。白点指示:目的在于最小化TMU的常规设计工艺将建议使用稍微在770nm之上波长的TE辐射。然而图(f)指示来自底部光栅非对称性扰动的重叠测量中的误差。黑点指示导致最小误差的配方是使用TM辐射的那个。此外,图示出通过选择约760nm波长,设计的工作点可以放置在重叠误差与波长关系图中非常宽的最小值的中部。这不仅促进了合适波长的选择,如果检查设备仅具有受限的可应用波长,其也指示在真实制造情形中工艺诱发扰动的高容忍度。
光瞳面中基于衍射的重叠
参照图20和图21,创新方法可以不仅适用于使用暗场散射仪的小目标,而且使用光瞳面图像传感器19而也适用于使用大目标和角度分辨散射仪。例如,使用在13Q处所示的对称性、分段照明分布曲线。标记为a和b的两个径向相对的象限在该光圈凸形中是明亮的(透明的),而另外两个象限是黑暗的(模糊的)。根据已公开专利申请US20100201963,该类型光圈在散射仪设备中是已知的。如在图12的中心处可见,使用由照明光斑31未充满的目标光栅732。附图中未示出的是,该光栅732是形成了复合目标的分量光栅的更大光栅集合的一部分。根据图8的示例类推,可以存在分量重叠光栅732至735以及辅助分量光栅732’至735’以及732”至735”。
然而,在图4至图6的示例中,在对应于衬底W的平面的图像平面中使用检测器23,图12和图13的方法使用位于与物镜16的光瞳面共轭的平面中的检测器19。检测器19可以是图像传感器,例如CCD相机传感器。备选地,单个点检测器可以替代于图像传感器而配置。尽管由孔板13Q提供的照明凸形在图2中左手侧边处具有标记为a和b的明亮象限,由传感器19看到的衍射凸形表示在右手侧处。在该图案中,除了标记为a0和b0的零阶反射分量之外,存在可见的第一阶衍射信号,标记为a-1、a+1、b-1、和b+1。因为照明孔径的其他象限是黑暗的,以及更通常的因为照明凸形具有180°旋转对称性,衍射阶分量a-1和b+1是“自由的”意味着它们不会与来自照明孔径的其他部分的零阶或更高阶信号重叠。可以利用分段照明图案的该属性以从衍射光栅(重叠目标)获得清晰的第一阶信号,衍射光栅具有的节距是如果使用常规的圆对称照明孔径可以成像的最小节距的一半。该衍射图案和可以使用以用于散射仪的方式描述在已知的申请US20100201963中。
图21是使用来自目标732等的图20的衍射光谱以获得针对分辨率失配校正的重叠测量的方法的流程图。步骤S11至S15紧密对应于图6方法的步骤S1至S6,并且将不再详述。主要差别如下。图6的方法通过比较如使用传感器23捕获的第一和第二图像所见的光栅图像42的强度而获得针对(例如)光栅32的非对称信号。相反地,图21的方法通过对从在光瞳图像传感器19上相同衍射频谱内提取的+1和-1衍射阶分量的强度进行比较,获得针对(例如)光栅732的非对称信号。
在此公开的技术使能设计并使用度量目标和配方以实现高精度重叠测量。方法可以例如适用于针对工艺变化尽可能稳健地进行测量,并且使得它们即便在特定堆叠的情形中也是有效的,这针对由当前工作方式解决是有挑战性的。
应该理解的是在以上示例中所使用的特定参数不仅是可以限定的那些。根据待用于度量的光刻设备和检查设备的限制,可以在真实设计过程中使用额外的和/或备选的参数。检查设备可以是现有的设备,其中可以限制照明模式和波长的选择。在例如具有激光泵浦的等离子体广元的未来设备的情形中,波长的更广阔选择可以是可应用的,并且该灵活性可以由在此所公开设计方法完全使用。尽管如上所述的目标结构是为了测量目的特别设计和形成的度量目标,在其他实施例中,可以对作为形成在衬底上器件的功能部分的目标测量其属性。许多器件具有规则的、光栅状结构。如在此使用的术语“目标光栅”和“目标结构”并不要求已经特定地提供结构用于所执行的测量。
与如在衬底和图案化装置上实现的目标的物理光栅结构相关联,实施例可以包括计算机程序,包括描述了在衬底上设计并制造目标的方法的机器可读指令的一个或多个序列,以及相关联的度量配方。该计算机程序可以例如执行在用于设计过程的分立计算机***中。备选地,设计过程可以完全地或部分地执行在图3的设备中单元PU和/或图2的控制单元LACU内。也可以提供具有该计算机程序存储在其中的数据存储媒介(例如半导体存储器、磁盘或光盘)。
尽管已经在光学光刻的上下文中针对本发明实施例的使用做出了具体参考,可以理解本发明可以用于其他应用中,例如压印光刻,以及其中上下文允许的,不限于光学光刻。在压印光刻中,图案化装置中的拓扑结构限定了形成在衬底上的图案。一旦通过施加电磁辐射、热能、压力或其组合而固化了抗蚀剂,图案化装置的拓扑结构可以被压入提供至衬底的抗蚀剂层中。在固化了抗蚀剂之后从抗蚀剂移除图案化装置,在其中留下图案。
在此使用的术语“辐射”和“束”包括所有类型电磁辐射,包括紫外(UV)辐射(例如具有或约为365、355、248、193、157或126nm的波长)和极紫外(EUV)辐射(例如具有在5-20nm范围中的波长),以及粒子束诸如离子束或电子束。
如上下文允许的,术语“透镜”可以涉及各种类型光学部件的任意一个或组合,包括折射、反射、磁性、电磁和静电光学部件。
具体实施例的前述描述也将完全揭示本发明的通常本质,使得他人可以通过应用在本领域技术范围内的认知而容易地修改和/或改变用于该具体实施例的各种应用,并未不适当的实验,并未脱离本发明的通常概念。因此,该改变和修改意在落入所公开实施例的等价形式的含义和范围内,基于在此所展示的教导和引导。应该理解的是在此短语或术语是为了由示例描述的目的,而非限制的目的,使得本说明书的术语或短语由熟练技术人员根据教导和引导而解释。
本发明的宽度和范围不应由任意上述示例性实施例限制,而是应该仅根据以下权利要求及其等价形式而限定。
可以理解意在使用具体实施方式部分而不是发明内容和摘要部分解释权利要求。发明内容和摘要部分可以阐述如由本发明人设计的本发明的示例性实施例的一个或多个而不是全部,并且因此并非意在以任何方式限定本发明和所附权利要求。
已经借助于示出了具体功能的实施方式的功能性构建组块及其相互关系而描述了本发明。为了说明的便利已经任意地限定这些功能构建组块的界限。可以限定备选的界限,只要合适地执行具体功能及其相互关系。
具体实施例的前述说明书也完全揭示了本发明的通常本质以使得他人可以通过应用在本领域技能范围内的认知而容易地修改和/或改变该具体实施例的各种应用,并未不适当的试验,并未脱离本发明的通常概念。因此,该改变和修改意在落入所公开实施例的等价形式的含义和范围内,基于在此所展示的教导和引导。应该理解的是在此短语或术语是为了由示例描述的目的,而非限制的目的,使得本说明书的术语或短语由熟练技术人员根据教导和引导而解释。
本发明的宽度和范围不应由任意上述示例性实施例限制,而是应该仅根据以下权利要求及其等价形式而限定。

Claims (22)

1.一种设计待由光刻工艺形成的度量目标的方法,每个目标包括在衬底上的下层中待形成的第一周期性结构、以及在所述衬底上的上层中在所述第一周期性结构之上待形成的第二周期性结构,从而能够通过使用照明辐射来照射每个目标并且观测在所得的衍射频谱的一些部分中的非对称性,测量所述光刻工艺的重叠性能,所述方法包括:
-限定一个或多个度量配方参数,所述一个或多个度量配方参数表示待用于测量重叠的所述照明辐射的属性;
-限定一个或多个设计参数,所述一个或多个设计参数表示所述目标的设计;
-计算在以下两项之间的相对幅度和相对相位中的至少一个:(i)当由所述第二周期性结构衍射时表示所述照明辐射的第一辐射分量,以及(ii)在穿过第二层至第一层并且返回所述第二层之后当由所述第一周期性结构衍射时表示所述照明辐射的第二辐射分量;
-基于计算所得的相对幅度和/或相对相位,选择针对所述度量配方参数和所述设计参数的值,以便于当由光刻工艺形成根据所选择值的度量目标并且对其测量时最大化重叠的测量的精确度。
2.根据权利要求1所述的方法,其中,所述目标设计参数被选择为使得所述第一辐射分量和所述第二辐射分量的幅度具有相同的量级。
3.根据权利要求1或2所述的方法,其中,所述第二辐射分量的幅度不大于所述第一辐射分量的幅度的十倍。
4.根据权利要求1或2所述的方法,其中,所述第二周期性结构包括在每个周期内的主特征和次特征,以及所述选择步骤包括调节所述主特征和所述次特征的相对尺寸,以便于将一定比例的所述照明辐射转至所述衍射频谱的未被观测的部分中。
5.根据权利要求1或2所述的方法,其中,所述第一辐射分量和所述第二辐射分量基本上表示由所述周期性结构已在第一阶处衍射的辐射,所述衍射频谱的未被观测的部分包括比所述第一阶更高的阶级。
6.根据权利要求1或2所述的方法,其中,所述度量配方参数和所述设计参数的值被选择为使得在所述第一辐射分量和所述第二辐射分量之间的相对相位接近π/2弧度或3π/2弧度,而非0弧度或π弧度。
7.根据权利要求6所述的方法,其中,所述照明辐射的波长是所述度量配方参数中的一个,并且所述照明辐射的波长被调节为使得所述第一辐射分量和所述第二辐射分量之间的所述相对相位具有期望的值。
8.根据权利要求7所述的方法,其中,所述相对相位的所述期望的值是π/2弧度或3π/2弧度。
9.根据权利要求1或2所述的方法,其中,选择针对所述度量配方参数和所述设计参数的值的步骤包括:
基于计算所得的所述相对幅度和/或所述相对相位,识别至少一个候选设计和多个候选配方,
针对每个配方,当在所述目标的数学模型中应用仿真的工艺变化时,计算仿真的重叠测量,以及
基于在所述仿真的重叠测量中的测量误差,选择设计和配方的最终组合。
10.根据权利要求1或2所述的方法,进一步包括,形成用于在光刻工艺中使用的图案化装置的配对,所述图案化装置限定如下图案,所述图案当顺序地被施加至衬底时将产生产品特征和度量目标,所述度量目标具有根据由如权利要求1或2所述的方法选择的设计参数值而设计的周期性结构。
11.根据权利要求1或2所述的方法,其中,所述第二辐射分量的幅度不大于所述第一辐射分量的幅度的五倍。
12.根据权利要求1或2所述的方法,其中,所述第二辐射分量的幅度不大于所述第一辐射分量的幅度的两倍。
13.一种具有由光刻工艺形成的多个度量目标的衬底,每个目标至少包括在所述衬底上的下层中形成的第一周期性结构、以及在上层中在所述第一周期性结构之上形成的第二周期性结构,从而能够通过使用照明辐射来照明每个目标并且观测在所得的衍射频谱的一些部分中的非对称性,来测量在所述第一周期性结构和所述第二周期性结构之间的对准,其中所述第二周期性结构是经修改的光栅,所述经修改的光栅被形成为具有相对于所述衍射频谱的一些部分具有减小的衍射效率,从而针对一定波长的辐射而言,由所述第二周期性结构衍射的第一辐射分量的幅度被减弱至与所述第一周期性结构衍射的第二辐射分量的幅度相同的量级,所述第一周期性结构衍射的所述辐射分量的幅度已通过穿过一个或多个***层和第二层的材料被减弱。
14.根据权利要求13所述的衬底,其中,所述第一辐射分量和所述第二辐射分量基本上表示已经由所述周期性结构在第一阶处衍射的辐射。
15.根据权利要求13或14所述的衬底,其中,所述第二辐射分量的幅度不大于所述第一辐射分量的幅度的十倍。
16.根据权利要求13或14所述的衬底,其中,所述第二周期性结构包括在每个周期内的主特征和次特征,所述次特征被设计为将一定比例的所述照明辐射转至所述衍射频谱的其他部分中。
17.根据权利要求13或14所述的衬底,其中,所述多个目标包括在所述第一周期性结构和所述第二周期性结构之间具有不同的已知位置偏移的至少第一目标配对。
18.根据权利要求13或14所述的衬底,其中,所述第二辐射分量的幅度不大于所述第一辐射分量的幅度的五倍。
19.根据权利要求13或14所述的衬底,其中,所述第二辐射分量的幅度不大于所述第一辐射分量的幅度的两倍。
20.一种测量光刻工艺的性能参数的方法,所述方法包括如下步骤:
(a)由根据权利要求1至12中任一项所述的方法设计度量目标和度量配方;
(b)执行所述光刻工艺以产生根据步骤(a)中产生的所述设计在衬底上形成多个度量目标的结构;
(c)根据所述配方使用检查设备以通过观测经衍射的辐射来测量在所述目标中的两个或更多目标中的非对称性;以及
(d)使用步骤(c)中得到的非对称性测量的结果,计算作为所述光刻工艺的性能参数的重叠的测量。
21.一种计算机可读介质,包括用于使得处理器执行根据权利要求1至12中任一项所述的设计方法的机器可读指令。
22.一种制造器件的方法,其中使用光刻工艺将产品图案的序列施加至一系列衬底,所述方法包括使用根据权利要求21所述的方法在所述衬底中的至少一个衬底上形成并检查作为所述产品图案的一部分或在所述产品图案旁边的多个度量目标,以及根据计算所得的性能参数控制所述光刻工艺用于后续衬底。
CN201480079475.2A 2014-06-02 2014-08-01 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法 Active CN106462076B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201462006524P 2014-06-02 2014-06-02
US62/006,524 2014-06-02
PCT/EP2014/066616 WO2015185166A1 (en) 2014-06-02 2014-08-01 Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method

Publications (2)

Publication Number Publication Date
CN106462076A CN106462076A (zh) 2017-02-22
CN106462076B true CN106462076B (zh) 2018-06-22

Family

ID=51298739

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480079475.2A Active CN106462076B (zh) 2014-06-02 2014-08-01 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法

Country Status (10)

Country Link
US (2) US10025199B2 (zh)
EP (1) EP3149544B1 (zh)
JP (1) JP6408610B2 (zh)
KR (1) KR101918251B1 (zh)
CN (1) CN106462076B (zh)
IL (1) IL248982B (zh)
NL (1) NL2013293A (zh)
SG (1) SG11201609566VA (zh)
TW (1) TWI558998B (zh)
WO (1) WO2015185166A1 (zh)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015185166A1 (en) 2014-06-02 2015-12-10 Asml Netherlands B.V. Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method
IL290735B2 (en) 2014-11-26 2023-03-01 Asml Netherlands Bv Metrological method, computer product and system
WO2016124399A1 (en) 2015-02-06 2016-08-11 Asml Netherlands B.V. A method and apparatus for improving measurement accuracy
NL2016472A (en) 2015-03-25 2016-09-30 Asml Netherlands Bv Metrology Methods, Metrology Apparatus and Device Manufacturing Method.
US10545104B2 (en) 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
WO2017025373A1 (en) * 2015-08-12 2017-02-16 Asml Netherlands B.V. Inspection apparatus, inspection method and manufacturing method
NL2017466A (en) 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
CN113376973A (zh) 2015-12-17 2021-09-10 Asml荷兰有限公司 量测设备的调节或基于已测量目标的特性而由量测设备进行的测量
KR102392704B1 (ko) * 2015-12-23 2022-04-29 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 측정 수행 방법
JP6682263B2 (ja) * 2015-12-25 2020-04-15 キヤノン株式会社 検出装置、露光装置および物品の製造方法
US10684238B2 (en) 2016-01-11 2020-06-16 Bruker Technologies Ltd. Method and apparatus for X-ray scatterometry
US10453758B2 (en) * 2016-03-01 2019-10-22 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter using an asymmetric optical characteristic distribution portion
JP6839720B2 (ja) * 2016-04-22 2021-03-10 エーエスエムエル ネザーランズ ビー.ブイ. スタック差の決定及びスタック差を用いた補正
JP6895985B2 (ja) * 2016-04-28 2021-06-30 エーエスエムエル ネザーランズ ビー.ブイ. Hhg源、検査装置、および測定を実施する方法
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US10394132B2 (en) * 2016-05-17 2019-08-27 Asml Netherlands B.V. Metrology robustness based on through-wavelength similarity
KR102640173B1 (ko) 2016-06-14 2024-02-26 삼성전자주식회사 회절 기반 오버레이 마크 및 오버레이 계측방법
JP6716779B2 (ja) * 2016-07-21 2020-07-01 エーエスエムエル ネザーランズ ビー.ブイ. ターゲットの測定方法、基板、計測装置およびリソグラフィ装置
WO2018061945A1 (ja) * 2016-09-30 2018-04-05 株式会社ニコン 計測システム及び基板処理システム、並びにデバイス製造方法
US10527952B2 (en) * 2016-10-25 2020-01-07 Kla-Tencor Corporation Fault discrimination and calibration of scatterometry overlay targets
US10504802B2 (en) 2016-11-09 2019-12-10 Kla-Tencor Corporation Target location in semiconductor manufacturing
WO2018087207A1 (en) * 2016-11-10 2018-05-17 Asml Netherlands B.V. Design and correction using stack difference
EP3321738A1 (en) * 2016-11-10 2018-05-16 ASML Netherlands B.V. Method of measuring a parameter of a device manufacturing process, metrology apparatus, substrate, target, device manufacturing system, and device manufacturing method
EP3333633A1 (en) 2016-12-09 2018-06-13 ASML Netherlands B.V. Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
US10983005B2 (en) * 2016-12-15 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Spectroscopic overlay metrology
EP3336605A1 (en) 2016-12-15 2018-06-20 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
US10824079B2 (en) * 2017-01-03 2020-11-03 Kla-Tencor Corporation Diffraction based overlay scatterometry
US10656535B2 (en) * 2017-03-31 2020-05-19 Imec Vzw Metrology method for a semiconductor manufacturing process
US10222202B2 (en) * 2017-05-25 2019-03-05 Varian Semiconductor Equipment Associates, Inc. Three dimensional structure fabrication control using novel processing system
US10663633B2 (en) * 2017-06-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Aperture design and methods thereof
US10866090B2 (en) * 2017-07-06 2020-12-15 Kla-Tencor Corporation Estimating amplitude and phase asymmetry in imaging technology for achieving high accuracy in overlay metrology
EP3454126A1 (en) * 2017-09-08 2019-03-13 ASML Netherlands B.V. Method for estimating overlay
EP3470926A1 (en) * 2017-10-16 2019-04-17 ASML Netherlands B.V. Metrology apparatus, lithographic system, and method of measuring a structure
WO2019083560A1 (en) * 2017-10-23 2019-05-02 Kla-Tencor Corporation REDUCTION OR ELIMINATION OF PATTERN PLACEMENT ERROR IN METROLOGY MEASUREMENTS
US10473460B2 (en) * 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
JP7227988B2 (ja) * 2018-02-27 2023-02-22 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の1つ又は複数の構造の特性を算出するメトロロジ装置及び方法
US11067389B2 (en) 2018-03-13 2021-07-20 Kla Corporation Overlay metrology system and method
KR20210065084A (ko) 2018-07-05 2021-06-03 브루커 테크놀로지스 리미티드 소각 x선 산란 계측
JP7101268B2 (ja) * 2018-07-06 2022-07-14 エーエスエムエル ネザーランズ ビー.ブイ. 位置センサ
KR20230098730A (ko) * 2018-07-26 2023-07-04 에이에스엠엘 네델란즈 비.브이. 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을결정하는 방법
NL2021852A (en) 2018-08-01 2018-11-09 Asml Netherlands Bv Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
KR102120551B1 (ko) 2018-09-14 2020-06-09 (주)오로스 테크놀로지 오버레이 측정장치
US11294293B2 (en) * 2018-09-19 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay marks for reducing effect of bottom layer asymmetry
EP3629086A1 (en) * 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
US10996570B2 (en) 2018-10-08 2021-05-04 Asml Netherlands B.V. Metrology method, patterning device, apparatus and computer program
US11476144B2 (en) 2018-12-03 2022-10-18 Kla Corporation Single cell in-die metrology targets and measurement methods
IL289580B2 (en) 2019-07-10 2023-09-01 Kla Tencor Corp System and method for designing and measuring a data-driven misregistration parameter
US11353799B1 (en) * 2019-07-23 2022-06-07 Kla Corporation System and method for error reduction for metrology measurements
CN114556223A (zh) * 2019-10-14 2022-05-27 Asml控股股份有限公司 量测标记结构和确定量测标记结构的方法
EP3839632A1 (en) * 2019-12-20 2021-06-23 ASML Netherlands B.V. Method for determining a measurement recipe and associated apparatuses
EP3882701A1 (en) 2020-03-19 2021-09-22 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
CN115244467A (zh) 2020-03-03 2022-10-25 Asml荷兰有限公司 用于控制制造过程的方法和相关联的设备
US11487929B2 (en) 2020-04-28 2022-11-01 Kla Corporation Target design process for overlay targets intended for multi-signal measurements
CN111600198B (zh) * 2020-05-26 2021-05-04 陕西源杰半导体科技股份有限公司 一种通讯用超大功率激光器及其制备方法
WO2022040211A1 (en) * 2020-08-17 2022-02-24 Tokyo Electron Limited Method for producing overlay results with absolute reference for semiconductor manufacturing
US11967535B2 (en) * 2021-04-13 2024-04-23 Kla Corporation On-product overlay targets
CN115616862A (zh) * 2021-07-15 2023-01-17 长鑫存储技术有限公司 量测标记、半导体结构、量测方法、设备以及存储介质
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
CN101526750A (zh) * 2009-01-13 2009-09-09 上海微电子装备有限公司 用于光刻设备的对准***及应用其的光刻设备
TW201416806A (zh) * 2012-10-10 2014-05-01 Asml Netherlands Bv 標記位置量測裝置及方法、微影裝置及器件製造方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI282909B (en) * 1999-12-23 2007-06-21 Asml Netherlands Bv Lithographic apparatus and a method for manufacturing a device
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7009704B1 (en) * 2000-10-26 2006-03-07 Kla-Tencor Technologies Corporation Overlay error detection
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
SG120958A1 (en) * 2002-11-01 2006-04-26 Asml Netherlands Bv Inspection method and device manufacturing method
JP4074867B2 (ja) * 2003-11-04 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 第1及び第2位置合せマークの相対位置を計測する方法及び装置
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060117293A1 (en) * 2004-11-30 2006-06-01 Nigel Smith Method for designing an overlay mark
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
US8214771B2 (en) * 2009-01-08 2012-07-03 Kla-Tencor Corporation Scatterometry metrology target design optimization
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
KR20120058572A (ko) 2009-08-24 2012-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판
US9927718B2 (en) 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
CN103201682B (zh) 2010-11-12 2015-06-17 Asml荷兰有限公司 量测方法和设备、光刻***和器件制造方法
KR101943593B1 (ko) * 2011-04-06 2019-01-30 케이엘에이-텐코 코포레이션 공정 제어를 개선하기 위한 품질 메트릭 제공 방법 및 시스템
NL2009001A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and patterning devices for measuring phase aberration.
EP2579100A3 (en) * 2011-10-03 2017-12-06 ASML Holding N.V. Inspection apparatus, lithographic apparatus, and device manufacturing method
KR101761735B1 (ko) 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
NL2010734A (en) 2012-05-29 2013-12-02 Asml Netherlands Bv Metrology method and apparatus, substrate, lithographic system and device manufacturing method.
US8913237B2 (en) 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
CN104471484B (zh) * 2012-07-05 2018-02-06 Asml荷兰有限公司 用于光刻术的量测
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
WO2014074873A1 (en) 2012-11-09 2014-05-15 Kla-Tencor Corporation Reducing algorithmic inaccuracy in scatterometry overlay metrology
CN105143986B (zh) 2013-03-20 2017-04-26 Asml荷兰有限公司 用于测量微结构的非对称性的方法和设备、位置测量方法、位置测量设备、光刻设备和器件制造方法
WO2015185166A1 (en) 2014-06-02 2015-12-10 Asml Netherlands B.V. Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
CN101526750A (zh) * 2009-01-13 2009-09-09 上海微电子装备有限公司 用于光刻设备的对准***及应用其的光刻设备
TW201416806A (zh) * 2012-10-10 2014-05-01 Asml Netherlands Bv 標記位置量測裝置及方法、微影裝置及器件製造方法

Also Published As

Publication number Publication date
NL2013293A (en) 2016-03-31
JP2017518533A (ja) 2017-07-06
KR101918251B1 (ko) 2018-11-13
US20180329305A1 (en) 2018-11-15
JP6408610B2 (ja) 2018-10-17
TW201546444A (zh) 2015-12-16
TWI558998B (zh) 2016-11-21
SG11201609566VA (en) 2016-12-29
EP3149544B1 (en) 2018-10-10
IL248982A0 (en) 2017-01-31
US10809628B2 (en) 2020-10-20
CN106462076A (zh) 2017-02-22
EP3149544A1 (en) 2017-04-05
KR20170015453A (ko) 2017-02-08
WO2015185166A1 (en) 2015-12-10
IL248982B (en) 2020-10-29
US10025199B2 (en) 2018-07-17
US20150346605A1 (en) 2015-12-03

Similar Documents

Publication Publication Date Title
CN106462076B (zh) 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法
TWI643033B (zh) 量測目標之方法、基板、度量衡裝置及微影裝置
TWI651514B (zh) 用於量測微影程序之參數的度量衡方法及裝置、非暫態電腦可讀媒體及微影系統
CN104823113B (zh) 确定剂量和焦点的方法、检查设备、图案形成装置、衬底及器件制造方法
CN105900015B (zh) 用于光刻度量的方法、设备和衬底
CN104350424B (zh) 量测方法和设备、衬底、光刻***以及器件制造方法
CN107111245B (zh) 测量非对称性的方法、检查设备、光刻***及器件制造方法
CN105308508B (zh) 确定与临界尺寸相关的性质的方法、检查装置和器件制造方法
CN108604065A (zh) 量测方法、目标和衬底
TWI685720B (zh) 用於微影設備的度量衡方法
CN111615667A (zh) 测量目标的方法和量测设备
CN107771271A (zh) 量测方法和设备、计算机程序及光刻***
CN107924132A (zh) 检查设备、检查方法和制造方法
CN107710073A (zh) 检查设备、检查方法、光刻设备、图案化装置及制造方法
CN108398856A (zh) 量测方法和设备、光刻***和器件制造方法
CN103201682A (zh) 量测方法和设备、光刻***和器件制造方法
CN103748515A (zh) 量测方法和设备以及器件制造方法
JP6393397B2 (ja) リソグラフィ装置の照射線量決定方法、検査装置およびデバイス製造方法
KR102217209B1 (ko) 메트롤로지 장치의 조정 또는 측정 타겟의 특성에 기초한 측정
CN108700829A (zh) 测量结构的方法、检查设备、光刻***、器件制造方法
TW201907236A (zh) 度量衡方法及裝置、基板、微影方法及相關之電腦產品

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant