CN105308508B - 确定与临界尺寸相关的性质的方法、检查装置和器件制造方法 - Google Patents

确定与临界尺寸相关的性质的方法、检查装置和器件制造方法 Download PDF

Info

Publication number
CN105308508B
CN105308508B CN201480033591.0A CN201480033591A CN105308508B CN 105308508 B CN105308508 B CN 105308508B CN 201480033591 A CN201480033591 A CN 201480033591A CN 105308508 B CN105308508 B CN 105308508B
Authority
CN
China
Prior art keywords
critical dimension
intensity
target
radiation
relevant property
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201480033591.0A
Other languages
English (en)
Other versions
CN105308508A (zh
Inventor
H·克拉默
A·J·登博夫
H·梅根斯
M·范德沙
T-C·黄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN105308508A publication Critical patent/CN105308508A/zh
Application granted granted Critical
Publication of CN105308508B publication Critical patent/CN105308508B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70133Measurement of illumination distribution, in pupil plane or field plane
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

确定诸如临界尺寸(CD)或曝光剂量之类的与临界尺寸相关的性质的方法。使用光刻装置在光刻工艺中处理晶片,以在晶片上产生具有不同相应临界尺寸偏差的周期性目标。照射目标中的每个目标。测量由目标散射的辐射的强度。从图像中识别和提取每个光栅。确定差分信号。然后基于差分信号、CD偏差、以及差分信号在这样的周期性目标的1:1线与间隔比处近似于零的知识,确定诸如CD或曝光剂量之类的与CD相关的性质。使用所确定的与临界尺寸相关的性质,以在后续晶片的光刻处理中控制光刻装置。为了只使用两个CD偏差,校准步骤可以使用对“金晶片”(即参考晶片)的测量,以通过已知CD确定针对CD对中的每对的强度梯度。替代地,校准可以基于对强度梯度对CD的敏感性的仿真。

Description

确定与临界尺寸相关的性质的方法、检查装置和器件制造 方法
相关申请的交叉引用
本申请要求美国临时申请61/834,105的权益,其在2013年6月12日提交,并且通过引用方式将其整体并入本文。
技术领域
本发明涉及用于确定由例如利用在通过光刻技术制造器件中的光瞳平面检测或暗场散射测量可使用的光刻工艺产生的结构的与临界尺寸相关的性质(诸如临界尺寸(CD)或剂量)的方法和装置,并且涉及使用光刻技术制造器件的方法。
背景技术
光刻装置是将期望图案应用到衬底上、通常应用到衬底的目标部分上的机器。例如,可以在集成电路(IC)的制造中使用光刻装置。在该实例中,其替代地称为掩模或掩模版的图案形成装置可以用于生成要形成在IC的个体层上的电路图案。这一图案可以被转移到衬底(例如硅晶片)上的目标部分(例如包括部分的、一个、或几个裸片)上。图案的转移通常经由成像到设置在衬底上的辐射敏感材料(抗蚀剂)层上。通常,单个衬底将包含相继图案化的相邻目标部分的网络。已知的光刻装置包括:所谓的步进器,其中通过将整个图案一次曝光至目标部分上来照射每个目标部分;和所谓的扫描器,其中通过沿给定方向(“扫描”方向)通过辐射束扫描图案,而与这一方向平行或反向平行地同步扫描衬底,来照射每个目标部分。还可能通过将图案压印到衬底上来将图案从图案形成装置转移到衬底。
在光刻工艺中,期望频繁地对所创建的结构进行测量以便例如工艺控制和验证。已知用于进行这样的测量的各种工具,包括其常常用于测量临界尺寸(CD)的扫描电子显微镜以及用于测量光刻装置的CD、重叠(器件中的两层的对准的准确性)和离焦的其它专门工具。最近,已经开发了用于在光刻领域中使用的各种形式的散射仪。这些设备将辐射束引导到目标上并且测量散射辐射的一个或多个性质——例如,作为波长的函数的单个反射角处的强度;作为反射角的函数的一个或多个波长处的强度;或者作为反射角的函数的偏振——以获得从其中可以确定目标的感兴趣的性质的“谱”。感兴趣的性质的确定可以通过各种技术来执行:例如,通过诸如严格耦合波分析或有限元法之类的迭代方法重构目标结构;库搜索;和主成分分析。
由常规散射仪所使用的目标是相对大的,例如40μm乘40μm光栅,并且测量束生成小于光栅的斑(即,光栅欠填充)。这简化了目标的数学重构,因为它可视为无穷大。然而,为了减少目标的尺寸,例如减少到10μm乘10μm或更小,因此它们可以例如被定位在产品特征之中,而不是划道中,已经提出了其中使光栅小于测量斑(即,光栅过填充)的量测。通常这样的目标使用暗场散射测量来测量,其中零阶衍射(对应于镜面反射)被阻挡,并且仅工艺较高阶。
使用衍射阶的暗场检测的基于衍射的重叠实现对较小目标的重叠测量。这些目标可以小于照射斑,并且可以被晶片上的产品结构所围绕。在一个图像中可以测量多个目标。
在已知的量测技术中,通过在某些条件下测量目标两次来获得重叠测量结果,而旋转目标或改变照射模式或成像模式以单独获得-1和+l衍射阶强度。针对给定光栅比较这些强度提供光栅中的非对称性的测量。
先进的光刻工艺要求高质量的CD量测以用于产量提高和控制。因为其能够通过理论建模测量下的光谱响应而非破坏性地且快速地取回准确的CD信息,所以这一技术在先进的技术节点中是有用的。光学CD量测需要优雅的模型来描述器件堆叠以及诸如CD、膜厚度和实部和虚部折射率(n和k)之类的拟合参数。模型中最常用的假设是光学性质的不变性。然而,如果光学性质跨晶片、晶片到晶片或批到批变化,这一未建模的光学变化可以影响CD准确性并且给出假警报。附加地,随着膜堆叠变得更加复杂,需要模型中的更大数目的浮动参数。模型中浮动的参数越多,越可能由于浮动参数的相关性而失去CD准确性和精度。
针对目前的基于散射测量的CD量测,以下问题是显而易见的:模型中的浮动参数的串扰;由于诸如沉积温度之类的工艺稳定性而引起的光学性质的变化;因为CD散射测量目标的尺寸太大(通常约40μm乘40μm),无裸片中(in-die)能力;CD的长的计算时间;以及创建散射仪设置配方是耗时的。
差分技术可用于测量诸如重叠、焦点和透镜像差之类的光刻工艺的特定参数。差分技术帮助减少配方创建的负担,并且允许小于散射仪的斑尺寸的目标。差分技术要求差分信号在工艺操作点处为(接近)零。由于底层堆叠的变化,针对信号的有效共模抑制,这是需要的。差分技术的一个使用是用于设计当工艺偏离最佳工作点时转变为非对称的目标。可以通过测量散射测量信号中的较高衍射阶来检测目标非对称性。示例为重叠和非对称焦点目标。差分技术的另一使用是用于设计在最佳工作点处相似、但响应于特定工艺参数而偏离的目标对。示例为像差敏感的目标对。
问题在于,差分信号受CD变化的控制并且适用于针对光刻工艺的开发后检查和刻蚀后检查步骤的目标是不可用的。
发明内容
期望克服CD测量中的上述问题中的至少一些问题并且改善CD和剂量的测量。此外,如果这可以被应用于其可以使用基于暗场图像的技术测量的小目标结构,将具有大的优势。
根据第一方面,提供了确定通过光刻工艺产生的结构的与临界尺寸相关的性质的方法,方法包括:(a)用辐射照射具有不同相应临界尺寸偏差的至少两个周期性目标中的每个周期性目标;(b)测量由至少两个目标散射的辐射的相应强度;(c)从测量的强度确定差分信号;(d)基于差分信号和至少两个临界尺寸偏差,并且基于差分信号在这样的周期性目标的1:1线与间隔比(line-to-space ratio)处近似于零的知识,确定与临界尺寸相关的性质。
根据第二方面,提供了用于确定通过光刻工艺产生的结构的与临界尺寸相关的性质的检查装置。照射***被配置为用辐射照射具有不同相应临界尺寸偏差的至少两个周期性目标中的每个周期性目标。检测***被配置为测量由至少两个目标散射的辐射的相应强度。处理器被配置为从测量的强度确定差分信号,并且基于差分信号和至少两个临界尺寸偏差,并且基于差分信号在这样的周期性目标的1:1线与间隔比处近似于零的知识,确定与临界尺寸相关的性质。
根据第三方面,提供了制造器件的方法,其中使用光刻工艺将器件图案应用于一系列衬底,方法包括使用衬底中的至少一个衬底并且使用根据第一方面的方法来确定通过光刻工艺产生的结构的与临界尺寸相关的性质,并且依照确定与临界尺寸相关的性质的方法的结果来控制针对随后衬底的光刻工艺。
下面参照附图详细描述本发明的其它特征和优点以及本发明的各种实施例的结构和操作。注意,本发明并不限于本文中描述的特定实施例。仅出于说明性目的在本文中给出这样的实施例。基于本文中包含的教导,附加实施例对于(多个)相关领域技术人员来说将是显而易见的。
附图说明
被并入本文并且形成说明书一部分的附图图示了本发明,并且连同描述一起进一步用于解释本发明的原理并且用于使得(多个)相关领域技术人员能够制造和使用本发明。
图1描绘根据本发明的实施例的光刻装置;
图2描绘根据本发明的实施例的光刻单元或簇;
图3A-3D包括(a)使用第一对照射孔径的根据本发明的实施例的用于在测量目标时使用的暗场散射仪的示意图,(b)针对照射的给定方向的目标光栅的衍射光谱的细节,(c)在将散射仪用于基于衍射的重叠测量时提供其它照射模式的第二对照射孔径,以及(d)组合第一对和第二对孔径的第三对照射孔径;
图4描绘多个光栅目标以及衬底上的测量斑的轮廓的已知形式;
图5描绘在图3的散射仪中获得的图4的目标的图像;
图6是一阶衍射强度相对于CD的图;
图7一阶衍射强度的梯度相对于CD的图;
图8是依照示例实施例的确定CD和执行剂量控制的方法的流程图;
图9a至图9c描绘依照示例实施例的多个光栅目标与衬底上的测量斑的轮廓;
图10是使用校准并且然后使用两个目标来确定CD的依照示例实施例的方法的流程图;
图11是针对水平光栅和竖直光栅的CD相对于曝光剂量的图;
图12a和图12b描绘依照示例实施例的多个水平和竖直光栅目标与衬底上的测量斑的轮廓;
图13是使用一阶(或更高阶)散射辐射的强度测量的依照示例实施例的方法的流程图;
图14和图15图示使用不同偏振光瞳图像的减法作为度量标准来确定光刻质量的方法的操作;
图16是光栅质量信号相对于CD的图;
图17是光栅质量信号的梯度相对于CD的图;
图18是使用零阶散射辐射的强度测量的依照示例实施例的方法的流程图;以及
图19描绘光栅质量的双差分的确定的概览;
当结合其中同样的附图标记始终标识对应元件的附图考虑时,根据下面阐述的详细描述,本发明的特征和优点将变得更加显而易见。在附图中,同样的引用数字一般指示完全相同、功能相似、和/或结构相似的元件。元件在其中首次出现的附图由对应引用数字中的最左边的(多个)数字来指示。
具体实施方式
本说明书公开了并入本发明特征的一个或多个实施例。所公开的(多个)实施例仅例示本发明。本发明的范围不限于所公开的(多个)实施例。本发明由所附的权利要求限定。
所描述的(多个)实施例以及说明书中对“一个实施例”、“实施例”、“示例实施例”等的引用指示所描述的(多个)实施例可以包括特定特征、结构或特性,但是每个实施例可以不必包括该特定特征、结构或特性。而且,这样的短语不一定指的是相同的实施例。进一步地,当结合实施例描述特定特征、结构或特性时,要理解的是,结合其它实施例实现这样的特征、结构或特性在本领域技术人员的知识范围内,无论是否明确描述。
本发明的实施例可以实现在硬件、固件、软件、或其任何组合中。本发明的实施例还可以实现为存储在机器可读介质上的指令,该指令可以由一个或多个处理器读取和执行。机器可读介质可以包括用于以机器(例如计算设备)可读的形式来存储或传输信息的任何机制。例如,机器可读介质可以包括只读存储器(ROM);随机存取存储器(RAM);磁盘存储介质;光存储介质;快闪存储器设备;电、光、声或其它形式的传播信号等。进一步地,固件、软件、例程、指令可以在本文中被描述为执行某些动作。然而,应当理解的是,这样的描述仅为了方便,并且这样的动作实际上产生于执行固件、软件、例程、指令等的计算设备、处理器、控制器、或其它设备。
然而,在更详细地描述这样的实施例之前,呈现其中可以实现本发明的实施例的示例环境是有启发意义的。
图1示意性地描绘光刻装置LA。装置包括:照射***(照射器)IL,被配置为调节辐射束B(例如UV辐射或DUV辐射);图案形成装置支撑件或支撑结构(例如掩模台)MT,被构造为支撑图案形成装置(例如掩模)MA并且连接到第一***PM,第一***PM被配置为依照某些参数准确地定位图案形成装置;衬底台(例如晶片台)WT,被构造为保持衬底(例如涂覆有抗蚀剂的晶片)W并且连接到第二***PW,第二***PW被配置为依照某些参数准确地定位衬底;以及投影***(例如折射投影透镜***)PS,被配置为将图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如,包括一个或多个裸片)上。
照射***可以包括用于对辐射进行引导、成形或控制的各种类型的光学部件,诸如折射、反射、磁的、电磁的、静电的、或者其它类型的光学部件、或其任何组合。
图案形成装置支撑件以依赖于图案形成装置的定向、光刻装置的设计、以及其它条件(诸如例如图案形成装置是否保持在真空环境中)的方式来保持图案形成装置。图案形成装置支撑件可以使用机械、真空、静电或其它夹持技术来保持图案形成装置。图案形成装置支撑件可以是例如其可以根据需要固定或可移动的框架或台。图案形成装置支撑件可以确保图案形成装置位于例如相对于投影***的期望位置处。本文中对术语“掩模版”或“掩模”的任何使用可以认为与更广义的术语“图案形成装置”同义。
本文中使用的术语“图案形成装置”应该广义地解释为指的是其可以用于在其横截面中向辐射束赋予图案以便在衬底的目标部分中创建图案的任何设备。应该注意的是,例如如果图案包括相移特征或者所谓的辅助特征,赋予辐射束的图案可以不精确对应于衬底的目标部分中的期望图案。通常,赋予辐射束的图案将对应于诸如集成电路之类的目标部分中创建的器件中的特定功能层。
图案形成装置可以是透射的或反射的。图案形成装置的示例包括掩模、可编程镜阵列、和可编程LCD面板。掩模在光刻术中是熟知的,并且包括诸如二元、交替相移和衰减相移之类的掩模类型以及各种混合掩模类型。可编程镜阵列的示例采用小镜的矩阵布置,其中的每个小镜可以个体倾斜以便以不同方向反射传来的辐射束。倾斜镜将图案赋予由镜矩阵反射的辐射束中。
本文中使用的术语“投影***”应该广义地解释为包含如适合用于所使用的曝光辐射或者用于诸如使用浸液或使用真空之类的其它因素的任何类型的投影***,包括折射、反射、反射折射、磁的、电磁和静电光学***、或其任何组合。本文中对术语“投影透镜”的任何使用可以被视为与更一般的术语“投影***”同义。
如此处描绘的,装置是透射型的(例如,采用透射掩模)。替代地,装置可以是反射型的(例如,采用如上面提及的类型的可编程镜阵列,或者采用反射掩模)。
光刻装置可以是具有两个(双级)或更多衬底台(和/或两个或更多掩模台)的类型的。在这样的“多级”机器中,可以并行地使用附加台,或者可以在一个或多个台上执行预备步骤同时一个或多个其它台用于曝光。
光刻装置还可以是其中衬底的至少一部分可以覆盖有例如水的具有相对高折射率的液体以便填充投影***和衬底之间的空间的类型的。浸液还可以应用于光刻装置中的其它空间,例如在掩模和投影***之间。浸没技术在本领域中熟知用于增加投影***的数值孔径。如本文中使用的术语“浸没”并不意指诸如衬底之类的结构必须淹没在液体中,而是更确切地说,仅意指液体在曝光期间位于投影***和衬底之间。
参照图1,照射器IL接收来自辐射源SO的辐射束。例如当源是准分子激光器时,源和光刻装置可以是单独的实体。在这样的情况下,源不被视为形成光刻装置的一部分,并且辐射束在包括例如适合的引导镜和/或扩束器的束递送***BD的帮助下,从源SO传到照射器IL。在其它情况下,例如当源是汞灯时,源可以是光刻装置的组成部分。源SO和照射器IL连同束递送***BD(如果需要)可以称为辐射***。
照射器IL可以包括用于调整辐射束的角强度分布的调整器AD。通常,可以调整至少照射器的光瞳平面内的强度分布的外和/或内径向范围(通常分别称为σ外和σ内)。此外,照射器IL可以包括诸如积分器IN和聚光器CO之类的各种其它部件。照射器可以用于调节辐射束,以在其横截面中具有期望的均匀性和强度分布。
辐射束B入射在被保持在图案形成装置支撑件(例如掩模台MT)上的图案形成装置(例如掩模)MA上,并且通过图案形成装置而图案化。在遍历图案形成装置(例如掩模)MA之后,辐射束B穿过投影***PS,投影***PS将束聚焦到衬底W的目标部分C上。在第二***PW和位置传感器IF(例如干涉设备、线性编码器、2D编码器或电容传感器)的帮助下,衬底台WT可以准确地移动,例如以便将不同目标部分C定位在辐射束B的路径中。相似地,第一***PM和另一位置传感器(其未明确在图1中描绘)可以用于例如在从掩模库机械取回之后或者在扫描期间,将图案形成装置(例如掩模)MA相对于辐射束B的路径准确地定位。通常,图案形成装置支撑件(例如掩模台)MT的移动可以在其形成第一***PM的一部分的长行程模块(粗定位)和短行程模块(精定位)的帮助下实现。相似地,衬底台WT的移动可以使用其形成第二***PW的一部分的长行程模块和短行程模块来实现。在步进器的情况下(与扫描器相对),图案形成装置支撑件(例如掩模台)MT可以仅连接至短行程致动器,或可以是固定的。
图案形成装置(例如掩模)MA和衬底W可以使用掩模对准标记M1、M2和衬底对准标记P1、P2进行对准。虽然如图示的衬底对准标记占用专用目标部分,它们可以位于目标部分之间的空间中(这些已知为划道对准标记)。相似地,在其中多于一个裸片被设置在图案形成装置(例如掩模)MA上的情形下,掩模对准标记可以位于裸片之间。小的对准标记还可以在器件特征之中被包括在裸片内,在该情况下,期望标记尽可能地小并且不需要除相邻特征外的任何不同成像或工艺条件。下面进一步描述其检测对准标记的对准***。
所描绘的装置可以在以下模式中的至少一个模式中使用:
1.在步进模式中,图案形成装置支撑件(例如掩模台)MT和衬底台WT保持基本静止,同时赋予辐射束的整个图案一次投影到目标部分C上(即,单次静态曝光)。然后衬底台WT在X和/或Y方向上移位,使得不同目标部分C可以曝光。在步进模式中,曝光场的最大尺寸限制在单次静态曝光中成像的目标部分C的尺寸。
2.在扫描模式中,图案形成装置支撑件(例如掩模台)MT和衬底台WT同步地进行扫描,同时赋予辐射束的图案被投影到目标部分C上(即,单次动态曝光)。衬底台WT相对于图案形成装置支撑件(例如掩模台)MT的速率和方向可以通过投影***PS的放大率(缩小率)和图像反转特性来确定。在扫描模式中,曝光场的最大尺寸限制单次动态曝光中的目标部分的宽度(在非扫描方向上),而扫描运动的长度确定目标部分的高度(在扫描方向上)。
3.在另一模式中,图案形成装置支撑件(例如掩模台)MT保持基本静止,从而保持可编程图案形成装置,并且衬底台WT被移动或扫描,同时赋予辐射束的图案被投影到目标部分C上。在这一模式中,通常采用脉冲辐射源,并且在衬底台WT的每次移动之后或者在扫描期间的连续辐射脉冲之间根据需要更新可编程图案形成装置。这一操作模式可以容易地应用于其利用诸如如上面提及的类型的可编程镜阵列之类的可编程图案形成装置的无掩模光刻。
还可以采用对上述使用模式的组合和/或变化或者完全不同的使用模式。
光刻装置LA是所谓双级类型的,其具有两个衬底台WTa、WTb以及衬底台可以在其间互换的两个站——曝光站和测量站。在一个衬底台上的一个衬底在曝光站处曝光的同时,另一衬底可以被加载到在测量站处的另一衬底台上并且执行各种预备步骤。预备步骤可以包括使用水平传感器LS映射衬底的表面控制以及使用对准传感器AS测量对准标记在衬底上的位置。这使得能够大幅增加装置的吞吐量。如果位置传感器IF不能测量衬底台(在它位于测量站以及曝光站的同时)的位置,可以提供第二位置传感器以使得能够在两个站处跟踪衬底台的位置。
如图2所示,光刻装置LA形成有时还称为光刻元(lithocell)或光刻簇的光刻单元LC的一部分,光刻单元LC还包括用于在衬底上执行曝光前和曝光后工艺的装置。通常,这些包括用于沉积抗蚀剂层的旋涂器SC、用于使曝光的抗蚀剂显影的显影器DE、冷却板CH和烘烤板BK。衬底处理器或机器人RO从输入/输出端口I/O1、1/O2拾取衬底,将它们在不同工艺装置之间移动,并且然后递送到光刻装置的加载架LB。常常统称为轨道的这些设备在轨道控制单元TCU的控制下,轨道控制单元TCU本身由监视控制***SCS控制,监视控制***SCS还经由光刻控制单元LACU控制光刻装置。因此,不同装置可以操作为最大化吞吐量和处理效率。
暗场量测的示例可以在国际专利申请WO 2009/078708和WO 2009/106279中找到,这些文档通过引用整体并入于此。技术的进一步发展已描述在专利公开US20110027704A、US20110043791A和US20120123581A中。所有这些申请的内容还通过引用整体并入于此。
适合于在本发明的实施例中使用的暗场量测装置示出在图3(a)中。目标光栅T和衍射线更详细地图示在图3(b)中。暗场量测装置可以是独立设备,或者并入在光刻装置LA(例如在测量站处)或光刻单元LC中。具有贯穿装置的几个分支的光轴由虚线O表示。在这一装置中,由源11(例如氙灯)发射的光经由分束器15通过包括透镜12、14和物镜16的光学***被引导到衬底W上。这些透镜被布置成4F布置的双序列。可以使用不同的透镜布置,只要其仍然将衬底图像提供到检测器上,并且同时允许对中间光瞳平面的访问以用于空间频率滤波。因此,通过限定此处称为(共轭)光瞳平面的其表示衬底平面的空间谱的平面内的空间强度分布,可以选择辐射入射在衬底上的角度范围。特别地,这可以通过将适合形式的孔径板13***在透镜12和14之间在其为物镜光瞳平面的背投影图像的平面内来完成。在图示的示例中,孔径板13具有不同的形式(标注为13N和13S),从而允许选择不同照射模式。本示例中的照射***形成离轴照射模式。在第一照射模式中,孔径板13N提供从指定为‘北’(仅为了描述)的方向的离轴。在第二照射模式中,孔径板13S用于提供相似照射,但是从标注为“南”的相反方向。通过使用不同孔径,照射的其它模式是可能的。光瞳平面的其余部分期望地暗,因为期望照射模式之外的任何不必要的光将干扰期望的测量信号。
如图3(b)所示,放置目标光栅T,其中衬底W垂直于物镜16的光轴O。从离开轴O的角度撞击在光栅T上的照射I的光线产生零阶光线(实线0)和两条一阶光线(点划线+1和双点划线-1)。应该记住的是,在过填充的小目标光栅的情况下,这些光线只是覆盖包括量测目标光栅T和其它特征的衬底区域的许多平行光线之一。由于板13中的孔径具有有限宽度(容许有用量的光所必要的),入射线I实际上将占用角度范围,并且衍射线0和+1/-1将稍微展开。根据小目标的点展开函数,每阶+1和-1将进一步在角度范围内展开,而非所示的单条理想光线。注意,可以设计或调整光栅节距和照射角度,使得进入物镜的一阶光线与中心光轴紧密对准。纯粹为了使得它们能够在图中更容易区分,图3(a)和图3(b)所示的光线被示出为稍微离轴。
至少由衬底W上的目标衍射的0和+1阶被物镜16收集,并且通过分束器15被引导回去。返回到图3(a),通过指定标注为北(N)和南(S)的直径上相对的孔径,图示了第一和第二照射模式两者。当入射线I来自光轴的北侧时,也就是当使用孔径板13N应用第一照射模式时,标注为+1(N)的+1衍射线进入物镜16。相反,当使用孔径板13S应用第二照射模式时,-1衍射线(标注为-1(S))是进入透镜16的衍射线。
第二分束器17将衍射束分成两个测量分支。在第一测量分支中,光学***18使用零阶和一阶衍射束在第一传感器19(例如CCD或CMOS传感器)上形成目标的衍射光谱(光瞳平面图像)。每个衍射阶命中传感器上的不同点,使得图像处理可以比较和对比阶。由传感器19捕获的光瞳平面图像可以用于聚焦量测装置和/或归一化一阶光束的强度测量。
在第二测量分支中,光学***20、22在传感器23(例如CCD或CMOS传感器)上形成衬底W上的目标的图像。在第二测量分支中,孔径光阑21被设置在与光瞳平面共轭的平面中。孔径光阑21作用于阻挡零阶衍射束,使得在传感器23上形成的目标的图像仅由-1或+1一阶光束形成。由传感器19和23捕获的图像输出到其功能将依赖于所执行的特定类型的测量的图像处理器和控制器PU。注意,术语“图像”此处在广义意义上使用。如果仅存在-1和+1阶之一,则将不会形成真正的光栅线的图像。
图3所示的孔径板13和场阑21的特定形式纯粹是示例。在本发明的另一实施例中,使用目标的在轴照射,并且具有离轴孔径的孔径光阑用于大致仅向传感器传递衍射光的一个一阶。在又一些其它实施例中,代替或者除了一阶光束,在测量中可以使用二阶、三阶和更高阶光束(图3中未示出)。
为了使照射能适于这些不同类型的测量,孔径板13可以包括在盘的周围形成的若干孔径图案,盘旋转以将期望图案带到适当位置。替代地或附加地,可以提供和交换一组板13,以实现相同效应。还可以使用诸如可变形镜阵列或透射空间视线调制器之类的可编程照射设备。移动镜或棱镜可以作为另一方式用于调整照射模式。
如刚才联系孔径板13解释的,用于成像的衍射阶的选择可以替代地通过更改光瞳光阑21、或者通过替换具有不同图案的光瞳光阑、或者通过用可编程空间光调制器取代固定场阑来实现。在那种情况下,测量光学***的照射侧可以保持恒定,而成像侧具有第一和第二模式。因此在本公开中,有效地存在三种类型的测量方法,每种方法具有其自己的优点和缺点。在一种方法中,改变照射模式以测量不同的阶。在另一方法中,改变成像模式。在第三种方法中,照射和成像模式保持不变,但是目标旋转通过180度。在每种情况下,期望的效应是相同的,即选择在目标的衍射光谱中对称地彼此相对的非零阶衍射辐射的第一和第二部分。原则上,期望的阶选择可以通过同时改变照射模式和成像模式的组合而获得,但是那可能带来缺点而无优点,所以将不对其作进一步讨论。
虽然本示例中用于成像的光学***具有受场阑21限制的宽入光瞳,在其它实施例或应用中,成像***的入光瞳尺寸本身可以足够小以限制到期望的阶,并且从而还用作场阑。图3(c)和(d)中示出可以使用的不同孔径板,如下面进一步描述的。
通常,目标光栅将被对准,其中其光栅线南北或东西延伸。也就是说,光栅将在衬底W的X方向或Y方向上对准。注意,孔径板13N或13S可以仅用于测量沿一个方向(X或Y,依赖于设置)定向的光栅。对于正交光栅的测量,可以实现目标通过90°和270°的旋转。然而,更便利地,使用图3(c)所示的孔径板13E或13W在照射光学器件中提供从东或西的照射。孔径板13N到13W可单独形成并互换,或者它们可以是其可以旋转90、180或270度的单个孔径板。如已经提到的,图3(c)所示的离轴孔径可以设置在场阑21中而非照射孔径板13中,在那种情况下,照射将在轴。
图3(d)示出其可以用于组合第一和第二对的照射模式的第三对孔径板。孔径板13NW具有在北和东的孔径,而孔径板13SE具有在南和西的孔径。只要这些不同的衍射信号之间的串扰不太大,就可以执行对X和Y光栅两者的测量,而不改变照射模式。
图4描绘根据已知实践的形成在衬底上的复合目标。复合目标包括紧密定位在一起的四个光栅32至35,使得它们全部将在由量测装置的照射束形成的测量斑31内。因此四个目标全部都同时被照射并且同时成像在传感器19和23上。在专用于重叠测量的示例中,光栅32至35本身是通过重叠在衬底W上形成的半导体器件的不同层中图案化的光栅而形成的复合光栅。如示出的,光栅32至35可以在它们的定向上不同,以便在X和Y方向上衍射传来的辐射。在一个示例中,光栅32和34是X方向光栅。光栅33和35是Y方向光栅。可以在由传感器23捕获的图像中标识这些光栅的单独图像。
图5示出可以使用图3的装置中的图4的目标,使用来自图3(d)的孔径板13NW或13SE,在传感器23上形成并且由传感器23检测的图像的示例。虽然光瞳平面图像传感器19不能分辨不同的个体光栅32至35,图像传感器23可以分辨。暗矩形表示传感器上的图像场,其中衬底上的照射斑31被成像为对应圆形区域41。在其中,矩形区域42-45表示小目标光栅32至35的图像。如果光栅位于产品区域中,在这一图像场的***区域中还可以可见产品特征。图像处理器和控制器PU使用图案识别来处理这些图像以标识光栅32至35的单独图像42至45。以这种方式,图像不必非常精确地对准在传感器帧内的特定位置,这大大提高了测量装置整体的吞吐量。然而,如果成像工艺经受跨图像场的不均匀性,则仍需要准确对准。在本发明的一个实施例中,标识四个位置P1至P4,并且光栅尽可能与这些已知位置对准。
一旦已标识光栅的单独图像,例如通过对标识区域内的所选像素强度值进行平均或求和,可以测量那些个体图像的强度。图像的强度和/或其它性质可以彼此比较。可以组合这些结果,以测量诸如焦点之类的光刻工艺的不同参数。
注意,通过在每个图像中仅包括一阶衍射辐射的一半,此处提及的‘图像’不是常规暗场显微图像。个体光栅线将不会被分辨。每个光栅将简单地由某个强度水平的区域来表示。在步骤S4中,在每个分量光栅的图像内仔细地标识将从其测量强度水平的感兴趣的区域(ROI)。这样做是因为,特别在个体光栅图像的边缘周围,通常强度值可以高度依赖于工艺变量,诸如抗蚀剂厚度、成分、线形状、以及边缘效应。
在标识针对每个个体光栅的ROI并且测量其强度之后,于是可以确定光栅结构的非对称性并且因此确定重叠误差。这通过以下方式来完成:图像处理器和控制器PU在步骤S5中比较针对每个光栅32-35的+1和-1阶而获得的强度值,以标识它们强度的任何差异,并且(S6)以确定在目标T附近的重叠误差。
虽然上述目标结构是针对测量目的特别设计和形成的量测目标,但是在其它实施例中,可以针对其是衬底上形成的器件的功能部分的目标来测量性质。许多器件具有规则的光栅状结构。如本文中使用的术语‘目标光栅’和‘目标结构’不要求结构已被特别提供用于正在执行的测量。
与如实现在衬底上的目标的物理光栅结构和图案形成装置相关联,实施例可以包括计算机程序,计算机程序包含描述在衬底上产生目标、测量衬底上的目标和/或分析测量结果以获得关于光刻工艺的信息的方法的机器可读指令的一个或多个序列。这一计算机程序可以例如在图3的装置中的单元PU和/或图2的控制单元LACU内执行。还可以提供具有这样的计算机程序存储在其中的数据存储介质(例如半导体存储器、磁盘或光盘)。在例如图3所示类型的现有量测装置已经在生产中和/或在使用中的情况下,本发明可以通过以下方式来实现:提供更新的计算机程序产品以使得处理器执行本文中描述的方法并因此计算与临界尺寸相关的性质。程序可以可选地被布置为控制光学***、衬底支撑件等,以执行用于测量适合的多个目标结构的步骤。
示例实施例提供通过利用具有不同CD偏差的CD目标集的一阶衍射光的强度而提取CD的方法。
图6是一阶衍射强度DI相对于CD偏差的图。发明人已经发现,可以获得衍射强度相对于CD的大体抛物线的曲线(以l0nm步长的160nm至250nm,以及400nm的节距)。在这一示例中,峰值强度出现在为200nm的CD处,即在1:1线与间隔比处。
图7是一阶衍射强度关于CD的梯度ΔDI/ΔCD相对于CD的图。通过取针对每对CD偏差(例如CDl、CD2或者CD4、CD3或者CD3、CDl)测量的一阶衍射强度之差ΔDI,并且除以相应CD偏差对中的每对之间的CD偏差的差值ΔCD,计算ΔDI/ΔCD。图6所示的衍射强度相对于CD的曲线的梯度朝向更大CD线性增加至更负的值并且朝向更小的CD线性增加至更正的值。在峰值强度、CD=200nm处,衍射强度相对于CD的曲线的斜率为零。
通过测量强度梯度,当使用具有已知晶片上CD偏差的CD目标集时,可以提取CD。当使用三个或更多偏差时,则可以从测量直接提取CD。当使用校准步骤时,则可以从具有仅两个偏差的目标的测量直接提取CD。
例如,参照图6,CD1=230nm并且CD2=240nm(通过设计)。如图7所示,衍射强度的梯度在位置A处。点A被标注为CDl,CD2以指示用于计算点A处的梯度的一阶衍射强度测量的源。当曝光剂量增加时,CDl和CD2目标两者将移动到更小的CD,比如说CDl=220nm和CD2=230nm。衍射强度的梯度于是变得更小,从而移位到位置B,如图7所示。
图8是依照示例实施例的方法的流程图,其使用至少三个CD偏差并且没有校准步骤,具有以下步骤(其可以不按所示顺序执行或者可以不需要所有步骤):
步骤802:使用光刻装置在光刻工艺中处理衬底(在这一示例中为晶片),以在晶片上产生具有不同相应临界尺寸偏差的周期性目标。在这一示例中使用1D光栅周期性目标,还可以使用诸如2D光栅之类的在多个方向上周期性重复的周期性目标。
步骤804:照射目标中的每个目标。在光瞳平面检测散射测量(例如使用图3a中的传感器19)的情况下,目标中的每个目标被单独照射。在暗场图像平面检测散射测量(例如使用图3a中的传感器23)的情况下,目标中的每个目标可以一起照射。
步骤806:测量由目标散射的辐射的强度。如针对步骤804提到的,这可以使用光瞳平面检测散射测量或使用暗场图像平面检测散射测量来完成。
步骤808:在光瞳平面检测的情况下从每个图像识别和提取每个光栅ROI,其中针对每个欠填充目标取得图像,或者在(暗场)图像平面检测的情况下利用每图像的多个过填充目标从单个图像识别和提取每个光栅ROI。在光瞳平面检测散射测量的情况下,在单独图像中检测光栅并且可以不需要识别步骤,因为所选衍射阶强度的位置针对每个欠填充目标可预测。在暗场图像平面检测散射测量的情况下,光栅图像中的每个光栅图像在一个图像中一起检测,并且识别用于考虑针对过填充目标的对准不准确性。
步骤810:从测量的强度确定差分信号。然后基于差分信号、CD偏差、以及在这样的周期性目标的1:1线与间隔比处差分信号近似于零的知识,确定诸如CD或曝光剂量之类的与CD相关的性质。可以基于测量的强度、临界尺寸偏差、并且基于在这样的周期性目标的1:1线与间隔比处具有极值的散射辐射的强度,来确定与临界尺寸相关的性质。
步骤812:使用确定的与临界尺寸相关的性质在后续晶片的光刻处理中控制光刻装置。
可以生成目标尺寸和散射仪配方的组合,以优化测量性能。通过选择其具有关于堆叠层厚度的强度梯度的最小变化的最佳目标设计和配方,这一方法可以对堆叠变化不敏感。包括轻微光学性质改变的堆叠变化可以影响传统光学CD建模并且导致错误报告的CD值。
本文中描述的方法的优点是:不需要模型;提供裸片中能力;它可以用于CD测量以及剂量控制;它可以不收光学性质变化的影响;目标可以更小;并且几乎不需要计算时间。
图9a至图9c描绘依照使用暗场图像平面检测散射测量的示例实施例的多个光栅复合目标与衬底上的测量斑的轮廓。
图9a描绘根据实施例的在衬底上形成的复合目标。复合目标包括紧密定位在一起的四个光栅CD1至CD4,使得它们将全部在由量测装置的照射束形成的测量斑31内。四个目标因此可以全部被同时照射并且同时成像在传感器23上。
图9b描绘根据实施例的在衬底上形成的复合目标。复合目标包括紧密定位在一起的三个光栅CD1至CD3,使得它们将全部在由量测装置的照射束形成的测量斑31内。三个目标因此可以全部被同时照射并且同时成像在传感器23上。
图9c描绘根据实施例的在衬底上形成的复合目标。复合目标包括紧密定位在一起的两个光栅CD1至CD2,使得它们将两者都在由量测装置的照射束形成的测量斑31内。两个目标因此可以全部被同时照射并且同时成像在传感器23上。
可以在没有用于确定CD的在先校准步骤的情况下使用图9a和图9b中描绘的分别具有四个和三个CD偏差的复合目标。然而,为了仅使用两个CD偏差,需要校准步骤。这一校准步骤可以使用三个CD偏差。校准步骤可以使用针对“金晶片”(即参考晶片)的测量,以通过已知CD确定针对CD对中的每对CD的强度梯度。替代地,校准可以基于对强度梯度对CD的敏感性的仿真。
图10是依照示例实施例的方法的流程图,其使用这样的校准,具有以下步骤(其可以不按所示顺序执行或者可以不需要所有步骤)(其中与图8共同的步骤相应地标注):
步骤1000:使用光刻装置在光刻工艺中工艺“金”(参考)晶片,以在晶片上产生具有不同相应临界尺寸偏差的至少两个目标。
步骤1001:照射、测量、确定临界尺寸以及与临界尺寸相关的性质,然后存储校准结果。作为使用散射测量的替代,可以使用诸如CD-SEM之类的其它已知量测工具来测量或确认临界尺寸。这一步骤可以依照参照图8描述的方法来执行。校准步骤1000和1001可以用于通过利用已知临界尺寸从测量的由至少两个目标散射的辐射的相应强度确定差分信号,来确定差分信号对与临界尺寸相关的性质的响应。作为步骤1000和1001的替代,用于确定差分信号对与临界尺寸相关的性质的响应的校准步骤(未示出)可以涉及对差分信号对临界尺寸的敏感性的仿真。
步骤1002:使用光刻装置在光刻工艺中处理晶片,以在晶片上产生具有不同相应临界尺寸偏差的两个目标;
步骤1004:照射两个目标中的每个目标。
步骤1006:测量由两个目标散射的辐射的强度。
步骤808:在光瞳平面检测的情况下从每个图像识别和提取每个光栅ROI,其中针对每个欠填充目标取得图像,或者在(暗场)图像平面检测的情况下利用每图像的多个过填充目标从单个图像从每个图像识别和提取每个光栅ROI。
步骤1010:从测量的强度确定差分信号。然后基于差分信号、CD偏差、以及在这样的周期性目标的1:1线与间隔比处差分信号近似于零的知识、并且使用存储的校准结果,确定诸如CD或曝光剂量之类的与CD相关的性质。可以基于测量的强度和两个临界尺寸偏差、并且基于在周期性目标的1:1线与间隔比处具有极值的散射辐射的强度、并且使用存储的校准结果,来确定与临界尺寸相关的性质。
虽然图10中未示出,可能使用所确定的与临界尺寸相关的性质,以在后续晶片的光刻处理中控制光刻装置。
由于照射非对称性,竖直线和水平线的剂量敏感性可以不相同。可能将H光栅(水平光栅)和V光栅(竖直光栅)两者组合成复合目标以用于CD测量和剂量控制。然后可能:测量H-V CD偏差并且监控其稳定性;同时测量H CD和V CD;以及交叉检验H和V之间的测量结果。
图11中图示从这样的组合H光栅和V光栅目标取得的测量,图11是针对水平光栅HG和竖直光栅VG的CD(以nm为单位)相对于曝光剂量D(以标准剂量的%为单位)的图。紧挨着HG和VG标注中的每个标注的正方形分别要图示水平和竖直光栅目标。
图12a和图12b描绘依照示例实施例的多个水平和竖直光栅复合目标与衬底上的测量斑的轮廓;
具有不同CD偏差的光栅CD1至CD4可以在它们的定向上不同,以便在X和Y方向上衍射传来的辐射。在图12a所示的一个示例中,光栅CD1和CD2是水平光栅(HG,Y方向上周期性的),并且光栅CD3和CD4是竖直光栅(VG,X方向上周期性的)。针对暗场散射测量,可以在由参照图3a的传感器23捕获的图像中标识这些光栅的单独图像。在图12b所示的另一示例中,具有不同CD偏差的光栅CD1和CD2是水平光栅(HG),并且具有不同CD偏差的光栅CD1和CD2被重复为竖直光栅(VG)。再次,针对暗场散射测量,可以在由传感器23捕获的图像中标识这些光栅的单独图像。
图13是依照示例实施例的方法的流程图,其使用一阶(或更高阶)辐射的强度测量,具有以下步骤(其中与图8共同的步骤相应地标注)(其可以不按所示顺序执行或者可以不需要所有步骤):
步骤1300:为了在以下步骤802至1310中只使用两个临界尺寸偏差,需要校准步骤。这一校准步骤可以如在参照图10的步骤1000和1001中描述的那样来执行。这一校准步骤可以使用三个CD偏差。校准步骤可以使用针对“金晶片”(即参考晶片)的测量,以通过已知CD确定针对CD对中的每对CD的强度梯度。替代地,校准可以基于对强度梯度对CD的敏感性的仿真。
步骤802:使用光刻装置在光刻工艺中处理晶片,以在晶片上产生具有不同相应临界尺寸偏差的目标。
步骤804:照射目标中的每个目标。
步骤1306:测量由目标散射的一阶辐射的强度。虽然在这一示例中测量一阶辐射的强度,但是方法并不限于一阶,并且可以使用其它更高阶,例如二阶,或者阶的组合。
步骤806:在光瞳平面检测的情况下从每个图像识别和提取每个光栅ROI,其中针对每个欠填充目标取得图像,或者在(暗场)图像平面检测的情况下利用每图像的多个过填充目标从单个图像识别和提取每个光栅ROI。
步骤1310:确定差分信号,然后基于差分信号、CD偏差、以及在这样的周期性目标的1:1线与间隔比处差分信号近似于零的知识,并且(如果仅使用两个临界尺寸偏差)使用校准的差分信号对与CD相关的性质的响应,确定诸如CD或曝光剂量之类的与CD相关的性质。可以基于测量的强度和临界尺寸偏差,并且基于在这样的周期性目标的1:1线与间隔比处具有最大值的散射辐射的一阶(或更高阶)强度,来确定与临界尺寸相关的性质。
步骤812:使用所确定的与临界尺寸相关的性质,以在后续晶片的光刻处理中控制光刻装置。
光刻质量是使用光刻工艺的结构的可印刷性的量度。下面参照图14至19描述的其它示例实施例使用由角分辨散射测量工具捕获的零阶散射辐射的图像来执行对光栅质量的快速测量。
图14和图15图示使用不同偏振图像的减法结果作为度量标准来确定光刻质量(在这一示例中为光栅质量GQ)的示例方法的操作。图15示出光瞳面检测散射测量以图示原理,然而暗场图像平面检测散射测量可以用于允许更小的目标。参照图14,当辐射由线/间隔光栅(其一部分用衬底1406上的线1404示出1402)衍射时,散射测量工具捕获的衍射图案是偏振敏感的。可以使用两个偏振(例如垂直偏振,横向电的TE和横向磁的TM)测量两个角分辨散射仪光瞳谱。参照图15,所得到的TE的谱图像1408和TM的旋转90度的谱图像1410可以彼此减去。可以评估所得到的差值图像1412的均方根(RMS)平均,从而产生固有非零光刻质量值,针对这一示例本文中称为光栅质量GQ。
如果图像在薄膜堆叠上取得,薄膜堆叠的一部分用衬底1418上的连续膜1416示出1414(因此不存在光栅,而是代之只有连续膜),则没有衍射光的偏振敏感性。所以,在使用两个偏振(例如TE和TM)测量两个角分辨散射仪光瞳谱之后,所得到的谱图像1420和1422可以再次彼此减去,并且所得到的差值图像1424的均方根(RMS)平均将产生接近零的值。仅图像噪声将使它不同于零。
如果图像在具有不同CD的光栅上取得,两个偏振图像之间的对比将受到影响,从而产生薄膜和具有1:1线与间隔比的光栅的图像之间的RMS结果。
因此,所得到的RMS的幅度GQ提供光栅质量的信息,其可以利用散射测量工具获得而不使用任何模型或测量结构的先前知识。
RMS平均被用作特定示例,但是相同的原理可以应用于其可以从用散射测量工具执行的测量生成的任何印刷质量度量标准,从而产生测量信号和无光栅存在时的预测信号之间的差异。
测量强度之间的“差值”可以在“相异”或“区别”的意义上理解,并且不限于数学减法的意义。其中不是数学差值而是数学除法被执行的度量标准也将给出有效结果。换句话说,因此可以通过如上所述的减法,或者通过用于确定两个信号之间的相异的任何其它方法(诸如除法),来计算差值。
所测量的谱之间的“差值”可以被转换以描述光刻质量。获得该转换的方法要使用例如RMS的0.02的“阈值”,虽然这一值依赖于堆叠。当将方法应用于不同光刻堆叠时,可以使用用于计算质量的其它过程。
注意,因为在这一示例实施例中使用零阶散射辐射,于是相比于使用一阶(或更高阶)散射辐射的实施例,对于给定照射波长来说节距可以更小。在这一示例中的光栅质量值在使用传感器19的光瞳平面中确定,虽然在用于选择零阶的适合孔径的情况下,还可以使用暗场图像平面传感器23。
发现,如参照图6和图7描述的,GQ与CD偏差具有与一阶(或更高阶)衍射强度相似(大体抛物线)的行为。因此如图16和图17所示,通过用GQ取代DI可以有效地重绘图6和图7。
图16光栅质量信号GQ相对于CD偏差的图。发明人已发现,可以获得光栅质量相对于CD(以l0nm步长的160nm至250nm,以及400nm的节距)的大体抛物线的曲线。在这一示例中,峰值光栅质量信号出现在200nm的CD处,即在1:1线与间隔比处。
图17是光栅质量信号关于CD的梯度ΔGQ/ΔCD相对于CD的图。通过取针对每对CD偏差(例如CDl、CD2或者CD4、CD3或者CD3、CDl)测量的光栅质量信号之差ΔGQ,并且除以相应CD偏差对中的每对之间的CD偏差的差值ΔCD,计算ΔGQ/ΔCD。图16所示的光栅质量信号相对于CD的曲线的梯度朝向更大CD线性增加至更负的值并且朝向更小的CD线性增加至更正的值。在峰值光栅质量信号、CD=200nm处,光栅质量信号相对于CD的曲线的斜率为零。
通过测量光栅质量信号梯度,当使用具有已知晶片上CD偏差的CD目标集时,可以提取CD。当使用三个或更多偏差时,则可以从测量直接提取CD。当使用校准步骤时,则可以从具有仅两个偏差的目标的测量直接提取CD。
例如,参照图16,CD1=230nm并且CD2=240nm(通过设计)。如图17所示,光栅质量信号的梯度在位置A处。点A被标注为CDl,CD2以指示用于计算点A处的梯度的光栅质量信号测量的源。当曝光剂量增加时,CDl和CD2目标两者将移动到更小的CD,比如说CDl=220nm和CD2=230nm。光栅质量信号的梯度于是变得更小,从而移位到位置B,如图17所示。
注意,作为差分数据分析的结果,在正常工艺条件下,底层堆叠变化的影响在工作点(ΔGQ/ΔCD=0)处将会非常小。
还要注意,不需要重构配方。可以使用CD相对于差分GQ信号的校准,每处理堆叠一次。在配方设置期间,CD-SEM可以用作用于校准的参考工具。
图18是依照示例实施例的方法的流程图,其使用零阶散射辐射的强度测量,具有以下步骤(其中与图8和图13共同的步骤相应地标注)(其可以不按所示顺序执行或者可以不需要所有步骤):
步骤1300:为了在步骤1802至1810中只使用两个临界尺寸偏差,需要校准步骤。这一校准步骤可以如在参照图10的步骤1000和1001中描述的那样来执行。这一校准步骤可以使用三个CD偏差。校准步骤可以使用针对“金晶片”(即参考晶片)的测量,以通过已知CD确定针对CD对中的每对CD的强度梯度。替代地,校准可以基于对强度梯度对CD的敏感性的仿真。
步骤802:使用光刻装置在光刻工艺中处理晶片,以在晶片上产生具有不同相应临界尺寸偏差的目标。可以使用其包含具有掩模版上的相反CD偏差的线/间隔的目标对。目标尺寸可以是小的,例如2x10x10μm2
步骤1804:使用相对于周期性方向的至少两个不同偏振方向,照射目标中的每个目标。
步骤1806:测量针对不同偏振方向的由目标散射的零阶辐射的强度。可以使用其中在图像平面中测量散射光的散射仪。最佳地,由照射和/或测量分支中的光瞳平面中的孔径来选择角反射的一部分。相继通过两个偏振方向(ΤΕ、ΤΜ),在图像平面中测量目标的反射强度。
步骤806:从每个或图像中识别和提取每个光栅ROI。
步骤1810:确定差分信号。可以基于针对不同偏振方向测量的强度之差来确定差分信号。这一“双差分”信号因此可以确定为[(TE-TM)_target2-(TE-TM)_target_l]。然后基于差分信号、CD偏差、以及差分信号在这样的周期性目标的1:1线与间隔比处近似于零的知识,并且(如果仅使用两个临界尺寸偏差)使用校准的差分信号对与CD相关的性质的响应,来确定诸如CD或曝光剂量之类的与CD相关的性质。可以基于测量的强度和临界尺寸偏差,并且基于在这样的周期性目标的1:1线与间隔比处具有最大值的周期性方向和正交方向上的折射率之差,来确定与临界尺寸相关的性质。
步骤812:使用所确定的与临界尺寸相关的性质,以在后续晶片的光刻处理中控制光刻装置。
图19描绘光栅质量的双差分的确定的概览。如之前那样,CD1和CD3表示具有不同CD偏差的光栅。ΔΡ表示对光栅CD1和CD3两者共同的工艺变化(其影响CD)。
本文中描述的方法不需要重构模型/配方(包括像折射率n和k等的材料性质的细节)的繁琐设置。本文中描述的方法比重构快得多,并且可以应用于小目标。当使用零阶散射辐射时,没有对最小节距的基本限制。
参照图14至19描述的示例实施例以取得两个图像(TE/TM)而不是一个图像为代价,但是在大多数散射仪中,偏振可以非常快速地改变。
虽然上面可能已经对在光学光刻的上下文中的发明实施例的使用做出特定参照,但是将理解的是,可以在例如压印光刻的其它应用中使用本发明,并且在上下文允许的情况下,本发明并不限于光学光刻。在压印光刻中,图案形成装置中的形貌限定衬底上创建的图案。图案形成装置的形貌可以被压到向衬底供应的抗蚀剂的层中,在衬底上的抗蚀剂通过应用电磁辐射、热、压力或其组合而固化。将图案形成装置移动离开抗蚀剂,从而在抗蚀剂固化之后在其中留下图案。
本文中使用的术语“辐射”和“束”包含所有类型的电磁辐射,包括紫外(UV)辐射(例如,具有约365、355、248、193、157或126nm的波长)和极紫外(EUV)辐射(例如,具有在5-20nm范围内的波长),以及诸如离子束或电子束之类的粒子束。
在上下文允许的情况下,术语“透镜”可以指的是各种类型的光学部件中的任何一个或组合,包括折射、反射、磁的、电磁和静电光学部件。
特定实施例的前述描述将充分地揭示本发明的一般性质,使得其他人通过应用技术领域内的知识可以容易地修改和/或适配这样的特定实施例以用于各种应用,而无需过度实验,而不脱离本发明的一般概念。因此,基于本文中给出的教导和指导,这样的适配和修改旨在于在所公开的实施例的等效物的含义和范围内。要理解的是,本文中的短语或术语用于通过示例进行描述的目的,而不是用于限制目的,因此本说明书的术语或短语要由技术人员根据教导和指导来解释。
本发明的广度和范围不应受任何上述示例性实施例的限制,而是应该仅依照所附权利要求及其等效物来限定。
要理解的是,具体实施例部分而不是发明内容和摘要部分旨在于用于解释权利要求。如由(多个)发明人设想的,发明内容和摘要部分可以阐述本发明的一个或多个而非所有的示例性实施例,并且因此,并不旨在于以任何方式限制本发明和所附权利要求。
上面已经在图示指定功能及其关系的实施方式的功能构造块的帮助下描述了本发明。为了方便描述,本文中已任意地限定这些功能构造块的边界。可以限定替代边界,只要指定功能及其关系被适当地执行。
特定实施例的前述描述将充分地揭示本发明的一般性质,使得其他人通过应用技术领域内的知识可以容易地修改和/或适配这样的特定实施例以用于各种应用,而无需过度实验,而不脱离本发明的一般概念。因此,基于本文中给出的教导和指导,这样的适配和修改旨在于在所公开的实施例的等效物的含义和范围内。要理解的是,本文中的短语或术语用于描述而非限制的目的,因此本说明书的术语或短语要由技术人员根据教导和指导来解释。
本发明的广度和范围不应受任何上述示例性实施例的限制,而是应该仅依照所附权利要求及其等效物来限定。

Claims (13)

1.一种确定通过光刻工艺产生的结构的与临界尺寸相关的性质的方法,所述方法包括以下步骤:
(a)用辐射照射具有不同相应临界尺寸偏差的至少两个周期性目标中的每个周期性目标;
(b)测量由所述至少两个目标散射的辐射的相应强度;
(c)从测量的所述强度确定差分信号;
(d)基于所述差分信号和所述至少两个临界尺寸偏差,并且基于所述差分信号在这样的周期性目标的1:1线与间隔比处近似于零的知识,确定所述与临界尺寸相关的性质。
2.根据权利要求1所述的方法,其中测量辐射的强度的所述步骤(b)包括测量散射辐射的至少一个非零阶的强度。
3.根据权利要求1所述的方法,其中照射的所述步骤(a)包括使用相对于所述周期性目标的周期性方向的照射辐射的至少两个不同偏振方向,测量辐射的强度的所述步骤(b)包括测量针对所述偏振方向中的每个偏振方向的零阶散射辐射的强度,并且从测量的所述强度确定差分信号的所述步骤(c)基于针对所述不同偏振方向测量的强度之差。
4.根据任一前述权利要求所述的方法,其中在步骤(a)中照射具有不同相应临界尺寸偏差的至少三个目标,在步骤(b)中测量至少三个相应强度,并且在步骤(d)中基于至少三个所述临界尺寸偏差来确定所述与临界尺寸相关的性质。
5.根据权利要求1至3中任一项所述的方法,进一步包括校准步骤,以通过利用已知临界尺寸从测量的由至少两个目标散射的辐射的相应强度确定差分信号,来确定所述差分信号对所述与临界尺寸相关的性质的响应。
6.根据权利要求1至3中任一项所述的方法,进一步包括校准步骤,以通过对所述差分信号对临界尺寸的敏感性的仿真,来确定所述差分信号对所述与临界尺寸相关的性质的响应。
7.一种用于确定通过光刻工艺产生的结构的与临界尺寸相关的性质的检查装置,所述检查装置包括:
照射***,被配置为用辐射照射具有不同相应临界尺寸偏差的至少两个周期性目标中的每个周期性目标;
检测***,被配置为测量由所述至少两个目标散射的辐射的相应强度;
处理器,被配置为从测量的所述强度确定差分信号,并且基于所述差分信号和所述至少两个临界尺寸偏差,并且基于所述差分信号在这样的周期性目标的1:1线与间隔比处近似于零的知识,确定所述与临界尺寸相关的性质。
8.根据权利要求7所述的检查装置,其中所述检测***被配置为通过测量散射辐射的至少一个非零阶的强度来测量辐射的强度。
9.根据权利要求7所述的检查装置,其中所述照射***被配置为使用相对于所述周期性目标的周期性方向的照射辐射的至少两个不同偏振方向,所述检测***被配置为通过测量针对所述偏振方向中的每个偏振方向的零阶散射辐射的强度来测量辐射的强度,并且所述处理器被配置为基于针对所述不同偏振方向测量的强度之差从测量的所述强度确定差分信号。
10.根据权利要求7至9中任一项所述的检查装置,其中所述照射***被配置为照射具有不同相应临界尺寸偏差的至少三个目标,所述检测***被配置为测量至少三个相应强度,并且所述处理器被配置为基于至少三个所述临界尺寸偏差来确定所述与临界尺寸相关的性质。
11.根据权利要求7至9中任一项所述的检查装置,其中所述处理器被配置为使用所述差分信号对所述与临界尺寸相关的性质的校准的响应来确定所述与临界尺寸相关的性质。
12.根据权利要求7至9中任一项所述的检查装置,其中所述处理器被配置为使用所述差分信号对所述与临界尺寸相关的性质的仿真的响应来确定所述与临界尺寸相关的性质。
13.一种制造器件的方法,其中使用光刻工艺将器件图案应用于一系列衬底,所述方法包括使用所述衬底中的至少一个衬底并且使用根据权利要求1至6中任一项所述的方法来确定通过所述光刻工艺产生的结构的与临界尺寸相关的性质,并且依照确定所述与临界尺寸相关的性质的方法的结果来控制针对随后衬底的所述光刻工艺。
CN201480033591.0A 2013-06-12 2014-05-23 确定与临界尺寸相关的性质的方法、检查装置和器件制造方法 Expired - Fee Related CN105308508B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361834105P 2013-06-12 2013-06-12
US61/834,105 2013-06-12
PCT/EP2014/060625 WO2014198516A1 (en) 2013-06-12 2014-05-23 Method of determining critical-dimension-related properties, inspection apparatus and device manufacturing method

Publications (2)

Publication Number Publication Date
CN105308508A CN105308508A (zh) 2016-02-03
CN105308508B true CN105308508B (zh) 2018-08-10

Family

ID=50792448

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480033591.0A Expired - Fee Related CN105308508B (zh) 2013-06-12 2014-05-23 确定与临界尺寸相关的性质的方法、检查装置和器件制造方法

Country Status (7)

Country Link
US (1) US10180628B2 (zh)
JP (1) JP6251386B2 (zh)
KR (1) KR101826651B1 (zh)
CN (1) CN105308508B (zh)
NL (1) NL2012872A (zh)
TW (1) TWI618988B (zh)
WO (1) WO2014198516A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2011816A (en) * 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
WO2016000914A1 (en) 2014-06-30 2016-01-07 Asml Netherlands B.V. Method of determining dose, inspection apparatus, patterning device, substrate and device manufacturing method
CN104658942A (zh) * 2015-03-13 2015-05-27 合肥京东方光电科技有限公司 关键尺寸测量设备的光源亮度调整***和方法
NL2017466A (en) * 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
US10359705B2 (en) * 2015-10-12 2019-07-23 Asml Netherlands B.V. Indirect determination of a processing parameter
KR20180095605A (ko) 2015-12-17 2018-08-27 에이에스엠엘 네델란즈 비.브이. 스캐터로메트리에서의 편광 튜닝
KR102606308B1 (ko) 2016-06-28 2023-11-24 삼성전자주식회사 포토 마스크의 제조 방법, 패턴 형성 방법 및 반도체 장치의 제조 방법
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
US10656535B2 (en) * 2017-03-31 2020-05-19 Imec Vzw Metrology method for a semiconductor manufacturing process
US11067902B2 (en) * 2017-08-07 2021-07-20 Asml Netherlands B.V. Computational metrology
DE102017123114B3 (de) * 2017-10-05 2019-01-10 Carl Zeiss Sms Ltd. Verfahren zur Korrektur der Critical Dimension Uniformity einer Fotomaske für die Halbleiterlithographie
EP3477390A1 (en) 2017-10-25 2019-05-01 ASML Netherlands B.V. Inspection tool, lithographic apparatus, electron beam source and an inspection method
KR102408786B1 (ko) 2017-11-07 2022-06-13 에이에스엠엘 네델란즈 비.브이. 관심 특성을 결정하는 계측 장치 및 방법
EP3528047A1 (en) * 2018-02-14 2019-08-21 ASML Netherlands B.V. Method and apparatus for measuring a parameter of interest using image plane detection techniques
EP3629086A1 (en) * 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
EP3647871A1 (en) * 2018-10-31 2020-05-06 ASML Netherlands B.V. Method of determing a value of a parameter of interest of a patterning process, device manufacturing method
US11302544B2 (en) * 2019-03-28 2022-04-12 Kla-Tencor Corporation Method for measuring and correcting misregistration between layers in a semiconductor device, and misregistration targets useful therein
EP3757252B1 (en) * 2019-06-28 2022-03-30 Walter Ag A coated cutting tool
CN110914965B (zh) * 2019-10-18 2021-05-25 长江存储科技有限责任公司 用于以基于衍射的叠加量测为基础评估临界尺寸的***和方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
WO2005069082A1 (en) * 2003-12-19 2005-07-28 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
KR100877321B1 (ko) * 2006-06-16 2009-01-09 인터내셔널 비지네스 머신즈 코포레이션 차분 임계 치수 및 오버레이 계측 장치 및 측정 방법
US7916284B2 (en) * 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7599064B2 (en) 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
WO2011011511A1 (en) 2009-07-22 2011-01-27 Kla-Tencor Corporation Angle-resolved antisymmetric scatterometry
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻***以及光刻处理单元
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US8233142B2 (en) * 2009-09-29 2012-07-31 United Microelectronics Corp. Monitoring method of exposure apparatus
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US8429569B2 (en) * 2011-04-14 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for feed-forward advanced process control

Also Published As

Publication number Publication date
TWI618988B (zh) 2018-03-21
KR101826651B1 (ko) 2018-02-07
US10180628B2 (en) 2019-01-15
US20160116849A1 (en) 2016-04-28
WO2014198516A1 (en) 2014-12-18
CN105308508A (zh) 2016-02-03
KR20160008638A (ko) 2016-01-22
TW201506553A (zh) 2015-02-16
NL2012872A (en) 2014-12-15
JP6251386B2 (ja) 2017-12-20
JP2016523378A (ja) 2016-08-08

Similar Documents

Publication Publication Date Title
CN105308508B (zh) 确定与临界尺寸相关的性质的方法、检查装置和器件制造方法
CN104823113B (zh) 确定剂量和焦点的方法、检查设备、图案形成装置、衬底及器件制造方法
US10725386B2 (en) Metrology method and apparatus, lithographic system and device manufacturing method
CN107111245B (zh) 测量非对称性的方法、检查设备、光刻***及器件制造方法
KR102104843B1 (ko) 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
CN106462076B (zh) 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法
US9069264B2 (en) Metrology method and apparatus, and device manufacturing method
CN104395830B (zh) 确定聚焦的方法、检查设备、图案形成装置、衬底以及器件制造方法
CN107077079B (zh) 测量目标结构的属性的方法、检查设备、光刻***和器件制造方法
CN107430350B (zh) 计量方法和设备、计算机程序和光刻***
CN106662823B (zh) 确定剂量的方法、检查设备、图案形成装置、衬底以及器件制造方法
CN107771271A (zh) 量测方法和设备、计算机程序及光刻***
CN113196175A (zh) 测量图案化过程的参数的方法、量测设备、目标

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20180810

Termination date: 20190523