KR20230098730A - 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을결정하는 방법 - Google Patents

시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을결정하는 방법 Download PDF

Info

Publication number
KR20230098730A
KR20230098730A KR1020237021759A KR20237021759A KR20230098730A KR 20230098730 A KR20230098730 A KR 20230098730A KR 1020237021759 A KR1020237021759 A KR 1020237021759A KR 20237021759 A KR20237021759 A KR 20237021759A KR 20230098730 A KR20230098730 A KR 20230098730A
Authority
KR
South Korea
Prior art keywords
etch
profile
wafer
determining
information
Prior art date
Application number
KR1020237021759A
Other languages
English (en)
Inventor
치-시앙 판
펭 첸
왕쉬 자오
요우핑 장
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20230098730A publication Critical patent/KR20230098730A/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

에칭 프로파일을 결정하는 방법이 설명된다. 상기 방법은 시작 마스킹 층 프로파일을 결정하는 단계를 포함한다. 로딩 정보가 결정된다. 로딩 정보는 에칭되는 재료의 양 및 패턴에 대한, 마스킹 층 프로파일에 대한 에칭 속도의 의존성을 나타낸다. 플럭스 정보가 결정된다. 플럭스 정보는 마스킹 층 프로파일에 입사하는 방사선의 확산 각도 및 세기에 대한 에칭 속도의 의존성을 나타낸다. 재-증착 정보가 결정된다. 재-증착 정보는 마스킹 층 프로파일에 다시 재-증착되는 마스킹 층 프로파일로부터 제거된 재료의 양에 대한 에칭 속도의 의존성을 나타낸다. 로딩 정보, 플럭스 정보, 및/또는 재-증착 정보에 기초하여 웨이퍼 층에 대한 출력 에칭 프로파일이 결정된다.

Description

시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법{Method for determining an etch profile of a layer of a wafer for a simulation system}
본 출원은 2018년 7월 26일에 출원된 US 출원 62/703,529의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 명세서의 기재내용은 일반적으로 마스크 제조 및 패터닝 공정들에 관한 것이다. 특히, 본 기재내용은 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일(etch profile)을 결정하는 방법 및 장치에 관한 것이다.
리소그래피 투영 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어, 마스크)는 IC의 개별층에 대응하는 패턴("디자인 레이아웃")을 포함하거나 제공할 수 있으며, 패터닝 디바이스 상의 패턴을 통해 타겟부를 조사(irradiate)하는 것과 같은 방법들에 의해, 이 패턴이 방사선-감응재("레지스트")층으로 코팅된 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 일반적으로, 단일 기판은 리소그래피 투영 장치에 의해 패턴이 한 번에 한 타겟부씩 연속적으로 전사되는 복수의 인접한 타겟부들을 포함한다. 일 형태의 리소그래피 투영 장치에서는 전체 패터닝 디바이스 상의 패턴이 한 타겟부 상으로 한 번에 전사되며; 이러한 장치는 통상적으로 스테퍼(stepper)라 칭해진다. 통상적으로 스텝-앤드-스캔(step-and-scan) 장치라 칭해지는 대안적인 장치에서는 투영 빔이 주어진 기준 방향("스캐닝" 방향)으로 패터닝 디바이스에 걸쳐 스캐닝하는 한편, 동시에 이 기준 방향과 평행하게 또는 역-평행하게(anti-parallel) 기판이 이동된다. 패터닝 디바이스 상의 패턴의 상이한 부분들이 점진적으로 한 타겟부에 전사된다. 일반적으로, 리소그래피 투영 장치가 축소율(M)(예를 들어, 4)을 갖기 때문에, 기판이 이동되는 속력(F)은 투영 빔이 패터닝 디바이스를 스캐닝하는 속력의 1/M 배가 될 것이다. 본 명세서에 서술된 바와 같은 리소그래피 디바이스들에 관련된 더 많은 정보는, 예를 들어 본 명세서에서 인용참조되는 US 6,046,792로부터 얻을 수 있다.
패터닝 디바이스로부터 기판으로 패턴을 전사하기에 앞서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크(soft bake)와 같은 다양한 절차들을 거칠 수 있다. 노광 이후, 기판은 노광-후 베이크(post-exposure bake: PEB), 현상, 하드 베이크(hard bake) 및 전사된 패턴의 측정/검사와 같은 다른 절차들("노광-후 절차들")을 거칠 수 있다. 이러한 일련의 절차들은 디바이스, 예컨대 IC의 개별층을 구성하는 기초로서 사용된다. 그 후, 기판은 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학-기계적 연마 등과 같은 다양한 공정들을 거칠 수 있으며, 이는 모두 디바이스의 개별층을 마무리하도록 의도된다. 디바이스에서 여러 층이 요구되는 경우, 각각의 층에 대해 전체 과정 또는 그 변형이 반복된다. 최후에는, 디바이스가 기판 상의 각 타겟부에 존재할 것이다. 그 후, 이 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술에 의해 서로 분리되며, 개개의 디바이스들은 핀에 연결되는 캐리어 등에 장착될 수 있다.
따라서, 반도체 디바이스들과 같은 디바이스들을 제조하는 것은 통상적으로 디바이스들의 다양한 피처들 및 다수 층들을 형성하기 위해 다수의 제작 공정들을 이용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하는 것을 수반한다. 이러한 층들 및 피처들은 통상적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 이용하여 제조되고 처리된다. 다수 디바이스들은 기판의 복수의 다이들 상에 제작된 후, 개별적인 디바이스들로 분리될 수 있다. 이 디바이스 제조 공정은 패터닝 공정으로 간주될 수 있다. 패터닝 공정은 기판에 패터닝 디바이스 상의 패턴을 전사하기 위해 리소그래피 장치에서 패터닝 디바이스를 이용하는 광학 및/또는 나노임프린트(nanoimprint) 리소그래피와 같은 패터닝 단계를 수반하며, 통상적이지만 선택적으로 현상 장치에 의한 레지스트 현상, 베이크 툴을 이용한 기판의 베이킹, 에칭 장치를 이용하는 패턴을 이용한 에칭 등과 같은 1 이상의 관련 패턴 처리 단계를 수반한다.
유의되는 바와 같이, 리소그래피는 IC와 같은 디바이스의 제조에 있어서 중심 단계이며, 이때 기판들 상에 형성된 패턴들은 마이크로프로세서, 메모리 칩 등과 같은 디바이스의 기능 요소들을 정의한다. 또한, 유사한 리소그래피 기술들이 평판 디스플레이(flat panel display), MEMS(micro-electro mechanical systems) 및 다른 디바이스들의 형성에 사용된다.
반도체 제조 공정이 계속해서 진보함에 따라, 통상적으로 "무어의 법칙"이라 칭하는 추세를 따라 기능 요소들의 치수들이 계속 감소되는 한편, 디바이스당 트랜지스터와 같은 기능 요소들의 양은 수십 년에 걸쳐 꾸준히 증가하였다. 현 기술 수준에서, 디바이스들의 층들은 심(deep)-자외선 조명 소스로부터의 조명을 이용하여 기판 상에 디자인 레이아웃을 투영하는 리소그래피 투영 장치들을 이용하여 제조되어, 100 nm보다 훨씬 낮은 치수들, 즉 조명 소스(예를 들어, 193 nm 조명 소스)로부터의 방사선의 파장의 절반보다 작은 치수들을 갖는 개별적인 기능 요소들을 생성한다.
리소그래피 투영 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들이 프린트되는 이 공정은 통상적으로 분해능 공식 CD = k1×λ/NA에 따른 저(low)-k1 리소그래피로서 알려져 있으며, 이때 λ는 채택되는 방사선의 파장(통상적으로, 대부분의 경우 248 nm 또는 193 nm)이고, NA는 리소그래피 투영 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수" -일반적으로, 프린트되는 최소 피처 크기- 이고, k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세-조정 단계들이 리소그래피 투영 장치, 디자인 레이아웃, 또는 패터닝 디바이스에 적용된다. 이들은, 예를 들어 NA 및 광 간섭성(optical coherence) 세팅들의 최적화, 맞춤 조명 방식(customized illumination schemes), 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접 보정(optical proximity correction: OPC, 때로는 "광학 및 공정 보정"이라고도 함), 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로 정의된 다른 방법들을 포함하며, 이에 제한되지는 않는다. 본 명세서에서 사용되는 바와 같은 "투영 광학기"라는 용어는, 예를 들어 굴절 광학기, 반사 광학기, 어퍼처(aperture) 및 카타디옵트릭(catadioptric) 광학기를 포함하는 다양한 타입의 광학 시스템들을 포괄하는 것으로서 폭넓게 해석되어야 한다. 또한, "투영 광학기"라는 용어는 집합적으로 또는 개별적으로 방사선 투영 빔을 지향, 성형 또는 제어하기 위해 이 디자인 타입들 중 어느 하나에 따라 작동하는 구성요소들을 포함할 수 있다. "투영 광학기"라는 용어는, 광학 구성요소가 리소그래피 투영 장치의 광학 경로 상의 어디에 위치되든지, 리소그래피 투영 장치 내의 여하한의 광학 구성요소를 포함할 수 있다. 투영 광학기는 방사선이 패터닝 디바이스를 지나가기 전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들, 및/또는 방사선이 패터닝 디바이스를 지나간 후에 방사선을 성형, 조정 및/또는 투영하는 광학 구성요소들을 포함할 수 있다. 투영 광학기는 일반적으로 소스 및 패터닝 디바이스를 배제한다.
일 실시예에 따르면, 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법이 제공된다. 상기 방법은 웨이퍼 층에 대한 시작 마스킹 층 프로파일(starting masking layer profile)을 결정하는 단계를 포함한다. 상기 방법은 적어도 부분적으로 마스킹 층 프로파일에 기초하여, 마스킹 층 프로파일에 대한 로딩 정보(loading information)를 결정하는 단계를 포함한다. 로딩 정보는 에칭되는 재료의 양 및 패턴에 대한, 마스킹 층 프로파일에 대한 에칭 속도의 의존성을 나타낸다. 상기 방법은 적어도 부분적으로 마스킹 층 프로파일에 기초하여, 마스킹 층 프로파일에 대한 플럭스 정보(flux information)를 결정하는 단계를 포함한다. 플럭스 정보는 마스킹 층 프로파일에 입사하는 방사선의 확산 각도 및 세기에 대한, 마스킹 층 프로파일에 대한 에칭 속도의 의존성을 나타낸다. 상기 방법은 적어도 부분적으로 마스킹 층 프로파일에 기초하여, 마스킹 층 프로파일에 대한 재-증착 정보를 결정하는 단계를 포함한다. 재-증착 정보는 마스킹 층 프로파일에 다시 재-증착되는 마스킹 층 프로파일로부터 제거된 재료의 양에 대한, 마스킹 층 프로파일에 대한 에칭 속도의 의존성을 나타낸다. 상기 방법은 마스킹 층 프로파일에 대한 로딩 정보, 플럭스 정보, 및/또는 재-증착 정보에 기초하여 웨이퍼 층에 대한 출력 에칭 프로파일을 결정하는 단계를 포함한다.
일 실시예에서, 상기 방법은 오버레이 결정 동안 사용하기 위해 출력 에칭 프로파일에 기초하여 깊이 정보를 결정하는 단계를 더 포함한다.
일 실시예에서, 로딩 정보를 결정하는 단계, 플럭스 정보를 결정하는 단계, 재-증착 정보를 결정하는 단계, 및/또는 출력 에칭 프로파일을 결정하는 단계는 깊이 정보가 물리적 웨이퍼의 대응하는 층의 이미지의 깊이들에 대응할 때까지 반복적으로 수행된다.
일 실시예에서, 상기 방법은 전자적 묘사(electronic depiction)와 물리적 웨이퍼의 대응하는 층의 이미지 사이의 시각적 비교를 용이하게 하기 위해 깊이 정보에 기초하여 웨이퍼 층의 전자적 묘사를 생성하는 단계를 더 포함한다.
일 실시예에서, 상기 방법은 물리적 웨이퍼의 대응하는 층의 이미지로부터 얻어지는 치수들과의 비교를 위한 전자적 묘사의 치수 측정을 용이하게 하기 위해 깊이 정보에 기초하여 웨이퍼의 층의 전자적 묘사를 생성하는 단계를 더 포함한다.
일 실시예에서, 상기 방법은 물리적 및/또는 시뮬레이션된 웨이퍼의 대응하는 층에 대한 메트롤로지 시스템으로부터의 정보에 기초하여 상기 방법의 작동 파라미터들을 캘리브레이션하는 단계를 더 포함한다. 예를 들어, 캘리브레이션은 물리적 웨이퍼에 대한 메트롤로지 시스템의 출력(측정)과 시뮬레이션된 웨이퍼에 대한 시뮬레이션된 메트롤로지 출력의 출력 간의 차이를 최소화함으로써 파라미터들을 튜닝하는 것을 수반할 수 있다. 메트롤로지 시스템은, 예를 들어 SEM(아래에서 더 상세히 설명됨) 또는 스케터로메트리 시스템(광학 툴)일 수 있다.
일 실시예에서, 상기 방법은 시뮬레이션된 웨이퍼의 대응하는 층에 대한 메트롤로지 시스템으로부터의 정보에 기초하여 상기 방법의 작동 파라미터들을 캘리브레이션하는 단계를 더 포함하고, 캘리브레이션은 시뮬레이션된 웨이퍼의 웨이퍼 시뮬레이션 파라미터들을 조정하는 것을 더 포함한다. 달리 말하면, 캘리브레이션은 마스킹 층 프로파일을 결정하는 모델의 파라미터들을 더 튜닝하는 것을 수반할 수 있다. 예를 들어, 마스킹 층은 포토레지스트 층일 수 있고, 마스킹 층을 결정하는 시스템은 리소그래피 모델일 수 있으며, 파라미터들은 리소그래피 모델에 대한 것들이다(아래에서 더 상세히 설명됨).
일 실시예에서, 마스킹 층 프로파일을 결정하는 단계, 로딩 정보를 결정하는 단계, 플럭스 정보를 결정하는 단계, 재-증착 정보를 결정하는 단계, 및/또는 출력 에칭 프로파일을 결정하는 단계는 웨이퍼 층의 관심 영역에 대해 수행된다.
일 실시예에서, 웨이퍼 층에 대한 마스킹 층 프로파일은 패터닝 후 프로파일을 포함한다.
일 실시예에서, 웨이퍼 층에 대한 마스킹 층 프로파일은 현상 후 검사(ADI) 치수들을 포함한다.
일 실시예에서, 웨이퍼 층에 대한 마스킹 층 프로파일을 결정하는 단계는 마스킹 층 프로파일의 치수들을 얻는 단계, 디자인하는 단계, 또는 측정하는 단계 중 1 이상을 포함한다.
일 실시예에서, 상기 방법은 트림 레시피(trim recipe)에 따라 마스킹 층 프로파일에 대한 트림 작업을 시뮬레이션하는 단계를 더 포함한다. 마스킹 층 프로파일에 대한 트림 작업을 시뮬레이션하는 단계는 마스킹 층 프로파일의 치수들을 수정하는 단계를 포함할 수 있다.
일 실시예에서, 마스킹 층 프로파일에 대한 트림 작업을 시뮬레이션하는 단계는 마스크 임계 치수(CD) 오프셋을 조정하는 단계를 포함한다.
일 실시예에서, 마스킹 층 프로파일에 대한 로딩 정보를 결정하는 단계는 에칭 속도 상수, 단거리 로딩 인자(short range loading factor), 또는 장거리 로딩 인자(long range loading factor) 중 1 이상을 조정하는 단계를 포함한다.
일 실시예에서, 마스킹 층 프로파일에 대한 플럭스 정보를 결정하는 단계는 입사 방사선의 이온 세기, 중성자 세기(neutral intensity), 또는 확산 각도 중 1 이상을 조정하는 단계를 포함한다.
일 실시예에서, 마스킹 층 프로파일에 대한 재-증착 정보를 결정하는 단계는 마스킹 층 프로파일에 대한 재-증착 속도를 조정하는 단계를 포함한다.
일 실시예에서, 로딩 정보를 결정하는 단계는 개별 위치들에서 에칭되는 재료의 양 및 패턴에 대한, 마스킹 층 프로파일에 걸친 개별 위치들에서의 에칭 속도의 의존성을 결정하는 단계를 포함하고; 플럭스 정보를 결정하는 단계는 개별 위치들에서 마스킹 층 프로파일에 입사하는 방사선의 세기 및 확산 각도에 대한, 마스킹 층 프로파일에 걸친 개별 위치들에서의 에칭 속도의 의존성을 결정하는 단계를 포함하며; 재-증착 정보를 결정하는 단계는 개별 위치들에서 마스킹 층 프로파일에 다시 재-증착되는 개별 위치들에서의 마스킹 층 프로파일로부터 제거된 재료의 양에 대한, 마스킹 층 프로파일에 걸친 개별 위치들에서의 에칭 속도의 의존성을 결정하는 단계를 포함한다.
일 실시예에서, 마스킹 층 프로파일에 걸친 개별 위치들에서 에칭 속도의 의존성을 결정하는 단계는 개별 위치들에 대한 개별 로딩 인자들을 결정하는 단계를 포함한다.
일 실시예에서, 개별 로딩 인자는 개별 위치에서의 마스크 패턴의 불 함수(Boolean function)에 기초하여 결정된다.
일 실시예에서, 플럭스 정보는 개별 위치들에 대응하는 1 이상의 바이어스 상수(bias constant), 1 이상의 피팅 상수(fitting constant), 단거리 로딩 인자, 및 장거리 로딩 인자에 기초하여 결정된다.
일 실시예에서, 재-증착 정보는 개별 위치들에 대응하는 1 이상의 바이어스 상수, 장거리 피팅 인자, 및 장거리 로딩 인자에 기초하여 결정된다.
또 다른 실시예에 따르면, 컴퓨터 프로그램 제품이 제공된다. 컴퓨터 프로그램 제품은 명령어들이 기록되어 있는 비-일시적(non-transitory) 컴퓨터 판독가능한 매체를 포함하고, 명령어들은 컴퓨터에 의해 실행될 때 앞서 설명된 방법을 구현한다.
또 다른 실시예에 따르면, 메트롤로지 타겟 디자인을 생성하기 위한 에칭 모델 적용 방법이 제공된다. 상기 방법은 에칭 모델에 기초하여 생성되는 물리적 웨이퍼의 층으로부터의 실험적 단면 프로파일 정보를 사용하여 에칭 모델을 캘리브레이션하는 단계를 포함한다. 상기 방법은 캘리브레이션된 에칭 모델에 기초하여 모델링된 웨이퍼의 층의 에칭 깊이 프로파일을 예측하는 단계를 포함한다. 상기 방법은 RCWA(rigorous coupled-wave analysis)에서 예측된 에칭 깊이 프로파일을 사용하여 메트롤로지 타겟 디자인을 향상시키는 단계를 포함한다.
일 실시예에서, 캘리브레이션된 에칭 모델에 기초하여 모델링된 웨이퍼의 층의 에칭 깊이 프로파일을 예측하는 단계는: (ⅰ) 웨이퍼 층에 대한 시작 레지스트 프로파일 및 (ⅱ) 레지스트 트림 에칭 레시피를 결정하는 단계; 레지스트 트림 에칭 레시피에 따라 시작 레지스트 프로파일에 대한 트림 작업을 시뮬레이션함으로써 트리밍된 레지스트 프로파일을 결정하는 단계; 적어도 부분적으로 트리밍된 레지스트 프로파일에 기초하여, 트리밍된 레지스트 프로파일에 대한 로딩 정보를 결정하는 단계 -로딩 정보는 에칭되는 재료의 양 및 패턴에 대한, 트리밍된 레지스트 프로파일에 대한 에칭 속도의 의존성을 나타냄- ; 및/또는 트리밍된 레지스트 프로파일에 대한 플럭스 정보를 결정하는 단계 -플럭스 정보는 트리밍된 레지스트 프로파일에 입사하는 방사선의 확산 각도 및 세기에 대한, 트리밍된 레지스트 프로파일에 대한 에칭 속도의 의존성을 나타냄- ; 및/또는 트리밍된 레지스트 프로파일에 대한 재-증착 정보를 결정하는 단계 -재-증착 정보는 트리밍된 레지스트 프로파일에 다시 재-증착되는 트리밍된 레지스트 프로파일로부터 제거된 재료의 양에 대한, 트리밍된 레지스트 프로파일에 대한 에칭 속도의 의존성을 나타냄- ; 및 트리밍된 레지스트 프로파일에 대한 로딩 정보, 플럭스 정보, 및/또는 재-증착 정보에 기초하여 웨이퍼 층에 대한 출력 에칭 깊이 프로파일을 결정하는 단계를 포함한다.
일 실시예에서, 메트롤로지 타겟 디자인을 향상시키는 단계는 메트롤로지 타겟 디자인의 1 이상의 치수 및/또는 패턴을 조정하는 단계를 포함한다.
일 실시예에서, 실험적 단면 프로파일 정보는 물리적 웨이퍼 층의 전자적 이미지와 모델을 사용하여 생성된 층의 대응하는 전자적 묘사 사이의 시각적 또는 치수 비교들을 포함한다.
또 다른 실시예에 따르면, 컴퓨터 프로그램 제품이 제공된다. 컴퓨터 프로그램 제품은 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함하고, 명령어들은 컴퓨터에 의해 실행될 때 바로 위에 설명된 방법을 구현한다.
또 다른 실시예에 따르면, 광 근접 보정(OPC) 적용을 위해 2 차원 에칭 모델을 캘리브레이션하기 위한 에칭 모델 적용 방법이 제공된다. 상기 방법은 에칭 프로세스에 기초하여 생성되는 시뮬레이션된 웨이퍼의 층으로부터의 단면 프로파일, SEM, 및 스케터로메트리(예를 들어, 광학 툴들을 사용함) 측정들의 여하한의 조합을 사용하여 에칭 모델을 캘리브레이션하는 단계를 포함한다. 상기 방법은 캘리브레이션된 에칭 모델에 기초하여 모델링된 웨이퍼의 층에서 복수의 패턴들의 에칭 편향들을 예측하는 단계를 포함한다. 상기 방법은 예측된 에칭 편향들을 사용하여 포토마스크를 보정(예를 들어, OPC 적용)하거나, 에칭-후 공정 윈도우를 검증[OPC 검증 또는 리소그래피 제조가능성 체크(LMC)]하거나, 또는 리소그래피 소스 및 포토마스크를 동시-최적화[예를 들어, 소스 마스크 최적화(SMO) 어플리케이션 사용]하는 단계를 포함한다.
또 다른 실시예에 따르면, 컴퓨터 프로그램 제품이 제공된다. 컴퓨터 프로그램 제품은 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함하고, 명령어들은 컴퓨터에 의해 실행될 때 바로 위에 설명된 방법을 구현한다.
또 다른 실시예에 따르면, 웨이퍼 검사 또는 패터닝 제어 작업들에 대한 입력으로서 상호-웨이퍼 에칭 핑거프린트(cross-wafer etch fingerprint)를 예측하기 위해 에칭 모델을 캘리브레이션하기 위한 에칭 모델 적용 방법이 제공된다. 상기 방법은 에칭 프로세스에 기초하여 생성되는 시뮬레이션된 웨이퍼의 층으로부터의 상호-웨이퍼 단면 프로파일, SEM, 및 스케터로메트리 측정들의 여하한의 조합을 사용하여, 에칭 프로세스의 상호 웨이퍼 변동들을 설명하는 파라미터들을 갖는 에칭 모델을 캘리브레이션하는 단계를 포함한다. 상기 방법은 캘리브레이션된 에칭 모델에 기초하여 모델링된 웨이퍼의 층에서 복수의 패턴들의 에칭 편향들을 예측하는 단계를 포함한다. 상기 방법은 검사 시스템의 결함 예측[예를 들어, 패턴 충실도 메트롤로지(PFM) 어플리케이션과 같은 가이드 웨이퍼 결함 검사 어플리케이션]을 위한, 또는 (예를 들어, 웨이퍼 제조 공정 제어 어플리케이션을 사용하여) 웨이퍼에 걸친 패터닝 성능을 개선하기 위한 웨이퍼 제조 공정 제어 시스템[예를 들어, 패턴 충실도 제어(PFC) 시스템]에 대한 입력으로서 예측된 에칭 편향 핑거프린트를 사용하는 단계를 포함한다.
또 다른 실시예에 따르면, 컴퓨터 프로그램 제품이 제공된다. 컴퓨터 프로그램 제품은 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함하고, 명령어들은 컴퓨터에 의해 실행될 때 바로 위에 설명된 방법을 구현한다.
앞선 실시형태들 및 다른 실시형태들 및 특징들은 첨부된 도면들과 관련하여 다음의 특정 실시예들의 설명을 검토할 때 당업자에게 명백해질 것이다:
도 1은 일 실시예에 따른 리소그래피 장치를 개략적으로 도시하는 도면;
도 2는 일 실시예에 따른 리소그래피 셀 또는 클러스터의 일 실시예를 개략적으로 도시하는 도면;
도 3은 일 실시예에 따른 예시적인 검사 장치 및 메트롤로지 기술을 개략적으로 도시하는 도면;
도 4는 일 실시예에 따른 예시적인 검사 장치를 개략적으로 도시하는 도면;
도 5는 일 실시예에 따른 검사 장치의 조명 스폿과 메트롤로지 타겟 사이의 관계를 예시하는 도면;
도 6은 일 실시예에 따른 측정 데이터에 기초하여 복수의 관심 변수들을 도출하는 공정을 개략적으로 도시하는 도면;
도 7a는 일 실시예에 따른 '제어를 위한 디자인' 프로세스 흐름의 다양한 스테이지들을 나타내는 흐름도;
도 7b는 일 실시예에 따른 시각화를 위한 다양한 스테이지들을 나타내는 블록 다이어그램;
도 7c는 일 실시예에 따른, '제어를 위한 디자인' 프로세스가 프로세스 섭동들에 대해 견고한 메트롤로지 타겟 디자인들을 결정하는 방식을 나타내는 흐름도;
도 8a는 일 실시예에 따른 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법을 예시하는 도면;
도 8b는 일 실시예에 따른 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 유사하지만 트림-관련 작업들은 없는 방법을 예시하는 도면;
도 8c는 오버레이 및 정렬 시스템들의 조합을 사용하는 대안적인 캘리브레이션 방법의 다이어그램;
도 9는 일 실시예에 따른 웨이퍼 층의 관심 영역에 대한 로딩 정보를 결정하는 측면들을 예시하는 도면;
도 10은 일 실시예에 따른 플럭스 정보를 결정하는 것과 관련된 두 예시들을 제공하는 도면;
도 11은 일 실시예에 따른 본 명세서에 설명된 시스템(들) 및 방법(들)을 사용하여 생성되는 2 개의 상이한 깊이 프로파일들의 전자적 묘사들 및 물리적 웨이퍼들의 대응하는 스캐닝 전자 현미경(SEM) 이미지들을 예시하는 도면;
도 12는 일 실시예에 따른 예시적인 컴퓨터 시스템의 블록 다이어그램;
도 13은 일 실시예에 따른 도 1과 유사한 리소그래피 투영 장치의 개략적인 다이어그램;
도 14는 일 실시예에 따른 도 13의 장치의 더 상세한 도면;
도 15는 일 실시예에 따른 도 13 및 도 14의 장치의 소스 컬렉터 모듈(SO)의 더 상세한 도면이다.
본 명세서의 기재내용은 일반적으로 마스크 제조 및 패터닝 공정들에 관한 것이다. 특히, 본 기재내용은 시뮬레이션 시스템들을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법들 또는 장치들에 관한 것이다. 이러한 시뮬레이션 시스템들은 단지 일 예시로서 정렬 메트롤로지 타겟 디자인 동안, 또는 다른 작업들에서 결정된 에칭 프로파일을 사용할 수 있다. 아래에서 더 상세히 설명되는 바와 같이, 오버레이가 웨이퍼의 현재 층과 이전 층 사이의 상대적인 시프트를 나타낸다. 오버레이는 흔히 스크라이브 라인들에 포함된 메트롤로지 마크들의 광학적 응답에 기초하여 결정된다. 메트롤로지 마크들 및 광학적 응답은 통상적으로 ASML Design 4 Control(D4C) 및 YieldStar와 같은 소프트웨어 툴들을 사용하여 모델링되어, 웨이퍼가 물리적으로 제조되기 전 (예를 들어, 오버레이 감소 및/또는 다른 목적들을 위한) 웨이퍼 제조 레시피 및 메트롤로지 마크 디자인의 최적화를 용이하게 한다.
패터닝 공정 정의를 위해(예를 들어, 에칭 프로파일들을 모델링하거나 달리 결정하기 위해) 현재 오버레이 및 메트롤로지 마크 디자인에서 기하학적 모델이 사용된다. 예를 들어, D4C 또는 다른 유사한 툴들이 정확한 시뮬레이션을 위해 RCWA(rigorous coupled-wave analysis)로 피드백하도록 실제 웨이퍼를 모델링하는 "스택"을 구성하기 위해 (많은 다른 공정 관련 입력들 중에서) 에칭 프로파일을 필요로 한다. 하지만, 기하학적 모델은 지나치게 단순하다(예를 들어, 모델은 일정한 에칭 깊이, 측벽 각도, 스택에서의 재료들의 에칭가능하거나 에칭가능하지 않은 이원 설명 등을 사용함). 마이크로 로딩 효과, 휨 등과 같은 웨이퍼에 대한 에칭 효과들은 기하학적 모델에 의해 잘 설명되지 않으며, 이는 시뮬레이션 정확성을 감소시키고, 흔히 시뮬레이션된 오버레이 측정들과 실제 오버레이 측정들 사이의 열악한 상관관계를 유도한다. (예를 들어) YieldStar 또는 다른 스캐너 메트롤로지 마크 신호들은 모델링된 에칭-후 프로파일들, 특히 이러한 프로파일들의 깊이에 민감하다. 모델링된 프로파일과 실제 프로파일 간의 에칭 프로파일 차이들은 흔히 기하학적 모델이 밀도 및 레지스트 프로파일과 같은 국부적 패턴 피처들, 및 화학적 및 물리적 불균일성과 같은 전역적 효과들을 정확하게 설명할 수 없기 때문에 야기된다.
예를 들어, 스택의 일부 층들에서, 메트롤로지 마크들은 공정 균일성을 향상시키기 위해 세분화(segment)된다. 하지만, YieldStar 오버레이 마크들은 약 500 내지 800 nm의 주 피치를 가질 수 있으며, 다른 스캐너 정렬 마크들은 오버레이 또는 정렬 위치를 결정하기 위해 회절 신호를 생성하는 데 약 1.6 um의 피치를 필요로 할 수 있다. 패턴 밀도는 공정 디자인 및 메트롤로지 제약들로 인해 메트롤로지 마크 영역에서 크게 달라질 수 있다. 예를 들어, 에칭 마이크로 로딩 효과는 흔히 메트롤로지 마크 영역에서 관찰된다.
아래에서 설명되는 시스템(들) 및 방법(들)을 사용하면, 국부적 로딩 효과는 가시 각도(visibility angles), 패턴 밀도, 및 다른 파라미터들을 사용하여 모델링된다. 따라서, 더 정확한 시뮬레이션된 에칭 프로파일들이 D4C 소프트웨어(또는 다른 유사한 툴들)에 제공될 수 있으며, 이는 YieldStar 신호 또는 다른 스캐너 메트롤로지 마크 신호들의 응답을 더 정확하게 시뮬레이션하도록 도와, 오버레이 또는 다른 파라미터들의 더 정확한 예측을 달성할 수 있다.
본 시스템(들) 및 방법(들)의 (이전 기하학적 모델들과 대조적으로) 반(semi)-경험적 모델은 플라즈마 물리학 및 재료 거동을 나타내기 위해 다중 파라미터들을 사용하고, 패턴 크기 및 밀도에 의해 야기되는 국부적 에칭 로딩 효과를 포착함으로써 에칭 후 프로파일을 재구성한다. 본 시스템(들) 및 방법(들)에서, 전역적 로딩 효과는 화학적 및 물리적 불균일성들을 모방하기 위해 전기장 분포 및 다른 섭동(perturbation)들을 추가함으로써 도입된다. 또한, 본 시스템(들) 및 방법(들)의 모델은 본 명세서에서 설명되는 모델링된 공정 단계들이 특정 에칭 공정들을 정확하게 반영할 것을 보장하기 위해 이차 전자 현미경(SEM) 단면 이미지들에 기초하여 캘리브레이션될 수 있다.
다음 단락들은 시뮬레이션 시스템들을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법들뿐만 아니라, 시스템 및/또는 관련 시스템들의 여러 구성요소들을 설명한다. 앞서 설명된 바와 같이, 이러한 시뮬레이션 시스템들은 예를 들어 정렬 메트롤로지 타겟 디자인 동안 또는 다른 작업들 동안 결정된 에칭 프로파일을 사용할 수 있다.
본 명세서에서는, 집적 회로(IC)의 제조에 대하여 특히 언급되지만, 본 명세서의 기재내용은 다수의 다른 가능한 적용예들을 갖는다는 것을 이해하여야 한다. 예를 들어, 이는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조 시에 채택될 수 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "레티클", "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부"라는 좀 더 일반적인 용어와 교환가능한 것으로 간주되어야 함을 이해할 것이다.
도 1은 리소그래피 장치(LA)의 일 실시예를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)(예를 들어, UV 방사선, DUV 방사선, 또는 EUV 방사선)을 컨디셔닝(condition)하도록 구성되는 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어, 웨이퍼 테이블)(WT)(예를 들어, WTa, WTb 또는 둘 모두); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하고, 흔히 필드라고 칭하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함한다. 투영 시스템은 기준 프레임(reference frame: RF)에 지지된다.
본 명세서에 도시된 바와 같이, 장치는 (예를 들어, 투과 마스크를 채택하는) 투과형으로 구성된다. 대안적으로, 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하거나, 반사 마스크를 채택하는) 반사형으로 구성될 수 있다.
일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 소스가 엑시머 레이저(excimer laser)인 경우, 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 소스가 수은 램프인 경우, 소스는 상기 장치의 통합부일 수 있다. 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께, 방사선 시스템이라고 칭해질 수 있다.
일루미네이터(IL)는 빔의 세기 분포를 변경할 수 있다. 일루미네이터는 일루미네이터(IL)의 퓨필 평면의 환형 구역 내에서 세기 분포가 0이 아니도록(non-zero) 방사선 빔의 반경 크기를 제한하도록 배치될 수 있다. 추가적으로 또는 대안적으로, 일루미네이터(IL)는 퓨필 평면 내의 복수의 균등하게 이격된 섹터(equally spaced sector)들에서 세기 분포가 0이 아니도록 퓨필 평면 내의 빔의 분포를 제한하도록 작동가능할 수 있다. 일루미네이터(IL)의 퓨필 평면 내의 방사선 빔의 세기 분포는 조명 모드라고 칭해질 수 있다.
일루미네이터(IL)는 빔의 (각도/공간) 세기 분포를 조정하도록 구성되는 조정기(AM)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 일루미네이터(IL)는 빔의 각도 분포를 변화시키도록 작동가능할 수 있다. 예를 들어, 일루미네이터는 세기 분포가 0이 아닌 퓨필 평면 내의 섹터들의 수, 및 각도 크기,를 변경하도록 작동가능할 수 있다. 일루미네이터의 퓨필 평면 내의 빔의 세기 분포를 조정함으로써, 상이한 조명 모드들이 달성될 수 있다. 예를 들어, 일루미네이터(IL)의 퓨필 평면 내의 세기 분포의 반경 및 각도 크기를 제한함으로써, 세기 분포는 예를 들어 다이폴(dipole), 쿼드러폴(quadrupole) 또는 헥사폴(hexapole) 분포와 같은 멀티폴(multi-pole) 분포를 가질 수 있다. 예를 들어, 일루미네이터(IL)로 그 조명 모드를 제공하는 광학기를 삽입함으로써, 또는 공간 광 변조기를 이용함으로써, 원하는 조명 모드가 얻어질 수 있다.
일루미네이터(IL)는 빔의 편광(polarization)을 변경하도록 작동가능할 수 있고, 조정기(AM)를 이용하여 편광을 조정하도록 작동가능할 수 있다. 일루미네이터(IL)의 퓨필 평면에 걸친 방사선 빔의 편광 상태는 편광 모드라고 칭해질 수 있다. 상이한 편광 모드들의 사용은 더 큰 콘트라스트(contrast)로 하여금 기판(W) 상에 형성된 이미지에 달성되게 할 수 있다. 방사선 빔은 편광되지 않을 수 있다. 대안적으로, 일루미네이터는 방사선 빔을 선형 편광시키도록 배치될 수 있다. 방사선 빔의 편광 방향은 일루미네이터(IL)의 퓨필 평면에 걸쳐 변화할 수 있다. 방사선의 편광 방향은 일루미네이터(IL)의 퓨필 평면 내의 상이한 구역들에서 상이할 수 있다. 방사선의 편광 상태는 조명 모드에 의존하여 선택될 수 있다. 멀티폴 조명 모드들에 대해, 방사선 빔의 각각의 폴의 편광은 일루미네이터(IL)의 퓨필 평면 내의 그 폴의 위치 벡터에 일반적으로 수직일 수 있다. 예를 들어, 다이폴 조명 모드에 대해, 방사선은 다이폴의 2 개의 마주하는 섹터들을 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 방사선 빔은 2 개의 상이한 직교 방향들 중 하나로 편광될 수 있고, 이는 X-편광 및 Y-편광 상태들이라고 칭해질 수 있다. 쿼드러폴 조명 모드에 대해, 각각의 폴의 섹터에서의 방사선은 그 섹터를 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 이 편광 모드는 XY 편광이라고 칭해질 수 있다. 이와 유사하게, 헥사폴 조명 모드에 대해, 각각의 폴의 섹터에서의 방사선은 그 섹터를 이등분하는 라인에 실질적으로 수직인 방향으로 선형 편광될 수 있다. 이 편광 모드는 TE 편광이라고 칭해질 수 있다.
또한, 일루미네이터(IL)는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같이, 다양한 다른 구성요소들을 포함한다. 조명 시스템은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다.
따라서, 일루미네이터는 방사선 빔(B)의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖는 컨디셔닝된 방사선 빔(B)을 제공한다.
지지 구조체(MT)는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 지지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 일 실시예에서, 패터닝 디바이스는 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스이다. 방사선 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴에 정확히 대응하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 디바이스의 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이 및 프로그램가능한 LCD 패널을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입들, 및 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향들로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 포괄하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
투영 시스템(PS)은 비-균일할 수 있는 광학 전달 함수를 갖고, 이는 기판(W) 상에 이미징되는 패턴에 영향을 줄 수 있다. 편광되지 않은 방사선에 대해, 이러한 효과들이 2 개의 스칼라 맵(scalar map)들에 의해 상당히 잘 설명될 수 있으며, 이는 그 퓨필 평면 내의 위치의 함수로서 투영 시스템(PS)을 나가는 방사선의 투과[아포다이제이션(apodization)] 및 상대 위상(수차)을 설명한다. 투과 맵 및 상대 위상 맵이라 할 수 있는 이 스칼라 맵들은 기저 함수들의 전체 세트(complete set)의 선형 조합으로서 표현될 수 있다. 특히 편리한 세트는 제르니케 다항식이며, 이는 단위 원(unit circle) 상에 정의되는 직교 다항식들의 세트를 형성한다. 각각의 스칼라 맵의 결정이 이러한 전개식(expansion)에서 계수들을 결정하는 단계를 수반할 수 있다. 제르니케 다항식들이 단위 원 상에서 직교이기 때문에, 제르니케 계수들은 차례로 각각의 제르니케 다항식과 측정된 스칼라 맵의 내적(inner product)을 계산하고 이를 그 제르니케 다항식의 놈(norm)의 제곱으로 나눔으로써 결정될 수 있다.
투과 맵 및 상대 위상 맵은 필드 및 시스템 의존적이다. 즉, 일반적으로 각각의 투영 시스템(PS)이 각각의 필드 지점(즉, 그 이미지 평면 내의 각각의 공간 위치)에 대해 상이한 제르니케 전개식을 가질 것이다. 그 퓨필 평면 내의 투영 시스템(PS)의 상대 위상은, 예를 들어 투영 시스템(PS)의 대상물 평면(object plane)[즉, 패터닝 디바이스(MA)의 평면]에서의 점-형 소스(point-like source)로부터 투영 시스템(PS)을 통해 방사선을 투영하고 시어링 간섭계(shearing interferometer)를 이용하여 파면(즉, 동일한 위상을 갖는 지점들의 자취)을 측정함으로써 결정될 수 있다. 시어링 간섭계는 공통 광로 간섭계(common path interferometer)이며, 이에 따라 유리하게는 파면을 측정하기 위해 이차 기준 빔이 필요하지 않다. 시어링 간섭계는 투영 시스템의 이미지 평면[즉, 기판 테이블(WT)] 내의 회절 격자, 예를 들어 2 차원 그리드, 및 투영 시스템(PS)의 퓨필 평면에 켤레인 평면에서 간섭 패턴을 검출하도록 배치되는 검출기를 포함할 수 있다. 간섭 패턴은 시어링 방향으로의 퓨필 평면의 좌표에 대한 방사선의 위상의 미분계수(derivative)와 관련된다. 검출기는, 예를 들어 전하 결합 소자(charge coupled device: CCD)와 같은 감지 요소들의 어레이를 포함할 수 있다.
리소그래피 장치의 투영 시스템(PS)은 가시적 프린지(visible fringe)들을 생성하지 않을 수 있고, 이에 따라 파면의 결정의 정확성은 예를 들어 회절 격자를 이동시키는 것과 같은 위상 스테핑 기술(phase stepping technique)들을 이용하여 향상될 수 있다. 스테핑은 측정의 스캐닝 방향에 수직인 방향으로, 및 회절 격자의 평면에서 수행될 수 있다. 스테핑 범위는 1의 격자 주기일 수 있고, 적어도 3 개의 (균일하게 분포된) 위상 스텝들이 사용될 수 있다. 따라서, 예를 들어 3 개의 스캐닝 측정들이 y-방향으로 수행될 수 있고, 각각의 스캐닝 측정은 x-방향에서 상이한 위치에 대해 수행된다. 회절 격자의 이 스테핑은 위상 변동들을 세기 변동들로 효과적으로 변환하여, 위상 정보가 결정되게 한다. 격자는 회절 격자에 수직인 방향(z 방향)에서 스테핑되어 검출기를 캘리브레이션할 수 있다.
회절 격자는, 투영 시스템(PS)의 좌표계의 축선들(x 및 y)과 일치할 수 있거나 이 축선들에 대해 45 도와 같은 각도를 가질 수 있는 2 개의 수직 방향들에서 순차적으로 스캐닝될 수 있다. 스캐닝은 정수의 격자 주기들, 예를 들어 1의 격자 주기에 걸쳐 수행될 수 있다. 스캐닝은 한 방향으로의 위상 변동을 평균하여, 다른 방향으로의 위상 변동이 재구성되게 한다. 이는 파면으로 하여금 두 방향들의 함수로서 결정되게 한다.
그 퓨필 평면 내의 투영 시스템(PS)의 투과(아포다이제이션)는, 예를 들어 투영 시스템(PS)의 대상물 평면[즉, 패터닝 디바이스(MA)의 평면]에서의 점-형 소스로부터 투영 시스템(PS)을 통해 방사선을 투영하고, 검출기를 이용하여 투영 시스템(PS)의 퓨필 평면에 켤레인 평면에서 방사선의 세기를 측정함으로써 결정될 수 있다. 수차들을 결정하기 위해 파면을 측정하는 데 사용되는 것과 동일한 검출기가 사용될 수 있다.
투영 시스템(PS)은 복수의 광학(예를 들어, 렌즈) 요소들을 포함할 수 있고, 수차들(필드 도처에서의 퓨필 평면에 걸친 위상 변동들)을 보정하기 위해 광학 요소들 중 1 이상을 조정하도록 구성되는 조정 메카니즘(AM)을 더 포함할 수 있다. 이를 달성하기 위해, 조정 메카니즘은 1 이상의 상이한 방식으로 투영 시스템(PS) 내의 1 이상의 광학(예를 들어, 렌즈) 요소를 조작하도록 작동가능할 수 있다. 투영 시스템은 그 광학 축선이 z 방향으로 연장되는 좌표계를 가질 수 있다. 조정 메카니즘은 다음: 즉, 1 이상의 광학 요소를 변위시키는 것; 1 이상의 광학 요소를 기울이는 것; 및/또는 1 이상의 광학 요소를 변형시키는 것의 여하한의 조합을 행하도록 작동가능할 수 있다. 광학 요소의 변위는 여하한의 방향(x, y, z 또는 이들의 조합)으로 이루어질 수 있다. 광학 요소의 기울임은 통상적으로 x 및/또는 y 방향들의 축선을 중심으로 회전함으로써 광학 축선에 수직인 평면을 벗어나지만, z 축선을 중심으로 한 회전이 비-회전 대칭인 비구면 광학 요소에 대해 사용될 수 있다. 광학 요소의 변형은 저주파수 형상(low frequency shape)[예를 들어, 비점수차(astigmatic)] 및/또는 고주파수 형상(high frequency shape)[예를 들어, 프리폼 비구면(free form aspheres)]을 포함할 수 있다. 광학 요소의 변형은, 예를 들어 광학 요소의 1 이상의 측면에 힘을 가하도록 1 이상의 액추에이터를 이용함으로써, 및/또는 광학 요소의 1 이상의 선택된 구역을 가열하도록 1 이상의 가열 요소를 이용함으로써 수행될 수 있다. 일반적으로, 아포다이제이션(퓨필 평면에 걸친 투과 변동)을 보정하기 위해 투영 시스템(PS)을 조정하는 것은 가능하지 않을 수 있다. 투영 시스템(PS)의 투과 맵은 리소그래피 장치(LA)에 대한 패터닝 디바이스(예를 들어, 마스크)(MA)를 디자인하는 경우에 사용될 수 있다. 전산적 리소그래피 기술(computational lithography technique)을 이용하여, 패터닝 디바이스(MA)가 아포다이제이션을 적어도 부분적으로 보정하도록 디자인될 수 있다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 테이블들[예를 들어, 2 이상의 기판 테이블들(WTa, WTb), 2 이상의 패터닝 디바이스 테이블들, 기판 테이블(WTa)과 예를 들어 측정 및/또는 세정 등을 용이하게 하도록 지정되는 기판이 없는 투영 시스템 아래의 테이블(WTb)]을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가적인 테이블이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다. 예를 들어, 정렬 센서(AS)를 이용한 정렬 측정들 및/또는 레벨 센서(LS)를 이용한 레벨(높이, 기울기 등) 측정들이 수행될 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 패터닝 디바이스와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수(numerical aperture)를 증가시키는 기술로 당업계에 잘 알려져 있다. 본 명세서에서 사용되는 바와 같은 "침지"라는 용어는 기판과 같은 구조체가 액체 내에 잠겨야 함을 의미하는 것이라기보다는, 단지 액체가 노광 시 투영 시스템과 기판 사이에 놓인다는 것을 의미한다.
리소그래피 장치의 작동 시, 방사선 빔은 조명 시스템(IL)에 의해 컨디셔닝되고 제공된다. 방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(MA)를 가로질렀으면, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 리니어 인코더, 2-D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 지지 구조체(MT)의 이동은 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움으로 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WT)의 이동은 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 지지 구조체(MT)는 단-행정 액추에이터에만 연결되거나 고정될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음]. 이와 유사하게, 패터닝 디바이스(MA) 상에 1보다 많은 다이가 제공되는 상황들에서, 패터닝 디바이스 정렬 마크들은 다이들 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여된 전체 패턴은 한 번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광 시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟부의 (스캐닝되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 지지 구조체(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)의 매 이동 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
본 명세서에서는 IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 또는 메트롤로지 또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한 번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함하는 기판을 칭할 수도 있다.
본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외(UV) 또는 심자외(DUV) 방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
패터닝 디바이스 상의, 또는 패터닝 디바이스에 의해 제공되는 다양한 패턴들은 상이한 공정 윈도우들, 즉 패턴이 사양 내에서 생성될 처리 변수들의 공간을 가질 수 있다. 잠재적인 시스템적 결함들과 관련되는 패턴 사양들의 예시들은 네킹(necking), 라인 풀백(line pull back), 라인 시닝(line thinning), CD, 에지 배치, 오버래핑, 레지스트 최상부 손실(resist top loss), 레지스트 언더컷(resist undercut) 및/또는 브리징(bridging)에 대한 체크를 포함한다. 패터닝 디바이스 또는 그 영역 상의 패턴들의 공정 윈도우는 각각의 개별적인 패턴의 공정 윈도우들을 병합(예를 들어, 오버랩)함으로써 얻어질 수 있다. 패턴들의 그룹의 공정 윈도우의 경계는 개별적인 패턴들 중 일부의 공정 윈도우들의 경계들을 포함한다. 다시 말하면, 이 개별적인 패턴들이 패턴들의 그룹의 공정 윈도우를 제한한다. 이 패턴들은 "핫스폿(hot spot)들" 또는 "공정 윈도우 제한 패턴(process window limiting pattern: PWLP)들"이라고 칭해질 수 있으며, 이들은 본 명세서에서 교환가능하게 사용된다. 패터닝 공정의 일부를 제어하는 경우, 핫스폿들에 초점을 맞추는 것이 가능하고 경제적이다. 핫스폿들에 결함이 없는 경우, 다른 패턴들에 결함이 없을 가능성이 크다.
도 2에 나타낸 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성할 수 있고, 이는 기판 상에 노광전(pre-exposure) 및 노광후(post-exposure) 공정들을 수행하는 장치들을 포함한다. 통상적으로, 이들은 1 이상의 레지스트 층을 증착시키는 1 이상의 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 1 이상의 디벨로퍼(developer: DE), 1 이상의 칠 플레이트(chill plate: CH) 및/또는 1 이상의 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 1 이상의 기판을 집어올리고, 이들을 상이한 공정 장치들 사이에서 이동시키며, 리소그래피 장치의 로딩 베이(loading bay: LB)로 전달한다. 흔히 집합적으로 트랙이라고도 하는 이러한 장치들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어를 받는다. 따라서, 스루풋과 처리 효율성을 최대화하기 위해 상이한 장치들이 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 올바르고 일관성있게(consistently) 노광되기 위해서는, 및/또는 적어도 하나의 패턴 전사 단계(예를 들어, 광학 리소그래피 단계)를 포함하는 패터닝 공정(예를 들어, 디바이스 제조 공정)의 일부를 모니터링하기 위해서는, 정렬, (예를 들어, 이중 패터닝 공정에 의해 층에 별도로 제공된, 동일한 층 내의 구조체들 또는 겹쳐진 층들에서의 구조체들 사이에 있을 수 있는) 오버레이, 라인 두께, 임계 치수(CD), 포커스 오프셋, 재료 속성 등과 같은 1 이상의 속성을 측정하거나 결정하도록 기판 또는 다른 대상물을 검사하는 것이 바람직하다. 따라서, 리소셀(LC)이 위치되는 제조 시설은 통상적으로 리소셀에서 처리된 기판(W)들 또는 리소셀 내의 다른 대상물들 중 일부 또는 전부를 측정하는 메트롤로지 시스템(MET)도 포함한다. 메트롤로지 시스템(MET)은 리소셀(LC)의 일부분일 수 있고, 예를 들어 이는 [정렬 센서(AS)와 같이] 리소그래피 장치(LA)의 일부분일 수 있다.
1 이상의 측정된 파라미터는, 예를 들어 패터닝된 기판 내에 또는 기판 상에 형성된 연속 층들 간의 오버레이, 예를 들어 패터닝된 기판 내에 또는 기판 상에 형성된 피처들의 임계 치수(CD)(예를 들어, 임계 선폭), 광학 리소그래피 단계의 포커스 또는 포커스 오차, 광학 리소그래피 단계의 도즈 또는 도즈 오차, 광학 리소그래피 단계의 광학 수차들 등을 포함할 수 있다. 이 측정은 제품 기판(product substrate) 자체의 타겟 및/또는 기판 상에 제공되는 지정된 메트롤로지 타겟에서 수행될 수 있다. 측정은 레지스트의 현상 후 에칭 전에 수행될 수 있거나, 에칭 후에 수행될 수 있다.
패터닝 공정 시 형성된 구조체들의 측정을 수행하기 위해, 스캐닝 전자 현미경, 이미지-기반 측정 툴 및/또는 다양한 특수 툴들의 사용을 포함하는 다양한 기술들이 존재한다. 앞서 설명된 바와 같이, 고속 및 비-침습(non-invasive) 형태의 특수 메트롤로지 툴이 있으며, 여기서 기판 표면의 타겟 상으로 방사선 빔이 지향되고 산란된(회절된/반사된) 빔의 속성들이 측정된다. 기판에 의해 산란된 방사선의 1 이상의 속성을 평가함으로써, 기판의 1 이상의 속성이 결정될 수 있다. 이는 회절-기반 메트롤로지라고 칭해질 수 있다. 이 회절-기반 메트롤로지의 이러한 일 적용예는 타겟 내에서의 피처 비대칭의 측정이다. 이는 예를 들어 오버레이의 척도로서 사용될 수 있으며, 다른 적용들도 알려져 있다. 예를 들어, 비대칭은 회절 스펙트럼의 반대 부분들을 비교함으로써(예를 들어, 주기적 격자의 회절 스펙트럼에서 -1차 및 +1차를 비교함으로써) 측정될 수 있다. 이는 앞서 설명된 바와 같이, 및 예를 들어 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US 2006-066855에 설명된 바와 같이 행해질 수 있다. 회절-기반 메트롤로지의 또 다른 적용예는 타겟 내의 피처 폭(CD)의 측정이다. 이러한 기술들은 이후 설명되는 장치 및 방법들을 사용할 수 있다.
따라서, 디바이스 제작 공정(예를 들어, 패터닝 공정 또는 리소그래피 공정)에서, 기판 또는 다른 대상물들이 공정 동안 또는 공정 후에 다양한 타입들의 측정을 거칠 수 있다. 측정은 특정 기판이 결함이 있는지를 결정할 수 있거나, 공정에서 사용되는 장치들 및 공정에 대한 조정들을 확립할 수 있거나(예를 들어, 기판 상의 두 층들을 정렬시키거나 기판에 패터닝 디바이스를 정렬시킴), 공정 및 장치들의 성능을 측정할 수 있거나, 또는 다른 목적들을 위한 것일 수 있다. 측정의 예시들은 광학 이미징(예를 들어, 광학 현미경), 비-이미징 광학 측정(예를 들어, ASML YieldStar 메트롤로지 툴, ASML SMASH 메트롤로지 시스템과 같은 회절에 기초한 측정), 기계적 측정[예를 들어, 스타일러스를 사용한 프로파일링, 원자력 현미경(AFM)], 및/또는 비-광학 이미징[예를 들어, 스캐닝 전자 현미경(SEM)]을 포함한다. SMASH(SMart Alignment Sensor Hybrid) 시스템은, 본 명세서에서 그 전문이 인용참조되는 미국 특허 제 6,961,116호에 개시된 바와 같이, 정렬 마커의 2 개의 오버랩되고 상대적으로 회전된 이미지들을 생성하고, 이미지의 푸리에 변환이 간섭하게 되는 퓨필 평면 내의 세기들을 검출하며, 간섭된 차수들에서 세기 변동들로서 나타나는 2 개의 이미지들의 회절 차수들 사이의 위상차로부터 위치 정보를 추출하는 자기-참조 간섭계(self-referencing interferometer)를 사용한다.
메트롤로지 결과들은 감독 제어 시스템(SCS)에 간접적으로 또는 직접적으로 제공될 수 있다. 오차가 검출되는 경우, [특히 검사가 뱃치(batch)의 1 이상의 다른 기판이 여전히 노광되도록 충분히 빠르게 행해질 수 있다면] 후속한 기판의 노광에 대해, 및/또는 노광된 기판의 후속한 노광에 대해 조정이 수행될 수 있다. 또한, 이미 노광된 기판은 수율을 개선하도록 벗겨져서(strip) 재작업(rework)되거나, 버려져서 결점이 있다고 알려진 기판에 또 다른 처리를 수행하는 것을 회피할 수 있다. 기판의 몇몇 타겟부들에만 결점이 있는 경우, 사양을 충족시키는 타겟부들 상에만 또 다른 노광들이 수행될 수 있다.
메트롤로지 시스템(MET) 내에서, 메트롤로지 장치는 기판의 1 이상의 속성을 결정하는 데 사용되며, 특히 상이한 기판들의 1 이상의 속성이 어떻게 변하는지 또는 동일한 기판의 상이한 층들이 층마다 어떻게 변하는지를 결정하는 데 사용된다. 앞서 명시된 바와 같이, 메트롤로지 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수 있으며, 또는 독립형 디바이스(stand-alone device)일 수 있다.
메트롤로지를 가능하게 하기 위해, 1 이상의 타겟이 기판 상에 제공될 수 있다. 일 실시예에서, 타겟은 특별히 디자인되고 주기적인 구조체를 포함할 수 있다. 일 실시예에서, 타겟은 디바이스 패턴의 일부, 예를 들어 디바이스 패턴의 주기적 구조체이다. 일 실시예에서, 디바이스 패턴은 메모리 디바이스의 주기적 구조체[예를 들어, 양극성 트랜지스터(BPT), 비트 라인 콘택트(BLC) 등의 구조체]이다.
일 실시예에서, 기판 상의 타겟은 현상 이후에 주기적 구조의 피처들이 솔리드 레지스트 라인들로 형성되도록 프린트되는 1 이상의 1-D 주기적 구조체(예를 들어, 격자)를 포함할 수 있다. 일 실시예에서, 타겟은 현상 이후에 1 이상의 주기적 구조체가 레지스트에서 솔리드 레지스트 필라(pillar)들 또는 비아(via)들로 형성되도록 프린트되는 1 이상의 2-D 주기적 구조체(예를 들어, 격자)를 포함할 수 있다. 대안적으로, 바아(bar), 필라 또는 비아는 기판 안으로(예를 들어, 기판 상의 1 이상의 층 안으로) 에칭될 수 있다.
일 실시예에서, 패터닝 공정의 관심 파라미터들 중 하나는 오버레이이다. 오버레이는 (정반사에 대응하는) 0차 회절이 차단되고, 더 높은 차수들만이 처리되는 다크 필드 스케터로메트리(dark field scatterometry)를 이용하여 측정될 수 있다. 다크 필드 메트롤로지의 예시들은 PCT 특허 출원 공개공보 WO 2009/078708 및 WO 2009/106279에서 찾아볼 수 있으며, 이들은 본 명세서에서 그 전문이 인용참조된다. 기술의 추가 개발들이 미국 특허 출원 공개공보 US2011-0027704, US2011-0043791, 및 US2012-0242970에서 설명되었으며, 이들은 본 명세서에서 그 전문이 인용참조된다. 회절 차수들의 다크-필드 검출을 이용한 회절-기반 오버레이는 더 작은 타겟들에 대한 오버레이 측정들을 가능하게 한다. 이 타겟들은 조명 스폿보다 작을 수 있고, 기판 상의 디바이스 제품 구조체들에 의해 둘러싸일 수 있다. 일 실시예에서, 다수 타겟들이 한 번의 방사선 캡처에서 측정될 수 있다.
도 3은 예시적인 검사 장치(예를 들어, 스케터로미터)를 도시한다. 이는 기판(W) 상으로 방사선을 투영하는 광대역(백색 광) 방사선 투영기(2)를 포함한다. 전향(redirect)된 방사선은, 예를 들어 도 3의 좌측 하부의 그래프에 나타낸 바와 같은 정반사된 방사선(specular reflected radiation)의 스펙트럼(10)(파장의 함수로서 세기)을 측정하는 분광계 검출기(spectrometer detector: 4)로 통과된다. 이 데이터로부터, 검출된 스펙트럼을 야기하는 프로파일 또는 구조체가, 예를 들어 RCWA(Rigorous Coupled Wave Analysis) 및 비-선형 회귀(non-linear regression)에 의해, 또는 도 3의 우측 하단에 나타낸 바와 같은 시뮬레이션된 스펙트럼들의 라이브러리와 비교함으로써, 프로세서(PU)에 의해 재구성될 수 있다. 일반적으로, 재구성을 위해 구조체의 일반적인 형태가 알려지며, 구조체가 만들어진 공정의 정보로부터 일부 변수들이 가정되어, 측정된 데이터로부터 결정될 구조체의 몇몇 변수들만이 남게 된다. 이러한 검사 장치는 수직-입사(normal-incidence) 검사 장치 또는 경사-입사(oblique-incidence) 검사 장치로서 구성될 수 있다.
사용될 수 있는 또 다른 검사 장치가 도 4에 도시된다. 이 디바이스에서, 방사선 소스(2)에 의해 방출된 방사선은 렌즈 시스템(12)을 이용하여 평행하게 되고(collimate), 간섭 필터(interference filter: 13) 및 편광기(polarizer: 17)를 통해 투과되며, 부분 반사면(partially reflecting surface: 16)에 의해 반사되고, 바람직하게는 적어도 0.9 또는 적어도 0.95인 높은 개구수(NA)를 갖는 대물 렌즈(15)를 통해 기판(W) 상의 스폿(S)으로 포커스된다. (물과 같은 비교적 높은 굴절률의 유체를 이용하는) 침지 검사 장치는 심지어 1이 넘는 개구수를 가질 수도 있다.
리소그래피 장치(LA)에서와 같이, 측정 작업들 동안 기판(W)을 유지하기 위해 1 이상의 기판 테이블이 제공될 수 있다. 기판 테이블들은 도 1의 기판 테이블(WT)의 형태와 유사하거나 동일할 수 있다. 검사 장치가 리소그래피 장치와 통합되는 일 예시에서, 이들은 심지어 동일한 기판 테이블일 수 있다. 개략 및 미세 위치설정기들이 측정 광학 시스템에 관하여 기판을 정확히 위치시키도록 구성되는 제 2 위치설정기(PW)에 제공될 수 있다. 예를 들어, 관심 타겟의 위치를 획득하기 위해, 및 이를 대물 렌즈(15) 아래의 위치로 가져오기 위해 다양한 센서들 및 액추에이터들이 제공된다. 통상적으로, 기판(W)에 걸친 상이한 위치들에서 타겟들에 대해 많은 측정이 수행될 것이다. 기판 지지체는 상이한 타겟들을 획득하기 위해 X 및 Y 방향들로, 및 광학 시스템의 포커스에 대한 타겟의 원하는 위치를 얻기 위해 Z 방향으로 이동될 수 있다. 예를 들어, 실제로는 광학 시스템이 (통상적으로는 X 및 Y 방향들에서, 하지만 아마도 Z 방향에서도) 실질적으로 정지 상태로 유지될 수 있고 기판만이 이동하는 경우에, 대물 렌즈가 기판에 대해 상이한 위치들로 옮겨지고 있는 것처럼 작동들을 생각하고 설명하는 것이 편리하다. 기판 및 광학 시스템의 상대 위치가 올바르다면, 원칙적으로 현실에서 이들 중 어느 것이 이동하고 있는지, 또는 둘 다 이동하고 있는지, 또는 광학 시스템의 일부의 조합이 (예를 들어, Z 및/또는 기울기 방향에서) 이동하고 광학 시스템의 나머지는 정지상태이며, 기판이 (예를 들어, X 및 Y 방향들에서, 하지만 선택적으로 Z 및/또는 기울기 방향에서도) 이동하고 있는지는 중요하지 않다.
그 후, 기판(W)에 의해 전향된 방사선은 스펙트럼이 검출되게 하기 위해서, 부분 반사면(16)을 통해 검출기(18)로 전달된다. 검출기(18)는 배면-투영된 초점면(11)에[즉, 렌즈 시스템(15)의 초점 길이에] 위치될 수 있으며, 또는 평면(11)이 보조 광학기(도시되지 않음)를 이용하여 검출기(18) 상에 재-이미징(re-image)될 수 있다. 검출기는 기판 타겟(30)의 2-차원 각도 산란 스펙트럼이 측정될 수 있도록 2-차원 검출기일 수 있다. 검출기(18)는, 예를 들어 CCD 또는 CMOS 센서들의 어레이일 수 있으며, 예를 들어 프레임당 40 밀리초의 통합 시간(integration time)을 사용할 수 있다.
예를 들어, 입사 방사선의 세기를 측정하기 위해 기준 빔이 사용될 수 있다. 이를 위해, 방사선 빔이 부분 반사면(16)에 입사하는 경우, 그 일부분이 부분 반사면(16)을 통해 투과되어 기준 빔으로서 기준 거울(14)을 향한다. 그 후, 기준 빔은 동일한 검출기(18)의 상이한 부분 상으로, 또는 대안적으로 상이한 검출기(도시되지 않음) 상으로 투영된다.
가령 405 내지 790 nm의 범위, 또는 200 내지 300 nm와 같이 훨씬 낮은 범위에서 관심 파장을 선택하기 위해, 1 이상의 간섭 필터(13)가 이용될 수 있다. 간섭 필터는 상이한 필터들의 일 세트를 포함하기보다는 튜닝가능(tunable)할 수 있다. 간섭 필터 대신에, 격자가 사용될 수 있다. 타겟에 대한 방사선의 입사 각도의 범위를 제어하기 위해 조명 경로에 어퍼처 스톱(aperture stop) 또는 공간 광 변조기(도시되지 않음)가 제공될 수 있다.
검출기(18)는 단파장(또는 협파장 범위)에서의 전향된 방사선의 세기, 다수 파장들에서의 별도 세기, 또는 파장 범위에 걸쳐 통합된 세기를 측정할 수 있다. 또한, 검출기는 횡자기(transverse magnetic)- 및 횡전기(transverse electric)-편광 방사선의 세기, 및/또는 횡자기- 및 횡전기-편광 방사선 간의 위상차를 별도로 측정할 수 있다.
기판(W) 상의 타겟(30)은 현상 이후에 바아들이 솔리드 레지스트 라인들로 형성되도록 프린트되는 1-D 격자일 수 있다. 타겟(30)은 현상 이후에 격자가 레지스트에서 솔리드 레지스트 필라들 또는 비아들로 형성되도록 프린트되는 2-D 격자일 수 있다. 바아, 필라 또는 비아는 기판 안으로, 또는 기판 상에(예를 들어, 기판 상의 1 이상의 층 안으로) 에칭될 수 있다. (예를 들어, 바아, 필라 또는 비아의) 패턴은 패터닝 공정에서의 처리의 변화[예를 들어, 리소그래피 투영 장치(특히, 투영 시스템(PS))의 광학 수차, 포커스 변화, 도즈 변화 등]에 민감하며, 프린트된 격자의 변동에서 드러날 것이다. 따라서, 프린트된 격자의 측정된 데이터가 격자를 재구성하는 데 사용된다. 프린팅 단계 및/또는 다른 검사 공정들의 정보로부터, 라인 폭 및/또는 형상과 같은 1-D 격자의 1 이상의 파라미터, 또는 필라 또는 비아 폭 또는 길이 또는 형상과 같은 2-D 격자의 1 이상의 파라미터가 프로세서(PU)에 의해 수행되는 재구성 공정에 입력될 수 있다.
재구성에 의한 파라미터의 측정 이외에, 각도 분해 스케터로메트리(angle resolved scatterometry)가 제품 및/또는 레지스트 패턴들 내의 피처들의 비대칭 측정에 유용하다. 비대칭 측정의 특정 적용은 오버레이의 측정을 위한 것이며, 이때 타겟(30)은 서로 중첩된 주기적 피처들의 일 세트를 포함한다. 도 3 또는 도 4의 기구를 이용하는 비대칭 측정의 개념들은, 예를 들어 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 공개공보 US2006-066855에서 설명된다. 간단히 말하면, 타겟의 회절 스펙트럼에서의 회절 차수들의 위치들은 타겟의 주기성에 의해서만 결정되는 한편, 회절 스펙트럼에서의 비대칭이 타겟을 구성하는 개별적인 피처들에서의 비대칭을 나타낸다. 검출기(18)가 이미지 센서일 수 있는 도 4의 기구에서, 회절 차수들에서의 이러한 비대칭은 검출기(18)에 의해 기록되는 퓨필 이미지에서의 비대칭으로서 직접 나타난다. 이 비대칭이 유닛(PU)에서의 디지털 이미지 처리에 의해 측정되고, 오버레이의 알려진 값들에 대해 캘리브레이션될 수 있다.
도 5는 통상적인 타겟(30)의 평면도, 및 도 4의 장치에서의 조명 스폿(S)의 크기를 예시한다. 주위 구조체들로부터 간섭이 없는 회절 스펙트럼을 얻기 위해, 일 실시예에서 타겟(30)은 조명 스폿(S)의 폭(예를 들어, 직경)보다 큰 주기적 구조체(예를 들어, 격자)이다. 스폿(S)의 폭은 타겟의 폭과 길이보다 작을 수 있다. 다시 말하면, 타겟은 조명에 의해 '언더필링(underfill)'되고, 회절 신호는 본질적으로 타겟 자체 외부의 제품 피처들 등으로부터의 여하한의 신호들로부터 자유롭다. 조명 구성부(2, 12, 13, 17)(도 4)는 대물렌즈(15)의 후초점면(back focal plane)에 걸쳐 균일한 세기의 조명을 제공하도록 구성될 수 있다. 대안적으로, 예를 들어 조명 경로에 어퍼처를 포함함으로써, 조명이 온액시스(on axis) 또는 오프액시스(off axis) 방향들로 제한될 수 있다.
도 6은 메트롤로지를 이용하여 얻어지는 측정 데이터에 기초한 타겟 패턴(30)의 1 이상의 관심 변수의 값의 결정의 예시적인 공정을 개략적으로 도시한다. 검출기(18)에 의해 검출된 방사선이 타겟(30)에 대한 측정된 방사선 분포(608)를 제공한다. 주어진 타겟(30)에 대해, 예를 들어 수치적 맥스웰 솔버(numerical Maxwell solver: 610)를 이용하여 파라미터화된 모델(606)로부터 방사선 분포(614)가 연산/시뮬레이션될 수 있다. 파라미터화된 모델(606)은 타겟을 구성하고 이와 연계되는 다양한 재료들의 예시적인 층들을 나타낸다. 파라미터화된 모델(606)은, 변동되고 도출될 수 있는 고려 중인 타겟의 부분의 피처들 및 층들에 대한 변수들 중 1 이상을 포함할 수 있다. 도 6에 나타낸 바와 같이, 변수들 중 1 이상은 1 이상의 층의 두께(t), 1 이상의 피처의 폭(w)(예를 들어, CD), 1 이상의 피처의 높이(h), 및/또는 1 이상의 피처의 측벽 각도(α)를 포함할 수 있다. 도시되지는 않지만, 변수들 중 1 이상은 층들 중 1 이상의 굴절률[예를 들어, 실수(real) 또는 복소(complex) 굴절률, 굴절률 텐서(tensor) 등], 1 이상의 층의 흡광 계수, 1 이상의 층의 흡수, 현상 시 레지스트 손실, 1 이상의 피처의 푸팅(footing), 및/또는 1 이상의 피처의 라인 에지 거칠기를 더 포함할 수 있으며, 이에 제한되지는 않는다. 변수들의 초기 값들은 측정되고 있는 타겟에 대해 기대되는 것들일 수 있다. 그 후, 측정된 방사선 분포(608)는 612에서 연산된 방사선 분포(614)와 비교되어, 둘 사이의 차이를 결정한다. 차이가 존재하는 경우, 파라미터화된 모델(606)의 변수들 중 1 이상의 값들은 변동될 수 있고, 측정된 방사선 분포(608)와 연산된 방사선 분포(614) 사이에 충분한 매칭이 존재할 때까지 새로운 연산된 방사선 분포(614)가 계산되고 측정된 방사선 분포(608)와 비교된다. 그 시점에, 파라미터화된 모델(606)의 변수들의 값들은 실제 타겟(30)의 지오메트리의 우수한 또는 최적 매칭을 제공한다. 일 실시예에서, 측정된 방사선 분포(608)와 연산된 방사선 분포(614) 사이의 차이가 공차 임계치 내에 있는 경우에 충분한 매칭이 존재한다.
도 7a는 "제어를 위한 디자인"(D4C) 방법의 주 스테이지들을 열거하는 흐름도를 나타낸다. 스테이지 710에서, 리소그래피 공정에서 사용될 재료들이 선택된다. 재료들은 적절한 GUI를 통해 D4C와 인터페이싱된 재료 라이브러리로부터 선택될 수 있다. 스테이지 720에서, 공정 단계들 각각을 입력하고 전체 공정 시퀀스에 대한 컴퓨터 시뮬레이션 모델을 구축함으로써 리소그래피 공정이 정의된다. 스테이지 730에서, 메트롤로지 타겟이 정의되고, 즉 타겟에 포함된 다양한 피처들의 치수들 및 다른 특성들이 D4C 프로그램으로 입력된다. 예를 들어, 격자가 구조체에 포함되는 경우, 격자 요소들의 수, 개별 격자 요소들의 폭, 2 개의 격자 요소들 사이의 간격 등이 정의되어야 한다. 스테이지 740에서, 3D 지오메트리가 생성된다. 또한, 이 단계는 다층 타겟 디자인과 관련된 여하한의 정보, 예를 들어 상이한 층들 사이의 상대 시프트들이 존재하는지를 고려한다. 이 특징은 다층 타겟 디자인을 가능하게 한다. 스테이지 750에서, 디자인된 타겟의 최종 지오메트리가 시각화된다. 아래에서 더 상세히 설명되는 바와 같이, 최종 디자인이 시각화될 뿐만 아니라, 설계자가 리소그래피 공정의 다양한 단계들을 적용함에 따라, 공정-유도 효과들로 인해 3D 지오메트리가 어떻게 형성 및 변화되고 있는지를 시각화할 수 있다. 예를 들어, 레지스트 패터닝 후의 3D 지오메트리는 레지스트 제거 및 에칭 후의 3D 지오메트리와 상이하다.
본 발명의 중요한 측면은, 타겟 설계자가 모델링 및 시뮬레이션 동안 그들의 지각 및 제어를 용이하게 하기 위해 방법의 스테이지들을 시각화할 수 있게 된다는 것이다. "뷰어(viewer)"라고 하는 상이한 시각화 툴들이 D4C 소프트웨어에 내장된다. 예를 들어, 도 7b에 나타낸 바와 같이, 설계자는 정의된 리소그래피 공정 및 타겟에 따라 재료 플롯들(760)을 볼 수 있다(또한, 런타임 추산 플롯을 얻을 수 있다). 일단 리소그래피 모델이 생성되면, 설계자는 모델 뷰어 툴(775)을 통해 모델 파라미터들을 볼 수 있다. 디자인 레이아웃 뷰어 툴(780)은 디자인 레이아웃을 보는 데 사용될 수 있다[예를 들어, GDS 파일의 시각적 렌더링(visual rendering)]. 레지스트 프로파일 뷰어 툴(785)은 레지스트 내의 패턴 프로파일들을 보는 데 사용될 수 있다. 지오메트리 뷰어 툴(790)은 기판 상의 3D 구조체들을 보는 데 사용될 수 있다. 퓨필 뷰어 툴(795)은 메트롤로지 툴에 대한 시뮬레이션된 응답을 보는 데 사용될 수 있다. 당업자는 이러한 뷰잉 툴들이 디자인 및 시뮬레이션 동안 설계자의 이해를 높이는 데 이용가능하다는 것을 이해할 것이다. 이 툴들 중 1 이상이 D4C 소프트웨어의 일부 실시예들에서 존재하지 않을 수 있으며, 추가적인 뷰잉 툴들이 일부 다른 실시예들에서 존재할 수 있다.
도 7c는 D4C 프로세스가 리소그래피 공정의 실제 시뮬레이션을 위해 선택되는 메트롤로지 타겟들의 수를 감소시킴으로써 전체 시뮬레이션 프로세스에서 효율을 어떻게 증가시키는지를 예시하는 흐름도를 나타낸다. 앞서 언급된 바와 같이, D4C는 설계자가 수천 또는 심지어 수백만의 디자인들을 디자인할 수 있게 한다. 이 디자인들 모두가 공정 단계들의 변동들에 대해 견고하지는 않을 수 있다. 공정 변동을 견딜 수 있는 타겟 디자인들의 서브세트를 선택하기 위해, 리소그래퍼가 블록(752)에 나타낸 바와 같이 정의된 리소그래피 공정의 1 이상의 단계를 의도적으로 동요시킬 수 있다. 섭동의 도입은 원래 정의되었던 방식에 대해 전체 공정 시퀀스를 변경한다. 그러므로, 동요된 공정 시퀀스를 적용하는 것(블록 754)이 디자인된 타겟의 3D 지오메트리도 변경한다. 리소그래퍼가 원래의 디자인 타겟들에서 0이 아닌 교번들을 나타내는 섭동들만을 선택하고, 선택된 공정 섭동들의 서브세트를 생성한다(블록 756). 그 후, 리소그래피 공정은 공정 섭동들의 이 서브세트로 시뮬레이션된다(블록 758).
리소그래피 공정(또는 일반적으로 패터닝 공정)을 사용하는 기판의 제조 또는 제작은 전형적으로 공정 변동들을 수반한다. 공정 변동들은 기판에 걸쳐 균일하지 않다. 예를 들어, 증착 공정에서, 막들은 기판의 중심에서 더 두껍고 에지에 근접할 때 더 얇은 경향이 있다. 이 시스템적 변동들은 일반적으로 알려진 공정 조건들에 기초한 기판의 특성들인 '핑거프린트들'로서 측정 데이터에 반영된다. 다시 말해서, 기판 좌표의 함수로서 공간 변동을 갖는 기판 상의 스택이 존재한다. 스택은 기판 상에 선택된 패턴(예를 들어, 디자인 패턴)을 형성하기 위해 패터닝 공정 동안 기판 상에 형성되는 다수 층들을 포함한다. 스택의 각각의 층은 두께, 재료 속성들, 및 피처들 및 패터닝 공정의 관련 파라미터들(예를 들어, CD, 피치, 오버레이 등)과 연계될 수 있다.
도 8a는 시뮬레이션 시스템을 위한, 또는 다른 목적들을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법(800a)을 나타낸다. 도 8b는 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 유사하지만 트림-관련 작업들은 없는 방법을 나타낸다. 방법(800a 및 800b)은 메트롤로지 마크 정렬과 관련하여 아래에서 설명되지만, 이는 제한하려는 의도는 아니다. 방법(800a 및 800b)은 일반적으로 에칭 프로파일 결정이 유용한 다수의 상이한 프로세스들에 적용될 수 있다. 에칭 프로파일이 결정되는 시뮬레이션 시스템은 에칭 프로파일에 대한 메트롤로지 또는 검사 시스템의 응답을 시뮬레이션하는 시뮬레이션 시스템, 또는 다른 시뮬레이션 시스템들을 포함할 수 있다. 예를 들어, 결정된 에칭 프로파일/시뮬레이션 시스템은 광학 시스템들 또는 SEM으로 측정되는 OPC 모델을 캘리브레이션하는 데 사용될 수 있으며, 이는 메트롤로지 시스템들로부터 상호 웨이퍼 핑거프린트들을 시뮬레이션하기 위해, 또는 다른 목적들을 위해 사용될 수 있다. 방법(800a 및 800b)은 에칭 프로파일의 더 정확한 모델링 및/또는 결정을 용이하게 하는 (종래 기술의 시스템들에 비해) 향상된 에칭 프로파일 결정 방법들이다. 에칭 프로파일의 이러한 더 정확한 모델링 및/또는 결정은 예를 들어 웨이퍼의 현재 층과 이전 층 사이의 상대적인 시프트 - 오버레이의 결정을 향상시킬 수 있지만, 다른 목적들이 고려된다. 앞서 설명된 바와 같이, 오버레이는 흔히 스크라이브 라인들에 포함된 메트롤로지 마크들의 광학적 응답에 기초하여 결정된다. 일부 실시예들에서, 방법(800a 및 800b)은 (종래 기술의 시스템들에 비해) 더 정확한 메트롤로지 타겟 모델을 생성하고, 이는 차례로 오버레이 또는 다른 파라미터들의 (종래 기술의 시스템들에 비해) 더 정확한 결정을 용이하게 한다. 방법(800a 및 800b)에서, 국부적 로딩 효과는 가시 각도들, 패턴 밀도, 및 다른 파라미터들을 사용하여 모델링된다. (이전 기하학적 모델들과는 대조적으로) 이 반-경험적 모델은 플라즈마 물리학 및 재료 거동을 나타내기 위해 다중 파라미터들을 사용하고, 다른 인자들 중에서 패턴 크기 및 밀도에 의해 야기되는 국부적 에칭 로딩 효과를 포착함으로써 에칭 후 프로파일을 재구성한다. 전역적 로딩 효과는 화학적 및 물리적 불균일성들을 모방하기 위해 전기장 분포 및 다른 섭동들을 추가함으로써 도입된다. 또한, 본 장치들 및 방법들의 모델은 특정 에칭 공정들을 정확하게 설명하기 위해 이차 전자 현미경(SEM) 단면 이미지들에 의해 캘리브레이션될 수 있다.
방법(800a 및 800b)은 웨이퍼 층에 대한 시작 마스킹 층 프로파일을 결정하는 단계(802)를 포함한다. 방법(800a)은 레지스트 트림 에칭 레시피를 결정하는 단계(804) 및 트리밍된 마스킹 층 프로파일을 결정하는 단계(806)를 포함한다[이 작업들은 방법(800b)에 포함되지 않음]. 방법(800a 및 800b)은 로딩 정보를 결정하는 단계(808), 플럭스 정보를 결정하는 단계(810), 재-증착 정보를 결정하는 단계(812), 웨이퍼 층에 대한 출력 에칭 프로파일을 결정하는 단계(814), 및 (예를 들어, 메트롤로지 타겟 디자인 및/또는 다른 작업들 동안) 시뮬레이션 시스템들에 의해 사용하기 위해 출력 에칭 프로파일에 기초하여 깊이 정보를 결정하는 단계(816)를 포함한다. 웨이퍼 층에 대한 출력 에칭 프로파일을 결정하는 단계(814)는 로딩 정보, 플럭스 정보, 재-증착 정보, 및/또는 본 명세서에 설명된 바와 같은 다른 정보에 기초한다. 일 실시예에서, 시작 마스킹 층 프로파일을 결정하는 단계(802), 트림 작업을 결정하거나 달리 시뮬레이션하는 단계(804, 806), 로딩 정보를 결정하는 단계(808), 플럭스 정보를 결정하는 단계(810), 재-증착 정보를 결정하는 단계(812), 및/또는 출력 에칭 프로파일을 결정하는 단계(814)는 웨이퍼 층의 관심 영역에 대해 수행된다. 일 실시예에서, 로딩 정보를 결정하는 단계, 플럭스 정보를 결정하는 단계, 재-증착 정보를 결정하는 단계, 및/또는 출력 에칭 프로파일을 결정하는 단계는 깊이 정보가 물리적 웨이퍼의 대응하는 층의 이미지에서의 깊이들에 대응할 때까지 반복적으로 수행된다(818). 이러한 작업들 각각은 아래에서 차례로 설명된다.
도 8a 및/또는 도 8b에 나타내고 아래에서 논의되는 작업들(802 내지 818)의 순서, 배열, 입력 및 출력은 제한하려는 의도가 아님에 유의하여야 한다. 예를 들어, 도 8a는 시작 마스킹 층 프로파일을 결정하는 단계(802)를 예시하며, 이는 레지스트 트림 에칭 레시피를 결정하는 단계(804) 및 트리밍된 마스킹 층 프로파일을 결정하는 단계(806)를 위한 입력으로서 사용된다. 하지만, 도 8b는 이러한 작업들을 전혀 포함하지 않는다. 또 다른 예시로서, 도 8a에서, 레지스트 트림 에칭 레시피를 결정하는 단계(804)는 사용자 인터페이스를 통한 레시피 파라미터들의 사용자 입력 또는 선택을 통해, 또는 다른 작업들을 통해 본 시스템의 일부가 아닌 외부 리소스들로부터 레지스트 트림 에칭 레시피 또는 레지스트 트림 에칭 레시피와 관련된 정보를 수신하는 단계를 포함할 수 있다. 이 예시에서, 시작 마스킹 층 프로파일은 트리밍된 마스킹 층 프로파일을 생성하기 위해 수신된 레지스트 트림 에칭 레시피를 사용하여 수정될 수 있다. 또 다른 예시로서, 도 8a는 재-증착 정보 결정(812)에는 아닌, 로딩 정보 결정(808) 및 플럭스 정보 결정(810)을 위한 입력으로서 사용되는 트리밍된 마스킹 층 프로파일을 예시한다(또한, 도 8b는 시작 마스킹 층 프로파일을 예시함). 일 실시예에서, 이러한 3 개의 작업들은 병행하여 (또는 다른 배열로) 수행될 수 있고, (도 8a에서) 트리밍된 마스킹 층 프로파일(도 8b인 경우, 시작 마스킹 층 프로파일)이 세 결정들 모두를 위한 입력으로서 사용될 수 있다. 이 예시에서, 이 3 개의 작업들 모두로부터의 출력은 프로파일 결정(814) 단계로 직접 흐를 수 있다. 도 8a 및 도 8b에 예시된 결정들을 위한 다양한 순서들, 배열들, 입력들, 및 출력들의 수많은 다른 예시들이 고려된다.
도 8a 및 도 8b에 나타낸 바와 같이, 방법(800a 및 800b)은 웨이퍼 층에 대한 시작 마스킹 층 프로파일을 결정하는 단계(802)를 포함한다. 시작 마스킹 층 프로파일은 리소그래피 포토 레지스트 프로파일과 같은 레지스트 프로파일, 하드마스크와 같은 일반적인 마스킹 층, 또는 다른 마스킹 층 프로파일들일 수 있고, 및/또는 이를 포함할 수 있다. 일반적으로, 시작 마스킹 층 프로파일은 방법(800a 및 800b)을 위한 입력으로서 사용되는 여하한의 패턴 디자인일 수 있다. 패턴 디자인은 웨이퍼의 어느 부분이 에칭될지, 및 웨이퍼의 어느 부분이 에칭되지 않을지를 나타낼 수 있다. 패턴 디자인은 이러한 에칭 및 비-에칭 영역들의 치수들, 재료 속성들, 및 다른 특성들을 나타낼 수 있다. 일 실시예에서, 웨이퍼 층에 대한 시작 마스킹 층 프로파일은 패터닝 후 프로파일을 포함한다. 일 실시예에서, 웨이퍼 층에 대한 시작 마스킹 층 프로파일은 현상 후 검사(ADI) 치수들을 포함한다. ADI는 흔히 포토레지스트 노광 및 현상 후에 수행된다. 일 실시예에서, 웨이퍼 층에 대한 시작 마스킹 층 프로파일을 결정하는 단계는 시작 마스킹 층 프로파일의 치수들 또는 다른 특징들을 얻는 단계, 디자인하는 단계, 또는 측정하는 단계 중 1 이상을 포함한다.
예를 들어, 시작 마스킹 층 프로파일의 치수들 또는 다른 특징들을 얻는 단계는 1 이상의 외부 컴퓨팅 시스템의 데이터베이스를 통해 이 정보에 전자적으로 액세스하는 단계, 로컬 전자 저장소에서 이 정보에 액세스하는 단계, 네트워크 통신을 통해 이 정보를 수신하거나 액세스하는 단계, 비-일시적 저장 매체 및/또는 다른 전자 저장소 소스로부터의 업로드, 다운로드 또는 다른 전자 파일 전송을 통해 이 정보를 수신하는 단계, 또는 다른 방법들에 의해 이 정보를 얻는 단계를 포함할 수 있다. 또 다른 예시로서, 시작 마스킹 층 프로파일의 디자인된 치수들 및/또는 다른 특징들은 디자인 소프트웨어(예를 들어, D4C) 또는 다른 리소스와 관련된 사용자 인터페이스를 통해 사용자에 의해 입력되거나 선택되며, 또는 다른 방식으로 전달될 수 있다. 세 번째 예시로서, 시작 마스킹 층 프로파일의 치수들 또는 다른 특징들은 방법(800a 및 800b)의 일부로서 측정될 수 있다. 이러한 측정들은, 예를 들어 제작 공정을 거치는 물리적 또는 모델링된 웨이퍼의 바로 이전 층에서 수행될 수 있다. 일부 실시예들에서, 시작 마스킹 층 프로파일은 설계자, 시스템 또는 다른 소스들에 의해 만들어진 가정들에 기초하여 구축될 수도 있다. 그 후, 도 8a 및 도 8b에 나타낸 모델/방법은 예를 들어 단면 프로파일 이미지들 및/또는 스케터로메트리 측정들로부터의 데이터를 사용하여 정확한 형상으로 시작 마스킹 층 프로파일을 캘리브레이션할 수 있다.
방법(800a)은 레지스트 트림 에칭 레시피를 결정하는 단계(804)를 포함한다. 레지스트 트림 에칭 레시피는 다양한 트림 에칭 파라미터들 또는 트림 기준들을 특정할 수 있다. 트림 에칭 파라미터들 또는 트림 기준들은 트림 시간, 타겟 치수들, 에칭 가스(들), 에칭 가스 비율들, 에칭 속도(들)(측면 및 수직), 에칭 가스 압력, 바이어스 파워(bias power), 소스 파워, 온도, 및 다른 파라미터들을 포함할 수 있다. 일 실시예에서, 예를 들어 레지스트 트림 에칭 레시피를 결정하는 단계는 1 이상의 외부 컴퓨팅 시스템의 데이터베이스를 통해 레시피 정보에 전자적으로 액세스하는 단계, 로컬 전자 저장소에서 이 정보에 액세스하는 단계, 네트워크 통신을 통해 이 정보를 수신하거나 액세스하는 단계, 비-일시적 저장 매체 및/또는 다른 전자 저장소 소스로부터의 업로드, 다운로드 또는 다른 전자 파일 전송을 통해 이 정보를 수신하는 단계, 또는 다른 방법들에 의해 이 정보를 얻는 단계를 포함할 수 있다. 일 실시예에서, 트림 에칭 파라미터들 또는 트림 기준들은 디자인 소프트웨어(예를 들어, D4C) 또는 다른 리소스와 관련된 사용자 인터페이스를 통해 사용자에 의해 입력되거나 선택되며, 또는 다른 방식으로 전달될 수 있다. 일부 실시예들에서, "트림"은 프린트된 ADI 치수와 상이한 임계 치수(CD)의 수정에 대한 일반적인 설명이다. 일부 실시예들에서, 트림 작업들은 예를 들어 804 내지 814의 또 다른 모델링 루프를 수반할 수 있다.
방법(800a)은 레지스트 트림 에칭 레시피에 따라 시작 마스킹 층 프로파일에 대한 트림 작업을 시뮬레이션함으로써 트리밍된 마스킹 층 프로파일을 결정하는 단계(806)를 포함한다. 일 실시예에서, 시작 마스킹 층 프로파일에 대한 트림 작업을 시뮬레이션하는 것은 시작 마스킹 층 프로파일의 치수들 및/또는 다른 특성들, 에칭 트림 레시피에서의 트림 에칭 파라미터들 또는 트림 기준들, 또는 다른 정보에 기초하여 시작 마스킹 층 프로파일의 치수들 또는 다른 특성들을 수정하는 것을 포함한다. 일 실시예에서, 시작 마스킹 층 프로파일에 대한 트림 작업을 시뮬레이션하는 것은 마스크 임계 치수(CD) 오프셋(820)을 조정하는 것을 포함한다. 일 실시예에서, 마스크 임계 치수 오프셋(820)을 조정하는 것은 (예를 들어, 사용자 인터페이스 및 연계된 소프트웨어 툴들을 통해 사용자에 의해) 마스크 임계 치수 오프셋(820)을 수동으로 튜닝하는 것, 물리적 웨이퍼의 이미지들 또는 측정들에 기초하여 마스크 임계 치수 오프셋(820)을 캘리브레이션하는 것, 또는 다른 조정을 포함한다. 일 실시예에서, 마스크 임계 치수 오프셋(820)이 조정된 후, 레지스트 트림 에칭 레시피에 따라 시작 마스킹 층 프로파일에 대한 트림 작업을 시뮬레이션하는 프로세스가 반복될 수 있다. 이 프로세스는, 예를 들어 1 이상의 트리밍된 마스킹 층 프로파일 기준(예를 들어, 치수, 표면 특성 등)이 만족될 때까지 되풀이하여 반복될 수 있다.
방법(800a 및 800b)은, 적어도 부분적으로 트리밍된 마스킹 층 프로파일(800a) 또는 시작 마스킹 층 프로파일(800b)에 기초하여, 마스킹 층 프로파일에 대한 로딩 정보를 결정하는 단계(808)를 포함한다. 로딩 정보는 에칭되는 재료의 양 및 패턴, 패턴의 어느 부분들이 덮이거나 덮이지 않는지, 주변 환경, 또는 다른 인자들에 대한, 마스킹 층 프로파일에 대한 에칭 속도의 의존성을 나타낸다. 일 실시예에서, 마스킹 층 프로파일에 대한 로딩 정보를 결정하는 단계는 에칭 속도 상수(822), 단거리 로딩 인자(824), 장거리 로딩 인자(826), 또는 다른 조정가능한 파라미터들 중 1 이상을 조정하는 것을 포함한다. 일 실시예에서, 에칭 속도 상수(822), 단거리 로딩 인자(824), 장거리 로딩 인자(826), 또는 다른 조정가능한 파라미터들을 조정하는 것은 (예를 들어, 사용자 인터페이스 및 연계된 소프트웨어 툴들을 통해 사용자에 의해) 에칭 속도 상수(822), 단거리 로딩 인자(824), 장거리 로딩 인자(826) 등을 수동으로 튜닝하는 것, 물리적 웨이퍼의 이미지들 또는 측정들에 기초하여 에칭 속도 상수(822), 단거리 로딩 인자(824), 장거리 로딩 인자(826) 등을 캘리브레이션하는 것, 또는 다른 조정을 포함한다. 일 실시예에서, 에칭 속도 상수(822), 단거리 로딩 인자(824), 장거리 로딩 인자(826) 등이 조정된 후, 로딩 정보를 결정하는 프로세스(808)가 반복될 수 있다.
일 실시예에서, 로딩 정보를 결정하는 단계(808)는 마스킹 층 프로파일에 걸친 개별 위치들에서의 에칭 속도의 의존성을 결정하는 단계를 포함한다. 이러한 결정들은 개별 위치에서 에칭되는 재료의 양 및 패턴, 패턴의 어느 부분들이 덮이거나 덮이지 않는지, 주변 환경, 또는 다른 인자들에 기초하여 이루어질 수 있다. 일 실시예에서, 마스킹 층 프로파일에 걸친 개별 위치들에서 에칭 속도의 의존성을 결정하는 단계는 개별 위치들에 대한 개별 로딩 인자들을 결정하는 단계를 포함한다.
비-제한적인 예시로서, 도 9는 마스킹 층 프로파일의 관심 영역(900)에 대한 로딩 정보를 결정하는 측면들을 예시한다. 일 실시예에서, 도 9 및 다음 논의는 본 시스템(들) 및 방법(들)의 패턴 밀도 모델을 설명할 수 있다. 패턴 밀도 모델은 관심 영역에서 개별 샘플 지점들에 대한 주변 마스크 패턴을 검출하거나 달리 모델링할 수 있다. 도 9에 나타낸 바와 같이, 관심 영역(900)은 트렌치 영역(trench region: 902)들 및 마스크 영역(904)들을 포함한다(이는 제한적인 것으로 의도되지 않음). 일 실시예에서, 로딩 정보를 결정하는 단계(808)(도 8a 및 도 8b)는 영역(900)에 걸쳐 개별 X-Y 위치들에서 에칭 속도의 의존성을 결정하는 단계를 포함한다. 일 실시예에서, 개별 X-Y 위치들에서 에칭 속도의 의존성을 결정하는 단계는 개별 위치들에서 개별 로딩 인자들을 결정하는 단계를 포함한다. 일 실시예에서, 개별 로딩 인자는 개별 위치에서의 마스크 패턴의 불 함수, 또는 다른 정보에 기초하여 결정된다. 예를 들어, 개별 X-Y 위치에 대한 개별 로딩 인자(p)는 수학식 1에 기초하여 결정될 수 있다.
Figure pat00001
여기서, x 및 y는 관심 영역(900) 내의 평가 포인트의 좌표를 나타내고, r은 범위 인자이며, M(x,y)은 관심 영역(900) 내의 전체 마스크 패턴[예를 들어, 트렌치 영역(902) 및 마스크 영역(904)의 패턴]을 나타내고, b(x,y,r)는 마스크 패턴의 불 함수 및 가우스 분포 함수의 조합이다. 이 예시에서, p(x,y,r)는 개별 로딩 인자(p)가 결정되는 개별 X-Y 위치 또는 영역이며, 도 9에서 박스(906)로 표시된다. 이 예시에서, b(x,y,r) 내의 불 함수는 트렌치 영역(902)에서 0이고, 마스크 영역(904)에서 1이다. 가우스 함수와 조합한 후, 이는 0과 1 사이의 값일 것이다.
도 8a 및 도 8b로 돌아가서, 방법(800a 및 800b)은 적어도 부분적으로 트리밍된 마스킹 층 프로파일(800a) 또는 시작 마스킹 층 프로파일(800b)에 기초하여, 마스킹 층 프로파일에 대한 플럭스 정보를 결정하는 단계(810)를 포함한다. 플럭스 정보는 마스킹 층 프로파일에 입사하는 방사선의 확산 각도 및 세기, 또는 다른 정보에 대한, 마스킹 층 프로파일에 대한 에칭 속도의 의존성을 나타낸다. 일 실시예에서, 마스킹 층 프로파일에 대한 플럭스 정보를 결정하는 단계는 이온 세기(830), 중성자 세기(832), 확산 각도(834), 또는 입사 방사선의 다른 특성들 중 1 이상을 조정하는 것을 포함한다. 일 실시예에서, 이온 세기(830), 중성자 세기(832), 확산 각도(834), 또는 입사 방사선의 다른 특성들을 조정하는 것은 (예를 들어, 사용자 인터페이스 및 연계된 소프트웨어 툴들을 통해 사용자에 의해) 이온 세기(830), 중성자 세기(832), 확산 각도(834), 또는 입사 방사선의 다른 특성들을 수동으로 튜닝하는 것, 물리적 웨이퍼의 이미지들 또는 측정들에 기초하여 이온 세기(830), 중성자 세기(832), 확산 각도(834), 또는 입사 방사선의 다른 특성들을 캘리브레이션하는 것, 또는 다른 조정을 포함한다. 일 실시예에서, 이온 세기(830), 중성자 세기(832), 확산 각도(834), 또는 입사 방사선의 다른 특성들이 조정된 후, 플럭스 정보를 결정하는 프로세스(810)가 반복될 수 있다.
일 실시예에서, 플럭스 정보는 개별 위치들에 대응하는 1 이상의 바이어스 상수, 1 이상의 피팅 상수, 단거리 로딩 인자, 및 장거리 로딩 인자에 기초하여 결정된다. 예를 들어, 중성자 세기(832)는 아래에 나타낸 수학식 2에 의해 설명될 수 있다.
Figure pat00002
수학식 2에서, co는 바이어스 상수이고, c1 및 c2는 단거리 및 장거리 피팅 인자들이며, p1 및 p2는 단거리 및 장거리 로딩 인자들이다. 이온 세기(830)는 예를 들어 유사한 수학식에 의해 설명될 수 있다. 1 이상의 바이어스 상수, 1 이상의 피팅 인자, 단거리 로딩 인자, 및 장거리 로딩 인자는 본 시스템의 제조 시 결정되거나, 전자 저장소 또는 다른 소스들로부터 본 시스템에 의해 얻어지거나, 본 명세서에 설명된 시스템과 연계된 사용자 인터페이스를 통해 사용자에 의해 입력, 선택 또는 조정되거나, 또는 다른 방식으로 결정될 수 있다.
일 실시예에서, 플럭스 정보를 결정하는 단계(810)는 개별 위치들에서 마스킹 층 프로파일에 입사하는 방사선의 세기, 확산 각도, 또는 다른 특성들에 대한, 마스킹 층 프로파일에 걸친 개별 위치들에서의 에칭 속도의 의존성을 결정하는 단계를 포함한다. 일 실시예에서, 이는 본 시스템(들) 및 방법(들)의 가시성 에칭 모델(visibility etch model)이거나 그 일부일 수 있다. 예를 들어, 관심 영역[예를 들어, 도 9에 나타낸 영역(900)]의 개별적인 에칭가능한 지점들에 대해, 가시성 에칭 모델은 사전-정의된 입사 (이온 및 중성자) 방사선 각도에 대한 수집 각도를 사용하여 에칭 속도(예를 들어, 에칭 속도 벡터)를 결정할 수 있다. 본 시스템(들) 및 방법(들)에서 에칭 속도는 종래 기술의 시스템에서와 같이 가정되지 않는다. 플럭스 정보(예를 들어, 가시성 에칭 모델)를 결정하는 단계(810)는 입사 방사선을 향한 관심 영역의 에칭가능한 지점들의 움직임을 모델링하는 단계, 작은 시간 세그먼트들에 걸쳐 이온 및 중성자 분포들에 기초하여 에칭 속도를 결정하는 단계, 개별적인 에칭가능한 지점들에서 이 작업들을 반복하는 단계, 및 아래에 나타낸 수학식 3에 따라 반복하는 단계를 포함할 수 있다.
Figure pat00003
f(x) 항은 앞선 수학식 2에서 비롯된다. 세타(Θ)는 입사각을 나타낸다.
비-제한적인 예시로서, 도 10은 플럭스 정보를 결정하는 단계(예를 들어, 도 8a 및 도 8b로부터의 810)와 관련된 2 개의 도해(1010 및 1020)를 제공한다. 도해(1010)는 마스크 재료(1030) 및 에칭 재료(1040)에 입사하는 방사선(1025, 1026)을 나타낸다. 관심 영역의 에칭가능한 지점들(1050, 1060)이 입사 방사선(1025, 1026)에 대해 이동하여 모델링됨에 따라, 입사 방사선(1025, 1026)과 마스크 재료(1030) 및 에칭 재료(1040)의 상호 작용이 변화한다. 예를 들어, 도해(1010)에서, 각도(α)는 입사 방사선(1026)과 비교하여 입사 방사선(1025)에 대해 상이하다. 또 다른 예시로서, 방사선(1025)은 마스크 재료(1030)에 의해 차단되거나 막히는 한편, 방사선(1026)은 그렇지 않다. 도해(1020)는 종래 기술의 시스템 또는 방법들에 비해, 본 시스템(들) 또는 방법(들)이 어떻게 이러한 상호 작용을 더 잘 설명하는지를 나타낸다. 예를 들어, 종래 기술의 시스템들이 도해(1010)에 나타낸 마스크 및 에칭 재료들(1030 및 1040)에 입사하는 방사선을 어떻게 모델링하였는지에 대응하는 "이전" 이미지(1070)에서, 플럭스 세기(1072)는 마스크 재료(1030)가 입사 방사선을 차단하거나 적어도 입사 방사선에 영향을 미칠 수 있더라도, x 방향(1074) 또는 y 방향(1076)에서 영향을 받지 않는다. 현재 시스템(들) 및 방법(들)이 도해(1010)에 나타낸 마스크 및 에칭 재료들(1030 및 1040)에 입사하는 방사선을 어떻게 모델링하는지에 대응하는 "이후" 이미지(1080)에서, 플럭스 세기(1072)는 x 방향(1074)에서 영역(1075)이 잘리고, y 방향(1076)에서 영역(1077)이 잘린다. 본 시스템(들) 및 방법(들)은, 모델이 입사 방사선을 차단하거나 적어도 입사 방사선에 영향을 미치는 마스크 재료(1030)를 설명할 수 있기 때문에, 이러한 절단이 생성되도록 구성된다.
도 8a 및 도 8b로 돌아가서, 방법(800a 및 800b)은 적어도 부분적으로 트리밍된 마스킹 층 프로파일(도 8a) 또는 시작 마스킹 층 프로파일(도 8b)에 기초하여, 마스킹 층 프로파일에 대한 재-증착 정보를 결정하는 단계(812)를 포함한다. 재-증착 정보는 마스킹 층 프로파일에 다시 재-증착되는 마스킹 층 프로파일로부터 제거된 재료의 양에 대한, 마스킹 층 프로파일에 대한 에칭 속도의 의존성을 나타낸다. 일 실시예에서, 마스킹 층 프로파일에 대한 재-증착 정보를 결정하는 단계는 마스킹 층 프로파일에 대한 재-증착 속도(840)를 조정하는 것을 포함한다. 일 실시예에서, 재-증착 속도(840)를 조정하는 것은 (예를 들어, 사용자 인터페이스 및 연계된 소프트웨어 툴들을 통해 사용자에 의해) 재-증착 속도(840)를 수동으로 튜닝하는 것, 물리적 웨이퍼의 이미지들 또는 측정들에 기초하여 재-증착 속도(840)를 캘리브레이션하는 것, 또는 다른 조정을 포함한다. 일 실시예에서, 재-증착 속도(840)가 조정된 후, 재-증착 정보를 결정하는 프로세스(812)가 반복될 수 있다. 일 실시예에서, 재-증착 정보를 결정하는 단계는 개별 위치들에서 마스킹 층 프로파일에 다시 재-증착되는 개별 위치들에서의 마스킹 층 프로파일로부터 제거된 재료의 양에 대한, 마스킹 층 프로파일에 걸친 개별 위치들에서의 에칭 속도의 의존성을 결정하는 단계를 포함한다.
일 실시예에서, 재-증착 정보는 개별 위치들에 대응하는 1 이상의 바이어스 상수, 장거리 피팅 인자, 및 장거리 로딩 인자에 기초하여 결정된다. 예를 들어, 재-증착 속도(840)는 아래에 나타낸 수학식 4에 의해 설명될 수 있다.
Figure pat00004
수학식 4에서, c3 및 c4는 상수 및 단거리 피팅 인자들이며, p3은 단거리 로딩 인자이다. 1 이상의 피팅 인자 및 상이한 범위의 로딩 인자들은 본 시스템의 제조 시 결정되거나, 전자 저장소 또는 다른 소스들로부터 본 시스템에 의해 얻어지거나, 본 명세서에 설명된 시스템과 연계된 사용자 인터페이스를 통해 사용자에 의해 입력, 선택 또는 조정되거나, 또는 다른 방식으로 결정될 수 있다.
방법(800a 및 800b)은 웨이퍼 층에 대한 출력 에칭 프로파일을 결정하는 단계(814)를 포함한다. 웨이퍼 층에 대한 출력 에칭 프로파일은 (트리밍된 또는 시작) 마스킹 층 프로파일에 대한 로딩 정보, 플럭스 정보, 재-증착 정보, 또는 다른 정보에 기초하여 결정된다. 일 실시예에서, 출력 에칭 프로파일을 결정하는 단계(814)는 예를 들어 D4C를 사용하여 출력 에칭 프로파일을 결정하기 위해 본 명세서에 설명된 방법들과 유사하거나 동일한 방법들을 사용하여 수행될 수 있다. 하지만, 앞서 설명된 바와 같이, 본 시스템(들) 및 방법들은 종래 기술의 단순화된 기하학적 모델들을 사용하는 대신에 출력 에칭 프로파일을 결정하기 위해 로딩 정보, 플럭스 정보, 및 재-증착 정보를 사용한다.
일 실시예에서, 방법(800a 및 800b)은 (예를 들어, 메트롤로지 타겟 디자인에서의 오버레이 결정 동안, 및/또는 다른 작업들 동안) 시뮬레이션 시스템들에 의해 사용하기 위해 출력 에칭 프로파일에 기초하여 깊이 정보를 결정하는 단계(816)를 포함한다. 일 실시예에서, 깊이 정보를 결정하는 단계(816)는 예를 들어 D4C를 사용하여 깊이 정보를 결정하기 위해 본 명세서에 설명된 방법들과 유사하거나 동일한 방법들을 사용하여 결정될 수 있다.
일 실시예에서, 방법(800a 및 800b)의 1 이상의 작동(작동 파라미터)은 스캐닝 전자 현미경(SEM) 또는 다른 툴들을 사용하여 물리적 웨이퍼의 대응하는 단면에 시뮬레이션된 깊이 프로파일을 피팅함으로써 캘리브레이션될 수 있다. SEM에서, 일차 전자 빔이 전자 소스로부터 방출되어 집광 렌즈에 의해 수렴된 후, 빔 디플렉터, E x B 디플렉터, 및 대물 렌즈를 통과하여 포커스에서 기판 테이블 상의 기판을 조사한다. 기판이 전자 빔으로 조사될 때, 기판으로부터 이차 전자들이 생성된다. 이차 전자들은 E x B 디플렉터에 의해 편향되고, 이차 전자 검출기에 의해 검출된다. 예를 들어, 빔 디플렉터에 의한 전자 빔의 2 차원 스캐닝, 또는 X 또는 Y 방향 중 다른 방향으로의 기판 테이블에 의한 기판의 연속적인 이동과 함께 X 또는 Y 방향으로의 빔 디플렉터에 의한 전자 빔의 반복적인 스캐닝과 동기화하여 샘플로부터 생성된 전자들을 검출함으로써 2-차원 전자 빔 이미지가 얻어질 수 있다. 이차 전자 검출기에 의해 검출된 신호가 아날로그/디지털(A/D) 변환기에 의해 디지털 신호로 변환되고, 디지털 신호는 이미지 처리 시스템으로 전송된다. 일 실시예에서, 이미지 처리 시스템은 처리 유닛에 의한 처리를 위해 디지털 이미지들의 일부 또는 전부를 저장하는 메모리를 가질 수 있다. 처리 유닛(예를 들어, 특별히 디자인된 하드웨어 또는 하드웨어와 소프트웨어의 조합 또는 소프트웨어를 포함한 컴퓨터 판독가능한 매체)은 디지털 이미지들을 디지털 이미지들을 나타내는 데이터세트들로 변환하거나 처리하도록 구성된다. 일 실시예에서, 처리 유닛은 본 명세서에 설명된 방법의 실행을 야기하도록 구성되거나 프로그램된다. 또한, 이미지 처리 시스템은 디지털 이미지들 및 대응하는 데이터세트들을 참조 데이터베이스에 저장하도록 구성되는 저장 매체를 가질 수 있다. 디스플레이 디바이스가 이미지 처리 시스템과 연결되어, 운영자가 그래픽 사용자 인터페이스의 도움으로 장비의 필요한 작동을 수행할 수 있도록 할 수 있다.
일 실시예에서, 방법(800a 및 800b)은 전자적 묘사와 물리적 웨이퍼의 대응하는 층의 이미지 사이의 시각적 비교를 용이하게 하기 위해 깊이 정보에 기초하여 웨이퍼 층의 전자적 묘사(예를 들어, 모델, 전자적 이미지 등)를 생성하는 단계를 더 포함한다. 전자적 묘사는 이미지(예를 들어, SEM 이미지), 모델, 및/또는 다른 전자적 묘사들일 수 있다. 전자적 묘사는 단면 이미지, 비-단면 이미지, 층을 나타내는 숫자 또는 코드(예를 들어, 전혀 이미지가 아님), 또는 전자적 묘사를 형성하는 다른 정보일 수 있다. 일 실시예에서, 상기 방법들은 물리적 웨이퍼의 대응하는 층의 이미지로부터 얻어지는 치수들과의 비교를 위한 전자적 묘사의 치수 측정을 용이하게 하기 위해 깊이 정보에 기초하여 웨이퍼 층의 전자적 묘사를 생성하는 단계를 더 포함한다. 일 실시예에서, 방법(800a 및 800b)의 1 이상의 작동(작동 파라미터)은 여하한의 메트롤로지 시스템(예를 들어, 스케터로미터 등)으로부터의 정보에 기초하여 캘리브레이션될 수 있다. 일 실시예에서, 본 시스템은 메트롤로지 시스템 및 에칭 모델(예를 들어, 방법들 800a 및/또는 800b)을 포함하는 독립적인 캘리브레이션 시스템을 포함할 수 있다. 일 실시예에서, 마스크 층들이 측정되거나 시뮬레이션될 수 있다(예를 들어, 리소그래피 시뮬레이터가 프로파일 출력을 생성할 수 있음). 캘리브레이션은 마스크 층 모델의 파라미터들(예를 들어, 리소그래피 모델의 레지스트 프로파일에 영향을 주는 파라미터들)의 캘리브레이션을 포함할 수 있다.
앞서 설명된 바와 같이, 방법(800a 및 800b)의 1 이상의 작동은 시뮬레이션된 깊이 프로파일(예를 들어, 전자적 묘사)을 물리적 또는 모델링된 웨이퍼의 대응하는 단면에 피팅함으로써 캘리브레이션될 수 있다. 캘리브레이션은 시뮬레이션된 깊이 프로파일(또는 다른 전자적 묘사들)과 물리적 웨이퍼의 대응하는 단면 사이의 유사성 또는 차이에 기초하여 앞서 설명된 1 이상의 파라미터[예를 들어, 마스크 임계 치수 오프셋(820), 에칭 속도 상수(822), 단거리 인자(824), 장거리 인자(826), 이온 세기(830), 중성자 세기(832), 확산 각도(834), 재-증착 속도(840), 또는 다른 파라미터들]를 조정하는 것을 포함할 수 있다. 캘리브레이션된 방법(예를 들어, 모델)은 (단지 일 예시로서 메트롤로지 타겟 디자인의) 에칭 프로파일을 예측하는 데 사용될 수 있으며, 이는 D4C(또는 다른 유사한 디자인 소프트웨어)와 같은 시뮬레이션 시스템에 제공되어 (앞서 설명된) Yieldstar 또는 다른 정렬 신호 성능을 예측할 수 있다. 일 실시예에서, 로딩 정보를 결정하는 단계, 플럭스 정보를 결정하는 단계, 재-증착 정보를 결정하는 단계, 출력 에칭 프로파일을 결정하는 단계, 및/또는 캘리브레이션은 깊이 정보가 물리적 웨이퍼의 대응하는 층의 이미지 및/또는 다른 전자적 묘사들(예를 들어, 모델)의 깊이들에 대응할 때까지 반복적으로 수행된다.
도 8c는 오버레이 및 정렬 시스템들의 조합을 사용하는 대안적인 캘리브레이션 방법의 다이어그램이다. 오버레이 및 정렬 시스템들은, 예를 들어 광학 임계 치수(OCD) 시스템(예를 들어, 또 다른 스케터로메트리 시스템), 또는 SEM 또는 E-빔 시스템들로 대체될 수 있다. 또한, 상이한 측정 시스템은 조합된 시스템(예를 들어, 단면 데이터, OCD 데이터, 및/또는 SEM 데이터에 기초한 캘리브레이션)일 수 있다. 도 8c에 나타낸 바와 같이, 모델 파라미터들(850), 정렬 마크 디자인(852), 및 오버레이 타겟 디자인(854)이 에칭 모델(856)로 공급된다. 에칭 모델(856)은 웨이퍼 정렬 시뮬레이션(858), 및 웨이퍼 오버레이 시뮬레이션(860)을 출력한다. 이들은 모델 파라미터 캘리브레이션(866)을 위해 [오버레이 타겟 디자인(854)에 기초한] 오버레이 측정(862) 데이터, 및 [정렬 마크 디자인(852)에 기초한] 정렬 측정(864) 데이터와 조합하여 사용된다. 캘리브레이션된 모델 파라미터들(850)은 모델(856)로 피드백된다.
도 11은 앞서 설명된 방법(800a 또는 800b)을 사용하여 생성된 2 개의 상이한 깊이 프로파일들의 전자적 묘사들(1100 및 1102)을 예시한다. 도 11에 나타낸 바와 같이, 모델링된 웨이퍼(1113)의 평면 차원(1110)에 걸친 다양한 포켓들(1112)의 깊이 변동(1108)이 반영된다. 본 명세서에 설명된 시스템(들) 또는 방법(들)을 사용하여 모델링되고 전자적 묘사(1102)에 나타낸 깊이 프로파일(1122)의 하단 에지에서의 활 모양(1120)이 반영된다.
도 12는 본 명세서에 개시된 방법들, 흐름들 또는 시스템(들)을 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(100)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(100)은 정보를 전달하는 버스(102) 또는 다른 통신 기구, 및 정보를 처리하는 버스(102)와 커플링된 프로세서(104)[또는 다중 프로세서들(104 및 105)]를 포함한다. 또한, 컴퓨터 시스템(100)은 프로세서(104)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(102)에 커플링된 주 메모리(106)를 포함한다. 또한, 주 메모리(106)는 프로세서(104)에 의해 실행될 명령어들의 실행 시 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령어들을 저장하는 버스(102)에 커플링된 ROM(read only memory: 108) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되고 버스(102)에 커플링되어 정보 및 명령어들을 저장한다.
컴퓨터 시스템(100)은 버스(102)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(114)는 정보 및 명령 선택(command selection)들을 프로세서(104)로 전달하기 위해 버스(102)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(104)로 전달하고, 디스플레이(112) 상의 커서 움직임을 제어하기 위한 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 116)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
일 실시예에 따르면, 주 메모리(106)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해 본 명세서에 설명된 1 이상의 방법의 부분들이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(106)로 읽혀질 수 있다. 주 메모리(106) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(106) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(104)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(106)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어들을 포함하여, 동축 케이블(coaxial cable), 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크(floppy disk), 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드(punch card), 종이 테이프(paper tape), 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지(cartridge), 이후 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스를 프로세서(104)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩하고, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(100)에 로컬인 모뎀이 전화선 상의 데이터를 수신하고, 상기 데이터를 적외선 신호로 전환하기 위해 적외선 송신기를 사용할 수 있다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신하고, 상기 데이터를 버스(102)에 놓을 수 있다. 버스(102)는, 프로세서(104)가 명령어들을 회수하고 실행하는 주 메모리(106)로 상기 데이터를 전달한다. 주 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 포함할 수 있다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는 ISDN(integrated services digital network) 카드 또는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(118)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(120)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통해 호스트 컴퓨터(host computer: 124), 또는 ISP(Internet Service Provider: 126)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(126)는 이제 보편적으로 "인터넷"(128)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(122) 및 인터넷(128)은 둘 다 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(100)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(118)를 통한 네트워크 링크(120) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)를 통해 메시지들을 송신하고, 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(130)가 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 어플리케이션은, 예를 들어 본 명세서에 설명된 방법의 일부 또는 전부를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
도 13은 본 명세서에 설명된 기술들과 함께 이용될 수 있는 예시적인 리소그래피 투영 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)을 컨디셔닝하는 조명 시스템(IL) -이러한 특정한 경우, 조명 시스템은 방사선 소스(SO)도 포함함- ;
- 패터닝 디바이스(MA)(예를 들어, 레티클)를 유지하는 패터닝 디바이스 홀더가 제공되고, 아이템(PS)에 대하여 패터닝 디바이스를 정확히 위치시키는 제 1 위치설정기에 연결되는 제 1 대상물 테이블(예를 들어, 패터닝 디바이스 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템(PS)에 대하여 기판을 정확히 위치시키는 제 2 위치설정기에 연결되는 제 2 대상물 테이블(기판 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)의 조사된 부분을 이미징하는 투영 시스템("렌즈")(PS)[예를 들어, 굴절, 카톱트릭(catoptric) 또는 카타디옵트릭 광학 시스템]을 포함한다.
본 명세서에 도시된 바와 같이, 상기 장치는 투과형으로 구성된다(즉, 투과 패터닝 디바이스를 가짐). 하지만, 일반적으로 이는 예를 들어 (반사 패터닝 디바이스를 갖는) 반사형으로 구성될 수도 있다. 상기 장치는 전형적인 마스크로 상이한 종류의 패터닝 디바이스를 채택할 수 있다; 예시들로는 프로그램가능한 거울 어레이 또는 LCD 매트릭스를 포함한다.
소스(SO)[예를 들어, 수은 램프 또는 엑시머 레이저, LPP(레이저 생성 플라즈마) EUV 소스]는 방사선 빔을 생성한다. 예를 들어, 이 빔은 곧바로 또는 빔 익스팬더(beam expander: Ex)와 같은 컨디셔닝 수단을 가로지른 후 조명 시스템(일루미네이터)(IL)으로 공급된다. 일루미네이터(IL)는 상기 빔 내의 세기 분포의 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AD)을 포함할 수 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 패터닝 디바이스(MA)에 입사하는 빔(B)은 그 단면에 원하는 균일성 및 세기 분포를 갖는다.
도 13과 관련하여, 소스(SO)는 [흔히 소스(SO)가, 예를 들어 수은 램프인 경우와 같이] 리소그래피 투영 장치의 하우징 내에 있을 수 있지만, 그것은 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성하는 방사선 빔은 (예를 들어, 적절한 지향 거울들의 도움으로) 장치 내부로 들어올 수 있다는 것을 유의하여야 한다; 이 후자의 시나리오는 흔히 소스(SO)가 [예를 들어, KrF, ArF 또는 F2 레이징(lasing)에 기초한] 엑시머 레이저인 경우이다.
이후, 상기 빔(B)은 패터닝 디바이스 테이블(MT) 상에 유지되어 있는 패터닝 디바이스(MA)를 통과한다(intercept). 패터닝 디바이스(MA)를 가로질렀으면, 상기 빔(B)은 렌즈(PS)를 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔(B)을 포커스한다. 제 2 위치설정 수단[및 간섭 측정 수단(IF)]의 도움으로, 기판 테이블(WT)은 예를 들어 상기 빔(B)의 경로 내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 수단은 예를 들어 패터닝 디바이스 라이브러리(patterning device library)로부터의 패터닝 디바이스(MA)의 기계적인 회수 후에 또는 스캔하는 동안, 상기 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 일반적으로, 대상물 테이블들(MT, WT)의 이동은 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움으로 실현될 것이며, 이는 명확히 도시되지는 않는다. 하지만, (스텝-앤드-스캔 툴과는 대조적으로) 스테퍼의 경우, 패터닝 디바이스 테이블(MT)은 단-행정 액추에이터에만 연결되거나 고정될 수 있다.
도시된 툴은 두 가지 상이한 모드로 사용될 수 있다:
- 스텝 모드에서, 패터닝 디바이스 테이블(MT)은 기본적으로 정지 상태로 유지되며, 전체 패터닝 디바이스 이미지가 한 번에 [즉, 단일 "플래시(flash)"로] 타겟부(C) 상으로 투영된다. 그 후, 상이한 타겟부(C)가 빔(B)에 의해 조사될 수 있도록 기판 테이블(WT)이 x 및/또는 y 방향으로 시프트된다.
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "플래시"로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 패터닝 디바이스 테이블(MT)은 v의 속도로 주어진 방향(소위 "스캔 방향", 예를 들어 y 방향)으로 이동가능하여, 투영 빔(B)이 패터닝 디바이스 이미지에 걸쳐 스캐닝하도록 유도된다; 동시발생적으로, 기판 테이블(WT)은 속도 V = Mv로 동일한 방향 또는 그 반대 방향으로 동시에 이동되며, 여기서 M은 렌즈(PS)의 배율이다(통상적으로, M = 1/4 또는 1/5). 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
도 14는 소스 컬렉터 모듈(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함하여 상기 장치(1000)를 더 상세히 나타낸다. 소스 컬렉터 모듈(SO)은 소스 컬렉터 모듈(SO)의 포위 구조체(enclosing structure: 220) 내에 진공 환경이 유지될 수 있도록 구성되고 배치된다. EUV 방사선 방출 플라즈마(210)가 방전 생성 플라즈마 소스에 의해 형성될 수 있다. EUV 방사선은 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma: 210)가 생성되는 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의해 생성될 수 있다. 초고온 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 야기하는 전기적 방전에 의해 생성된다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의, 예를 들어 10 Pa의 분압(partial pressure)이 필요할 수 있다. 일 실시예에서, EUV 방사선을 생성하기 위해 여기된 주석(Sn)의 플라즈마가 제공된다.
초고온 플라즈마(210)에 의해 방출된 방사선은, 소스 챔버(source chamber: 211)의 개구부 내에 또는 그 뒤에 위치되는 선택적인 가스 방벽 또는 오염물 트랩(contaminant trap: 230)(몇몇 경우에는, 오염물 방벽 또는 포일 트랩이라고도 함)을 통해, 소스 챔버(211)로부터 컬렉터 챔버(collector chamber: 212) 내로 통과된다. 오염물 트랩(230)은 채널 구조체를 포함할 수 있다. 또한, 오염물 트랩(230)은 가스 방벽, 또는 가스 방벽과 채널 구조체의 조합을 포함할 수 있다. 본 명세서에서 더 나타내는 오염물 트랩 또는 오염물 방벽(230)은 적어도 당업계에 알려진 바와 같은 채널 구조체를 포함한다.
컬렉터 챔버(212)는 소위 스침 입사 컬렉터(grazing incidence collector)일 수 있는 방사선 컬렉터(CO)를 포함할 수 있다. 방사선 컬렉터(CO)는 방사선 컬렉터 상류측(upstream radiation collector side: 251) 및 방사선 컬렉터 하류측(downstream radiation collector side: 252)을 갖는다. 컬렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(grating spectral filter: 240)로부터 반사되어, 점선 'O'로 나타낸 광학 축선을 따라 가상 소스점(virtual source point: IF)에 포커스될 수 있다. 가상 소스점(IF)은 통상적으로 중간 포커스라고 칭해지며, 소스 컬렉터 모듈은 중간 포커스(IF)가 포위 구조체(220)에서의 개구부(221)에, 또는 그 부근에 위치되도록 배치된다. 가상 소스점(IF)은 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르며, 이는 패터닝 디바이스(MA)에서의 방사선 세기의 원하는 균일성뿐 아니라, 패터닝 디바이스(MA)에서의 방사선 빔(21)의 원하는 각도 분포를 제공하도록 배치되는 패싯 필드 거울 디바이스(facetted field mirror device: 22) 및 패싯 퓨필 거울 디바이스(facetted pupil mirror device: 24)를 포함할 수 있다. 지지 구조체(MT)에 의해 유지되어 있는 패터닝 디바이스(MA)에서의 방사선 빔(21)의 반사 시, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 투영 시스템(PS)에 의하여 반사 요소들(28, 30)을 통해 기판 테이블(WT)에 의해 유지되어 있는 기판(W) 상으로 이미징된다.
일반적으로, 나타낸 것보다 더 많은 요소가 조명 광학기 유닛(IL) 및 투영 시스템(PS) 내에 존재할 수 있다. 격자 스펙트럼 필터(240)는 리소그래피 장치의 타입에 따라 선택적으로 존재할 수 있다. 또한, 도면들에 나타낸 것보다 더 많은 거울이 존재할 수 있으며, 예를 들어 도 14에 나타낸 것보다 1 내지 6 개의 추가 반사 요소들이 투영 시스템(PS) 내에 존재할 수 있다.
도 14에 예시된 바와 같은 컬렉터 광학기(CO)가 단지 컬렉터(또는 컬렉터 거울)의 일 예시로서, 스침 입사 반사기들(253, 254 및 255)을 갖는 네스티드 컬렉터(nested collector)로서 도시된다. 스침 입사 반사기들(253, 254 및 255)은 광학 축선(O) 주위에 축대칭으로 배치되고, 이 타입의 컬렉터 광학기(CO)는 흔히 DPP 소스라고 하는 방전 생성 플라즈마 소스와 조합하여 사용될 수 있다.
대안적으로, 소스 컬렉터 모듈(SO)은 도 15에 나타낸 바와 같은 LPP 방사선 시스템의 일부분일 수 있다. 레이저(LA)가 크세논(Xe), 주석(Sn) 또는 리튬(Li)과 같은 연료에 레이저 에너지를 축적(deposit)하도록 배치되어, 수십 eV의 전자 온도를 갖는 고이온화 플라즈마(highly ionized plasma: 210)를 생성한다. 이 이온들의 탈-여기(de-excitation) 및 재조합 동안 발생되는 강렬한 방사선(energetic radiation)은 플라즈마로부터 방출되어, 근수직 입사 컬렉터 광학기(near normal incidence collector optic: CO)에 의해 수집되고, 포위 구조체(220)의 개구부(221) 상에 포커스된다.
본 실시예들은 다음 항목들을 이용하여 더 설명될 수 있다:
1. 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법으로서,
웨이퍼 층에 대한 시작 마스킹 층 프로파일을 결정하는 단계;
적어도 부분적으로 마스킹 층 프로파일에 기초하여:
마스킹 층 프로파일에 대한 로딩 정보를 결정하는 단계 -로딩 정보는 에칭되는 재료의 양 및 패턴에 대한, 마스킹 층 프로파일에 대한 에칭 속도의 의존성을 나타냄- ; 및/또는
마스킹 층 프로파일에 대한 플럭스 정보를 결정하는 단계 -플럭스 정보는 마스킹 층 프로파일에 입사하는 방사선의 확산 각도 및 세기에 대한, 마스킹 층 프로파일에 대한 에칭 속도의 의존성을 나타냄- ; 및/또는
마스킹 층 프로파일에 대한 재-증착 정보를 결정하는 단계 -재-증착 정보는 마스킹 층 프로파일에 다시 재-증착되는 마스킹 층 프로파일로부터 제거된 재료의 양에 대한, 마스킹 층 프로파일에 대한 에칭 속도의 의존성을 나타냄- ; 및
마스킹 층 프로파일에 대한 로딩 정보, 플럭스 정보, 및/또는 재-증착 정보에 기초하여 웨이퍼 층에 대한 출력 에칭 프로파일을 결정하는 단계를 포함하는 방법.
2. 1 항에 있어서, 오버레이 결정 동안 사용하기 위해 출력 에칭 프로파일에 기초하여 깊이 정보를 결정하는 단계를 더 포함하는 방법.
3. 2 항에 있어서, 로딩 정보를 결정하는 단계, 플럭스 정보를 결정하는 단계, 재-증착 정보를 결정하는 단계, 및/또는 출력 에칭 프로파일을 결정하는 단계는 깊이 정보가 물리적 웨이퍼의 대응하는 층의 이미지의 깊이들에 대응할 때까지 반복적으로 수행되는 방법.
4. 3 항에 있어서, 깊이 정보에 기초한 웨이퍼 층의 전자적 묘사를 생성하여, 전자적 묘사와 물리적 웨이퍼의 대응하는 층의 이미지 사이의 시각적 비교를 용이하게 하는 단계를 더 포함하는 방법.
5. 3 항에 있어서, 깊이 정보에 기초한 웨이퍼 층의 전자적 묘사를 생성하여, 물리적 웨이퍼의 대응하는 층의 이미지로부터 얻어지는 치수들과의 비교를 위한 전자적 묘사의 치수 측정을 용이하게 하는 단계를 더 포함하는 방법.
6. 1 항에 있어서, 물리적 및/또는 시뮬레이션된 웨이퍼의 대응하는 층에 대한 메트롤로지 시스템으로부터의 정보에 기초하여 상기 방법의 작동 파라미터들을 캘리브레이션하는 단계를 더 포함하는 방법.
7. 6 항에 있어서, 시뮬레이션된 웨이퍼의 대응하는 층에 대한 메트롤로지 시스템으로부터의 정보에 기초하여 상기 방법의 작동 파라미터들을 캘리브레이션하는 단계를 포함하고, 캘리브레이션은 시뮬레이션된 웨이퍼의 웨이퍼 시뮬레이션 파라미터들을 조정하는 것을 더 포함하는 방법.
8. 1 항 내지 7 항 중 어느 하나에 있어서, 마스킹 층 프로파일을 결정하는 단계, 로딩 정보를 결정하는 단계, 플럭스 정보를 결정하는 단계, 재-증착 정보를 결정하는 단계, 및/또는 출력 에칭 프로파일을 결정하는 단계는 웨이퍼 층의 관심 영역에 대해 수행되는 방법.
9. 1 항 내지 8 항 중 어느 하나에 있어서, 웨이퍼 층에 대한 마스킹 층 프로파일은 패터닝 후 프로파일을 포함하는 방법.
10. 1 항 내지 9 항 중 어느 하나에 있어서, 웨이퍼 층에 대한 마스킹 층 프로파일은 현상 후 검사(ADI) 치수들을 포함하는 방법.
11. 1 항 내지 10 항 중 어느 하나에 있어서, 웨이퍼 층에 대한 마스킹 층 프로파일을 결정하는 단계는 마스킹 층 프로파일의 치수들을 얻는 단계, 디자인하는 단계, 또는 측정하는 단계 중 1 이상을 포함하는 방법.
12. 1 항 내지 11 항 중 어느 하나에 있어서, 트림 레시피에 따라 마스킹 층 프로파일에 대한 트림 작업을 시뮬레이션하는 단계를 더 포함하고, 마스킹 층 프로파일에 대한 트림 작업을 시뮬레이션하는 단계는 마스킹 층 프로파일의 치수들을 수정하는 단계를 포함하는 방법.
13. 12 항에 있어서, 마스킹 층 프로파일에 대한 트림 작업을 시뮬레이션하는 단계는 마스크 임계 치수(CD) 오프셋을 조정하는 단계를 포함하는 방법.
14. 1 항 내지 13 항 중 어느 하나에 있어서, 마스킹 층 프로파일에 대한 로딩 정보를 결정하는 단계는 에칭 속도 상수, 단거리 로딩 인자, 또는 장거리 로딩 인자 중 1 이상을 조정하는 단계를 포함하는 방법.
15. 1 항 내지 14 항 중 어느 하나에 있어서, 마스킹 층 프로파일에 대한 플럭스 정보를 결정하는 단계는 입사 방사선의 이온 세기, 중성자 세기, 또는 확산 각도 중 1 이상을 조정하는 단계를 포함하는 방법.
16. 1 항 내지 15 항 중 어느 하나에 있어서, 마스킹 층 프로파일에 대한 재-증착 정보를 결정하는 단계는 마스킹 층 프로파일에 대한 재-증착 속도를 조정하는 단계를 포함하는 방법.
17. 1 항 내지 16 항 중 어느 하나에 있어서,
로딩 정보를 결정하는 단계는 개별 위치들에서 에칭되는 재료의 양 및 패턴에 기초한, 마스킹 층 프로파일에 걸친 개별 위치들에서의 에칭 속도의 의존성을 결정하는 단계를 포함하고;
플럭스 정보를 결정하는 단계는 개별 위치들에서 마스킹 층 프로파일에 입사하는 방사선의 세기 및 확산 각도에 기초한, 마스킹 층 프로파일에 걸친 개별 위치들에서의 에칭 속도의 의존성을 결정하는 단계를 포함하며;
재-증착 정보를 결정하는 단계는 개별 위치들에서 마스킹 층 프로파일에 다시 재-증착되는 개별 위치들에서의 마스킹 층 프로파일로부터 제거된 재료의 양에 기초한, 마스킹 층 프로파일에 걸친 개별 위치들에서의 에칭 속도의 의존성을 결정하는 단계를 포함하는 방법.
18. 17 항에 있어서, 마스킹 층 프로파일에 걸친 개별 위치들에서 에칭 속도의 의존성을 결정하는 단계는 개별 위치들에 대한 개별 로딩 인자들을 결정하는 단계를 포함하는 방법.
19. 18 항에 있어서, 개별 로딩 인자는 개별 위치에서의 마스크 패턴의 불 함수에 기초하여 결정되는 방법.
20. 17 항에 있어서, 플럭스 정보는 개별 위치들에 대응하는 1 이상의 바이어스 상수, 1 이상의 피팅 상수, 단거리 로딩 인자, 및 장거리 로딩 인자에 기초하여 결정되는 방법.
21. 17 항에 있어서, 재-증착 정보는 개별 위치들에 대응하는 1 이상의 바이어스 상수, 장거리 피팅 인자, 및 장거리 로딩 인자에 기초하여 결정되는 방법.
22. 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품으로서,
명령어들은 컴퓨터에 의해 실행될 때 1 항 내지 21 항 중 어느 하나의 방법을 구현하는 컴퓨터 프로그램 제품.
23. 메트롤로지 타겟 디자인을 생성하기 위한 에칭 모델 적용 방법으로서,
에칭 모델에 기초하여 생성되는 물리적 웨이퍼의 층으로부터의 실험적 단면 프로파일 정보를 사용하여 에칭 모델을 캘리브레이션하는 단계;
캘리브레이션된 에칭 모델에 기초하여 모델링된 웨이퍼의 층의 에칭 깊이 프로파일을 예측하는 단계; 및
RCWA(rigorous coupled-wave analysis)에서 예측된 에칭 깊이 프로파일을 사용하여 메트롤로지 타겟 디자인을 향상시키는 단계를 포함하는 방법.
24. 23 항에 있어서, 캘리브레이션된 에칭 모델에 기초하여 모델링된 웨이퍼의 층의 에칭 깊이 프로파일을 예측하는 단계는:
(ⅰ) 웨이퍼 층에 대한 시작 레지스트 프로파일 및 (ⅱ) 레지스트 트림 에칭 레시피를 결정하는 단계;
레지스트 트림 에칭 레시피에 따라 시작 레지스트 프로파일에 대한 트림 작업을 시뮬레이션함으로써 트리밍된 레지스트 프로파일을 결정하는 단계;
적어도 부분적으로 트리밍된 레지스트 프로파일에 기초하여:
트리밍된 레지스트 프로파일에 대한 로딩 정보를 결정하는 단계 -로딩 정보는 에칭되는 재료의 양 및 패턴에 대한, 트리밍된 레지스트 프로파일에 대한 에칭 속도의 의존성을 나타냄- ; 및/또는
트리밍된 레지스트 프로파일에 대한 플럭스 정보를 결정하는 단계 -플럭스 정보는 트리밍된 레지스트 프로파일에 입사하는 방사선의 확산 각도 및 세기에 대한, 트리밍된 레지스트 프로파일에 대한 에칭 속도의 의존성을 나타냄- ; 및/또는
트리밍된 레지스트 프로파일에 대한 재-증착 정보를 결정하는 단계 -재-증착 정보는 트리밍된 레지스트 프로파일에 다시 재-증착되는 트리밍된 레지스트 프로파일로부터 제거된 재료의 양에 대한, 트리밍된 레지스트 프로파일에 대한 에칭 속도의 의존성을 나타냄- ; 및
트리밍된 레지스트 프로파일에 대한 로딩 정보, 플럭스 정보, 및/또는 재-증착 정보에 기초하여 웨이퍼 층에 대한 출력 에칭 깊이 프로파일을 결정하는 단계를 포함하는 방법.
25. 23 항 또는 24 항에 있어서, 메트롤로지 타겟 디자인을 향상시키는 단계는 메트롤로지 타겟 디자인의 1 이상의 치수 및/또는 패턴을 조정하는 단계를 포함하는 방법.
26. 23 항 내지 25 항 중 어느 하나에 있어서, 실험적 단면 프로파일 정보는 물리적 웨이퍼의 층의 전자적 이미지와 모델을 사용하여 생성된 층의 대응하는 전자적 묘사 사이의 시각적 또는 치수 비교들을 포함하는 방법.
27. 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품으로서,
명령어들은 컴퓨터에 의해 실행될 때 23 항 내지 26 항 중 어느 하나의 방법을 구현하는 컴퓨터 프로그램 제품.
28. 광 근접 보정 적용을 위해 2 차원 에칭 모델을 캘리브레이션하기 위한 에칭 모델 적용 방법으로서,
대응하는 에칭 프로세스에 기초하여 생성되는 시뮬레이션된 웨이퍼의 층으로부터의 단면 프로파일, 스캐닝 전자 현미경, 및 스케터로메트리 측정들의 여하한의 조합을 사용하여 에칭 모델을 캘리브레이션하는 단계;
캘리브레이션된 에칭 모델에 기초하여 모델링된 웨이퍼의 층에서 복수의 패턴들의 에칭 편향들을 예측하는 단계; 및
예측된 에칭 편향들을 사용하여 포토마스크를 보정하거나, 에칭-후 공정 윈도우를 검증하거나, 또는 리소그래피 소스 및 포토마스크를 동시-최적화하는 단계를 포함하는 방법.
29. 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품으로서,
명령어들은 컴퓨터에 의해 실행될 때 28 항의 방법을 구현하는 컴퓨터 프로그램 제품.
30. 웨이퍼 검사 또는 패터닝 제어 작업들을 위한 입력으로서 상호-웨이퍼 에칭 핑거프린트를 예측하기 위해 에칭 모델을 캘리브레이션하기 위한 에칭 모델 적용 방법으로서,
에칭 프로세스에 기초하여 생성되는 시뮬레이션된 웨이퍼의 층으로부터의 상호-웨이퍼 단면 프로파일, 스캐닝 전자 현미경, 및 스케터로메트리 측정들의 여하한의 조합을 사용하여, 대응하는 에칭 프로세스의 상호 웨이퍼 변동들을 설명하는 파라미터들을 갖는 에칭 모델을 캘리브레이션하는 단계;
캘리브레이션된 에칭 모델에 기초하여 모델링된 웨이퍼의 층의 복수의 패턴들의 에칭 편향을 예측하는 단계; 및
검사 시스템의 결함 예측을 위한, 또는 웨이퍼에 걸친 패터닝 성능을 개선하기 위한 패턴 충실도 제어 시스템에 대한 입력으로서 예측된 에칭 편향을 사용하는 단계를 포함하는 방법.
31. 명령어들이 기록되어 있는 비-일시적 컴퓨터 판독가능한 매체를 포함한 컴퓨터 프로그램 제품으로서,
명령어들은 컴퓨터에 의해 실행될 때 30 항의 방법을 구현하는 컴퓨터 프로그램 제품.
본 명세서에 개시된 개념들은 서브 파장 피처들을 이미징하는 여하한의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있으며, 특히 점점 더 짧은 파장들을 생성할 수 있는 신흥 이미징 기술들로 유용할 수 있다. 이미 사용중인 신흥 기술들로는 ArF 레이저를 사용하여 193 nm의 파장을 생성하고, 심지어 플루오린 레이저를 사용하여 157 nm의 파장도 생성할 수 있는 EUV(극자외), DUV 리소그래피를 포함한다. 또한, EUV 리소그래피가 이 범위 내의 광자들을 생성하기 위해 고에너지 전자로 재료(고체 또는 플라즈마)를 가격(hit)하거나, 싱크로트론(synchrotron)을 이용함으로써 20 내지 5 nm 범위 내의 파장들을 생성할 수 있다.
본 명세서에 개시된 개념들은 실리콘 웨이퍼와 같은 기판 상에 이미징하기 위해 사용될 수 있지만, 개시된 개념들은 여하한 타입의 리소그래피 이미징 시스템들, 예를 들어 실리콘 웨이퍼들 이외의 기판들 상에 이미징하는 데 사용되는 것들로 사용될 수도 있다는 것을 이해하여야 한다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 바와 같이 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (15)

  1. 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램으로서, 프로세서에 의해 실행될 때, 상기 프로세서가,
    대응하는 에칭 프로세스에 기초하여 생성되는 물리적 웨이퍼의 층으로부터의 실험적 단면 프로파일 정보를 사용하여 에칭 모델을 캘리브레이션하는 단계;
    캘리브레이션된 에칭 모델에 기초하여 모델링된 웨이퍼의 층의 에칭 깊이 프로파일을 예측하는 단계; 및
    예측된 에칭 깊이 프로파일을 사용하여 메트롤로지 타겟 디자인을 생성 또는 향상시키는 단계
    를 포함하는 방법을 수행하게 하는 명령어들을 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
  2. 제 1 항에 있어서,
    캘리브레이션된 에칭 모델에 기초하여 모델링된 웨이퍼의 층의 에칭 깊이 프로파일을 예측하는 단계는:
    (ⅰ) 웨이퍼 층에 대한 시작 레지스트 프로파일 및 (ⅱ) 레지스트 트림 에칭 레시피를 결정하는 단계;
    레지스트 트림 에칭 레시피에 따라 시작 레지스트 프로파일에 대한 트림 작업을 시뮬레이션함으로써 트리밍된 레지스트 프로파일을 결정하는 단계; 및
    트리밍된 레지스트 프로파일에 기초하여 웨이퍼 층에 대한 출력 에칭 깊이 프로파일을 결정하는 단계
    를 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
  3. 제 2 항에 있어서,
    적어도 부분적으로 트리밍된 레지스트 프로파일에 기초하여:
    트리밍된 레지스트 프로파일에 대한 로딩 정보를 결정하는 단계 - 로딩 정보는 에칭되는 재료의 양 및 패턴에 대한, 트리밍된 레지스트 프로파일에 대한 에칭 속도의 의존성을 나타냄 - ; 및/또는
    트리밍된 레지스트 프로파일에 대한 플럭스 정보를 결정하는 단계 - 플럭스 정보는 트리밍된 레지스트 프로파일에 입사하는 방사선의 확산 각도 및 세기에 대한, 트리밍된 레지스트 프로파일에 대한 에칭 속도의 의존성을 나타냄 - ; 및/또는
    트리밍된 레지스트 프로파일에 대한 재-증착 정보를 결정하는 단계 - 재-증착 정보는 트리밍된 레지스트 프로파일에 다시 재-증착되는 트리밍된 레지스트 프로파일로부터 제거된 재료의 양에 대한, 트리밍된 레지스트 프로파일에 대한 에칭 속도의 의존성을 나타냄 - ;
    를 더 포함하고, 트리밍된 레지스트 프로파일에 기초하여 웨이퍼 층에 대한 출력 에칭 깊이 프로파일을 결정하는 단계는 트리밍된 레지스트 프로파일에 대한 로딩 정보, 플럭스 정보, 및/또는 재-증착 정보에 기초하여 웨이퍼 층에 대한 출력 에칭 깊이 프로파일을 결정하는 단계를 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
  4. 제 1 항에 있어서,
    메트롤로지 타겟 디자인을 향상시키는 단계는 메트롤로지 타겟 디자인의 1 이상의 치수 및/또는 패턴을 조정하는 단계를 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
  5. 제 1 항에 있어서,
    실험적 단면 프로파일 정보는 물리적 웨이퍼의 층의 전자적 이미지와 모델을 사용하여 생성된 층의 대응하는 전자적 묘사 사이의 시각적 또는 치수 비교들을 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
  6. 제 1 항에 있어서,
    예측된 에칭 깊이 프로파일을 사용하는 것은 RCWA(rigorous coupled-wave analysis)에서 예측된 에칭 깊이 프로파일을 사용하여 메트롤로지 타겟 디자인을 생성 또는 향상시키는 단계를 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
  7. 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램으로서, 프로세서에 의해 실행될 때, 상기 프로세서가,
    대응하는 에칭 프로세스에 기초하여 생성되는 시뮬레이션된 웨이퍼의 층으로부터의 단면 프로파일, 스캐닝 전자 현미경, 및 스케터로메트리 측정들의 여하한의 조합을 사용하여 광 근접 보정 적용을 위해 에칭 모델을 캘리브레이션하는 단계;
    캘리브레이션된 에칭 모델에 기초하여 모델링된 웨이퍼의 층의 에칭 파라미터를 예측하는 단계; 및
    예측된 에칭 파라미터를 사용하여 포토마스크를 보정하거나, 에칭-후 공정 윈도우를 검증하거나, 또는 리소그래피 소스 및 포토마스크를 동시-최적화하는 단계
    를 포함하는 방법을 수행하게 하는 명령어들을 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
  8. 제 7 항에 있어서,
    단면 프로파일, 스캐닝 전자 현미경, 및 스케터로메트리 측정들의 조합은 오버레이 정보 및 정렬 정보를 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
  9. 제 7 항에 있어서,
    에칭 파라미터는 웨이퍼의 층의 복수의 패턴들의 에칭 편향을 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
  10. 제 7 항에 있어서,
    에칭 파라미터는 웨이퍼의 층의 에칭 깊이 프로파일을 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
  11. 제 10 항에 있어서,
    캘리브레이션된 에칭 모델에 기초하여 모델링된 웨이퍼의 층의 에칭 깊이 프로파일을 예측하는 단계는:
    (ⅰ) 웨이퍼 층에 대한 시작 레지스트 프로파일 및 (ⅱ) 레지스트 트림 에칭 레시피를 결정하는 단계;
    레지스트 트림 에칭 레시피에 따라 시작 레지스트 프로파일에 대한 트림 작업을 시뮬레이션함으로써 트리밍된 레지스트 프로파일을 결정하는 단계; 및
    트리밍된 레지스트 프로파일에 기초하여 웨이퍼 층에 대한 출력 에칭 깊이 프로파일을 결정하는 단계
    를 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
  12. 제 11 항에 있어서,
    적어도 부분적으로 트리밍된 레지스트 프로파일에 기초하여:
    트리밍된 레지스트 프로파일에 대한 로딩 정보를 결정하는 단계 - 로딩 정보는 에칭되는 재료의 양 및 패턴에 대한, 트리밍된 레지스트 프로파일에 대한 에칭 속도의 의존성을 나타냄 - ; 및/또는
    트리밍된 레지스트 프로파일에 대한 플럭스 정보를 결정하는 단계 - 플럭스 정보는 트리밍된 레지스트 프로파일에 입사하는 방사선의 확산 각도 및 세기에 대한, 트리밍된 레지스트 프로파일에 대한 에칭 속도의 의존성을 나타냄 - ; 및/또는
    트리밍된 레지스트 프로파일에 대한 재-증착 정보를 결정하는 단계 - 재-증착 정보는 트리밍된 레지스트 프로파일에 다시 재-증착되는 트리밍된 레지스트 프로파일로부터 제거된 재료의 양에 대한, 트리밍된 레지스트 프로파일에 대한 에칭 속도의 의존성을 나타냄 - ;
    를 더 포함하고, 트리밍된 레지스트 프로파일에 기초하여 웨이퍼 층에 대한 출력 에칭 깊이 프로파일을 결정하는 단계는 트리밍된 레지스트 프로파일에 대한 로딩 정보, 플럭스 정보, 및/또는 재-증착 정보에 기초하여 웨이퍼 층에 대한 출력 에칭 깊이 프로파일을 결정하는 단계를 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
  13. 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램으로서, 프로세서에 의해 실행될 때, 상기 프로세서가,
    웨이퍼 검사 또는 패터닝 제어 작업들을 위한 입력으로서 상호-웨이퍼 에칭 핑거프린트를 예측하기 위해, 에칭 프로세스에 기초하여 생성되는 웨이퍼의 층으로부터의 상호-웨이퍼 단면 프로파일, 스캐닝 전자 현미경, 및 스케터로메트리 측정들의 여하한의 조합을 사용하여, 대응하는 에칭 프로세스의 상호 웨이퍼 변동들을 설명하는 파라미터들을 갖는 에칭 모델을 캘리브레이션하는 단계;
    캘리브레이션된 에칭 모델에 기초하여 모델링된 웨이퍼의 층의 에칭 파라미터를 예측하는 단계; 및
    검사 시스템의 결함 예측을 위한, 또는 웨이퍼에 걸친 패터닝 성능을 개선하기 위한 패턴 충실도 제어 시스템에 대한 입력으로서 예측된 에칭 파라미터를 사용하는 단계
    를 포함하는 방법을 수행하게 하는 명령어들을 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
  14. 제 13 항에 있어서,
    단면 프로파일, 스캐닝 전자 현미경, 및 스케터로메트리 측정들의 조합은 오버레이 정보 및 정렬 정보를 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
  15. 제 13 항에 있어서,
    에칭 파라미터는 웨이퍼의 층의 에칭 깊이 프로파일을 포함하고, 캘리브레이션된 에칭 모델에 기초하여 모델링된 웨이퍼의 층의 에칭 깊이 프로파일을 예측하는 단계는:
    (ⅰ) 웨이퍼 층에 대한 시작 레지스트 프로파일 및 (ⅱ) 레지스트 트림 에칭 레시피를 결정하는 단계;
    레지스트 트림 에칭 레시피에 따라 시작 레지스트 프로파일에 대한 트림 작업을 시뮬레이션함으로써 트리밍된 레지스트 프로파일을 결정하는 단계; 및
    트리밍된 레지스트 프로파일에 기초하여 웨이퍼 층에 대한 출력 에칭 깊이 프로파일을 결정하는 단계
    를 포함하는, 비-일시적 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램.
KR1020237021759A 2018-07-26 2019-07-19 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을결정하는 방법 KR20230098730A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862703529P 2018-07-26 2018-07-26
US62/703,529 2018-07-26
PCT/EP2019/069460 WO2020020759A1 (en) 2018-07-26 2019-07-19 Method for determining an etch profile of a layer of a wafer for a simulation system
KR1020217002624A KR102550326B1 (ko) 2018-07-26 2019-07-19 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217002624A Division KR102550326B1 (ko) 2018-07-26 2019-07-19 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법

Publications (1)

Publication Number Publication Date
KR20230098730A true KR20230098730A (ko) 2023-07-04

Family

ID=67551498

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217002624A KR102550326B1 (ko) 2018-07-26 2019-07-19 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법
KR1020237021759A KR20230098730A (ko) 2018-07-26 2019-07-19 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을결정하는 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217002624A KR102550326B1 (ko) 2018-07-26 2019-07-19 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법

Country Status (5)

Country Link
US (2) US11568123B2 (ko)
KR (2) KR102550326B1 (ko)
CN (1) CN112543892A (ko)
TW (2) TWI797362B (ko)
WO (1) WO2020020759A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US11624981B2 (en) * 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
WO2019200015A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Optical metrology in machine learning to characterize features
WO2020020759A1 (en) 2018-07-26 2020-01-30 Asml Netherlands B.V. Method for determining an etch profile of a layer of a wafer for a simulation system
US11301613B2 (en) * 2019-12-23 2022-04-12 Coventor, Inc. Systems and methods for performing depth-dependent oxidation modeling and depth-dependent etch modeling in a virtual fabrication environment
US20220100101A1 (en) * 2020-09-28 2022-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Protective ring structure for vacuum interface and method of using the same
EP4016186A1 (en) * 2020-12-18 2022-06-22 ASML Netherlands B.V. Metrology method for measuring an etched trench and associated metrology apparatus
WO2023203025A1 (en) * 2022-04-20 2023-10-26 Raja Shyamprasad Natarajan Method and system for detecting and/or quantifying manufacturing inaccuracies
EP4350440A1 (en) * 2022-10-06 2024-04-10 ASML Netherlands B.V. Methods and system for determining aberrations of a projection system
CN116051550B (zh) * 2023-03-29 2023-07-04 长鑫存储技术有限公司 图案检测方法及图案检测***
CN116402007B (zh) * 2023-06-08 2023-09-01 牛芯半导体(深圳)有限公司 版图移植方法、装置、终端以及介质
CN117148664B (zh) * 2023-10-25 2024-01-30 华芯程(杭州)科技有限公司 一种层叠桥接模拟方法、装置、设备及介质

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5421934A (en) * 1993-03-26 1995-06-06 Matsushita Electric Industrial Co., Ltd. Dry-etching process simulator
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
JP4491278B2 (ja) 2004-05-25 2010-06-30 富士通株式会社 形状シミュレーション装置、形状シミュレーション方法および形状シミュレーションプログラム
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US7849423B1 (en) 2006-07-21 2010-12-07 Cadence Design Systems, Inc. Method of verifying photomask data based on models of etch and lithography processes
US7776748B2 (en) * 2006-09-29 2010-08-17 Tokyo Electron Limited Selective-redeposition structures for calibrating a plasma process
US7962866B2 (en) * 2006-12-29 2011-06-14 Cadence Design Systems, Inc. Method, system, and computer program product for determining three-dimensional feature characteristics in electronic designs
JP5322413B2 (ja) * 2007-08-16 2013-10-23 株式会社東芝 シミュレーション方法およびシミュレーションプログラム
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
US7967995B2 (en) 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8019458B2 (en) * 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻***以及光刻处理单元
JP5440021B2 (ja) 2009-08-24 2014-03-12 ソニー株式会社 形状シミュレーション装置、形状シミュレーションプログラム、半導体製造装置及び半導体装置の製造方法
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
CN103020349B (zh) 2012-12-08 2015-05-06 清华大学 一种等离子体刻蚀工艺中刻蚀产额的建模方法
US10242142B2 (en) 2013-03-14 2019-03-26 Coventor, Inc. Predictive 3-D virtual fabrication system and method
US8959464B2 (en) 2013-03-14 2015-02-17 Coventor, Inc. Multi-etch process using material-specific behavioral parameters in 3-D virtual fabrication environment
CN103440361B (zh) 2013-07-19 2016-02-24 清华大学 一种等离子体刻蚀工艺中刻蚀产额的建模方法
US9659126B2 (en) * 2014-01-26 2017-05-23 Coventor, Inc. Modeling pattern dependent effects for a 3-D virtual semiconductor fabrication environment
SG11201609566VA (en) * 2014-06-02 2016-12-29 Asml Netherlands Bv Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method
US9978934B2 (en) * 2015-10-30 2018-05-22 Veeco Instruments Inc. Ion beam etching of STT-RAM structures
US10599789B2 (en) 2015-11-25 2020-03-24 Synopsys, Inc. Topography simulation of etching and/or deposition on a physical structure
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US20170178899A1 (en) * 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
EP3318927A1 (en) * 2016-11-04 2018-05-09 ASML Netherlands B.V. Method and apparatus for measuring a parameter of a lithographic process, computer program products for implementing such methods & apparatus
US20190049937A1 (en) 2017-08-09 2019-02-14 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
WO2020020759A1 (en) 2018-07-26 2020-01-30 Asml Netherlands B.V. Method for determining an etch profile of a layer of a wafer for a simulation system
US10969697B1 (en) * 2019-10-18 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Overlay metrology tool and methods of performing overlay measurements

Also Published As

Publication number Publication date
TW202328633A (zh) 2023-07-16
WO2020020759A1 (en) 2020-01-30
KR20210024621A (ko) 2021-03-05
US20210150116A1 (en) 2021-05-20
KR102550326B1 (ko) 2023-07-04
TWI797362B (zh) 2023-04-01
US11568123B2 (en) 2023-01-31
TW202010995A (zh) 2020-03-16
CN112543892A (zh) 2021-03-23
US20230144584A1 (en) 2023-05-11

Similar Documents

Publication Publication Date Title
KR102550326B1 (ko) 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법
TWI765277B (zh) 用於在半導體製造程序中應用沉積模型之方法
US11586114B2 (en) Wavefront optimization for tuning scanner based on performance matching
US20230244151A1 (en) Method for adjusting a target feature in a model of a patterning process based on local electric fields
TWI623822B (zh) 用以檢測基板的方法及電腦程式
KR20190008321A (ko) 관통-파장 유사성에 기초한 계측 견실성 향상 기술
KR102580667B1 (ko) 기판의 스택 구성을 결정하는 방법
US20220404711A1 (en) Process monitoring and tuning using prediction models
TWI836599B (zh) 判定來自統計獨立源之度量衡貢獻值之方法、判定微影程序之感興趣參數之方法及其相關聯電腦程式與非暫時性電腦程式載體
KR102685425B1 (ko) 패터닝 공정에서의 웨이퍼 거동을 결정하기 위해 이미지 패턴들을 그룹화하는 장치 및 방법
TWI844923B (zh) 使用帶電粒子檢測系統之圖案化參數判定
TW202326293A (zh) 圖案化器件缺陷偵測系統及方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal