CN105845551B - 衬底处理***中用作硬掩模的无定形碳和硅膜的金属掺杂 - Google Patents

衬底处理***中用作硬掩模的无定形碳和硅膜的金属掺杂 Download PDF

Info

Publication number
CN105845551B
CN105845551B CN201610078060.4A CN201610078060A CN105845551B CN 105845551 B CN105845551 B CN 105845551B CN 201610078060 A CN201610078060 A CN 201610078060A CN 105845551 B CN105845551 B CN 105845551B
Authority
CN
China
Prior art keywords
precursor gas
metal
process chamber
substrate
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610078060.4A
Other languages
English (en)
Other versions
CN105845551A (zh
Inventor
法亚兹·谢赫
西丽斯·雷迪
爱丽丝·霍利斯特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105845551A publication Critical patent/CN105845551A/zh
Application granted granted Critical
Publication of CN105845551B publication Critical patent/CN105845551B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

本发明涉及衬底处理***中用作硬掩模的无定形碳和硅膜的金属掺杂。用于沉积金属掺杂的无定形碳硬掩模膜或金属掺杂的无定形硅硬掩模膜的***和方法包括:将衬底布置在处理室中;供给载气至所述处理室;供给烃前体气体或硅前体气体至所述处理室;供给基于金属的前体气体至所述处理室;使等离子体在所述处理室产生或供给等离子体到所述处理室中的一者;以及分别在所述衬底上沉积金属掺杂的无定形碳硬掩模膜或金属掺杂的无定形硅硬掩模膜。

Description

衬底处理***中用作硬掩模的无定形碳和硅膜的金属掺杂
技术领域
本发明涉及衬底处理***和方法,并且更具体地涉及在衬底上沉积无定形碳硬掩模和无定形硅硬掩模的***和方法。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分中描述的程度上的当前指定的发明人的工作,以及在提交申请时可能无法以其他方式有资格作为现有技术的说明书的各方面,既不明确也不暗示地承认是针对本公开的现有技术。
用于执行沉积和/或蚀刻的衬底处理***包括带有基座的处理室。例如半导体晶片之类的衬底可以被布置在基座上。例如在化学气相沉积(CVD)工艺中,包括一种或多种前体的气体混合物可被引入到处理室中以在衬底上沉积膜或蚀刻衬底。在一些衬底处理***中,等离子体可被用于激活化学反应,并在此被称为等离子体增强CVD(PECVD)。
无定形碳和硅膜可以用作硬掩模,以在衬底处理过程中蚀刻高深宽比特征。例如,在3D存储器应用中,硬掩模膜应是高蚀刻选择性的。其结果是,硬掩模膜应具有较高的模量、更致密以及更抗蚀刻化学品的粘接基质。在能够于打开工艺期间除去硬掩模膜和具有对电介质蚀刻工艺是高选择性的硬掩模膜之间取得平衡。
发明内容
一种用于沉积金属掺杂的无定形碳硬掩模膜的方法包括:将衬底布置在处理室中;供给载气至所述处理室;供给烃前体气体至所述处理室;供给基于金属的(metal-based)前体气体至所述处理室;使等离子体在所述处理室产生或供给等离子体到所述处理室中的一者;以及在所述衬底上沉积金属掺杂的无定形碳硬掩模膜。
在其它特征中,所述处理室包括等离子体增强化学气相沉积(PECVD)处理室。所述基于金属的前体气体包括金属卤化物前体气体。所述金属卤化物前体气体是选自由WFa、TiClb、WClc、HfCld和TaCle组成的群组,其中a、b、c、d和e为大于或等于1的整数。所述基于金属的前体气体包括四(二甲氨基)钛(TDMAT)前体气体。所述基于金属的前体气体包括双(叔丁基亚氨)-双-(二甲氨基)钨(BTBMW)前体气体。所述载气选自由氢分子(H2)、氩(Ar)、氮分子(N2)、氦(He)和/或它们的组合组成的群组。所述烃前体气体包括CxHy,其中x为2至10的整数,y为2至24的整数。所述烃前体气体选自由甲烷、乙炔、乙烯、丙烯、丁烷、环己烷、苯和甲苯组成的群组。所述基于金属的前体气体包括六氟化钨,所述烃前体气体包含甲烷,并且所述载气包括氢分子。
一种用于沉积金属掺杂的无定形硅硬掩模膜的方法包括:将衬底布置在处理室中;供给载气至所述处理室;供给硅前体气体至所述处理室;供给基于金属的前体气体至所述处理室;使等离子体在所述处理室产生或供给等离子体到所述处理室中的一者;以及在所述衬底上沉积金属掺杂的无定形硅硬掩模膜。
在其它特征中,所述处理室包括等离子体增强化学气相沉积(PECVD)处理室。所述基于金属的前体气体包括金属卤化物前体气体。所述金属卤化物前体气体是选自由WFa、TiClb、WClc、HfCld和TaCle组成的群组,其中a、b、c、d和e为大于或等于1的整数。所述基于金属的前体气体包括四(二甲氨基)钛(TDMAT)前体气体。所述基于金属的前体气体包括双(叔丁基亚氨)-双-(二甲氨基)钨(BTBMW)前体气体。所述载气选自由氢分子(H2)、氩(Ar)、氮分子(N2)、氦(He)和/或它们的组合组成的群组。所述硅前体气体选自由硅烷和原硅酸四乙酯组成的群组。
一种用于沉积金属掺杂的无定形碳硬掩模膜的衬底处理***包括:处理室,其包括被配置以支撑衬底的衬底支撑件。气体供给***被配置成选择性地供应处理气体至处理室。等离子体产生器被配置成选择性地供给所述处理室中的等离子体。控制器被配置成控制所述气体供给***和所述等离子体产生器并且被配置成:供给载气至所述处理室;供给烃前体气体至所述处理室;供给基于金属的前体气体至所述处理室;供给所述处理室中的等离子体;以及在所述衬底上沉积金属掺杂的无定形碳硬掩模膜。
在其它特征中,所述处理室包括等离子体增强化学气相沉积(PECVD)处理室。所述基于金属的前体气体包括金属卤化物前体气体。所述金属卤化物前体气体是选自由WFa、TiClb、WClc、HfCld和TaCle组成的群组,其中a、b、c、d和e为大于或等于1的整数。所述基于金属的前体气体包括四(二甲氨基)钛(TDMAT)前体气体。所述基于金属的前体气体包括双(叔丁基亚氨)-双-(二甲氨基)钨(BTBMW)前体气体。所述载气选自由氢分子(H2)、氩(Ar)、氮分子(N2)、氦(He)和/或它们的组合组成的群组。所述烃前体气体包括CxHy,其中x为2至10的整数,y为2至24的整数。所述烃前体气体选自由甲烷、乙炔、乙烯、丙烯、丁烷、环己烷、苯和甲苯组成的群组。所述基于金属的前体气体包括六氟化钨,所述烃前体气体包含甲烷,并且所述载气包括氢分子。
一种用于沉积金属掺杂的无定形硅硬掩模膜的衬底处理***包括:处理室,其包括被配置以支撑衬底的衬底支撑件;被配置成选择性地供应处理气体至处理室的气体供给***;被配置选择性地供给所述处理室中的等离子体的等离子体产生器;控制器,其被配置成控制所述气体供给***和所述等离子体产生器并且被配置成:供给载气至所述处理室;供给硅前体气体至所述处理室;供给基于金属的前体气体至所述处理室;供给所述处理室中的等离子体;以及在所述衬底上沉积金属掺杂的无定形硅硬掩模膜。
在其它特征中,所述处理室包括等离子体增强化学气相沉积(PECVD)处理室。所述基于金属的前体气体包括金属卤化物前体气体。所述金属卤化物前体气体是选自由WFa、TiClb、WClc、HfCld和TaCle组成的群组,其中a、b、c、d和e为大于或等于1的整数。所述基于金属的前体气体包括四(二甲氨基)钛(TDMAT)前体气体。所述基于金属的前体气体包括双(叔丁基亚氨)-双-(二甲氨基)钨(BTBMW)前体气体。所述载气选自由氢分子(H2)、氩(Ar)、氮分子(N2)、氦(He)和/或它们的组合组成的群组。所述硅前体气体选自由硅烷和原硅酸四乙酯组成的群组。
从详细描述、权利要求和附图中本公开内容的适用性的进一步范围将变得显而易见。详细描述和具体实施例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图,本发明将被更充分地理解,其中:
图1是根据本发明图解用于沉积金属掺杂的无定形碳或硅硬掩模的衬底处理室的一实施例的功能性框图;
图2是根据本发明图解用于沉积金属掺杂的无定形碳硬掩模的方法的一实施例的流程图;以及
图3是根据本发明图解用于沉积金属掺杂的无定形硅硬掩模的方法的一实施例的流程图。
在附图中,附图标记可以被重新使用以标识相似和/或相同的元件。
具体实施方式
无定形碳和硅膜可以用作用于蚀刻高深宽比特征的硬掩模。在例如3D存储器之类的一些应用中,硬掩模膜必须是高蚀刻选择性的。其结果是,硬掩模膜应是硬的、致密的并提供去除容易性和蚀刻选择性的平衡。本发明所述的***和方法可用于使无定形碳或硅硬掩模膜致密化,以增加对电介质蚀刻化学品的蚀刻选择性。
本文描述的***和方法用基于金属的掺杂剂对无定形碳或硅硬掩模膜掺杂。仅举例而言,基于金属的掺杂剂可以由金属卤化物前体提供。在一些实施例中,所述金属卤化物前体可以包括钨氟化物(WFa)、钛氯化物(TiClb)、钨氯化物(WClc)、铪氯化物(HfCld)、钽氯化物(TaCle)、或其他合适的金属卤化物前体,其中,a、b、c、d和e是大于零的整数。尽管前面的金属卤化物前体的实例包括氟和氯,但是也可使用其它的包括溴(Br)或碘(I)的金属卤化物前体。在其它实施例中,基于金属的掺杂剂可以由四(二甲氨基)钛(TDMAT)前体、双(叔丁基亚氨)-双-(二甲氨基)钨(BTBMW)前体或其他合适的金属前体提供。
在一些实施例中,无定形碳或硅前体被添加到处理室中的载气中。例如,无定形碳前体可包括烃前体。烃前体可以包括CxHy,其中x为2至10的整数,y为2至24的整数。在一些实例中,烃前体可以包括甲烷、乙炔、乙烯、丙烯、丁烷、环己烷、苯和甲苯(分别为CH4,C2H2,C2H4,C3H6,C4H10,C6H6,C6H12以及C7H8)。仅举例而言,无定形硅前体可包括硅烷或原硅酸四乙酯(TEOS)类前体。在一些实施例中,载气可以包括氢分子(H2)、氩(Ar)、氮分子(N2)、氦(He)和/或它们的组合。本发明所述的PECVD工艺沉积更致密和更具蚀刻选择性的金属掺杂的无定形碳或硅膜。
使用本文所述的基于金属的前体掺杂的无定形碳或硅硬掩模膜由于较高的交联而分别创建包括金属碳化物或金属硅化物的硬掩模膜。较高的掺杂水平增大选择性,但往往增加后续步骤的成本。因此掺杂水平和选择性是平衡的(balanced)。所得到的金属掺杂的无定形碳或硅硬掩模膜是较硬的和较致密的,同时对于半导体硬掩模应用仍然是可去除的。
现在参考图1,示出了用于执行PECVD沉积或蚀刻的衬底处理***100的一个实施例。虽然前述实施例涉及PECVD***,但也可以使用其他基于等离子体的衬底的工艺。其他类型的等离子体工艺包括原子层沉积、电感耦合等离子体、电容耦合等离子体、微波等离子体CVD、远程等离子体增强CVD以及其他类似的工艺。
衬底处理***100包括处理室102,处理室102包围衬底处理***100的其他部件并包含RF等离子体。衬底处理***100包括上电极104和基座106,基座106包括下电极107。衬底108被布置在基座106上,在上电极104和下电极107之间。
仅举例而言,上电极104可包括喷头109,喷头109引入并分配处理气体。替代地,上电极104可包括导电板,而处理气体可以以另一种方式被引入。下电极107可以被布置在不导电的基座中。替代地,基座106可包括静电卡盘,静电卡盘包括作为下电极107的导电板。
RF产生***110生成并输出RF电压到上电极和下电极中的一个中。上电极和下电极中的另一个可以是直流接地、交流接地或悬浮的。仅举例而言,射频产生***110可包括RF电压产生器111,RF电压产生器111产生RF电压,该RF电压经由匹配和分配网络112馈送到上电极104或下电极107。
图1示出了一种气体输送***130的一个实施例。气体输送***130包括一个或多个气体源132-1、132-2、...、和132-N(统称为气体源132),其中N是大于零的整数。这些气体源提供一种或多种前体以及它们的混合物。也可以使用气化的前体。气体源132通过阀134-1、134-2、...、和134-N(统称阀134)和质量流量控制器136-1、136-2、...、和136-N(统称为质量流量控制器136)连接到歧管140。歧管140的输出被馈送到处理室102。仅举例而言,歧管140的输出被馈送到喷头109。
加热器142可被连接到布置在基座106中的加热线圈(未示出)来加热基座106。加热器142可用于控制基座106和衬底108的温度。阀150和泵152可以被用于从处理室102排出反应物。控制器160可用于控制衬底处理***100的各种组件。仅举例而言,控制器160可用于控制:处理气体、载气和前体气体的流动,点燃和熄灭等离子体,反应物的去除,室参数的监控等。
现在参考图2,一种根据本发明用于沉积金属掺杂的无定形碳硬掩模膜的方法200被示出。在204中,将衬底定位于处理室(例如PECVD处理室)中。在208,将载气供给到处理室中。在一些实施例中,载气可以包括氢分子(H2)、氩(Ar)、氮分子(N2)、氦(He)和/或它们的组合。
在216,烃前体被提供到处理室中。在一些实施例中,该烃前体可以包括CxHy,其中x为2至10的整数,y为2至24的整数。在一些实施例中,该烃前体可以包括甲烷、乙炔、乙烯、丙烯、丁烷、环己烷、苯和甲苯。
在220,将基于金属的前体或掺杂剂供给到处理室中。在一些实施例中,基于金属的前体包括金属卤化物前体,例如WFa、TiClb、WClc、HfCld、TaCle或其它合适的金属卤化物前体,其中a、b、c、d和e为大于或等于零的整数。尽管前面的金属卤化物前体的实例包括氟和氯,但是,也可使用其它的包括溴(Br)或碘(I)的金属卤化物前体。在其它实施例中,基于金属的前体可以由四(二甲氨基)钛(TDMAT)前体、双(叔丁基亚氨)-双-(二甲氨基)钨(BTBMW)前体或其他合适的金属前体提供。
在222中,使等离子体在处理室产生或供给等离子体到处理室中。在224,在衬底上沉积金属掺杂的无定形碳硬掩模膜。在衬底处理过程中,金属掺杂的无定形碳硬掩模膜可以用作硬掩模。
现在参考图3,一种根据本发明用于沉积金属掺杂的无定形硅硬掩模膜的方法250被示出。在254中,将衬底定位于处理室(例如PECVD处理室)中。在258,将载气供给到处理室中。在一些实施例中,载气可以包括氢分子(H2)、氩(Ar)、氮分子(N2)、氦(He)和/或它们的组合。
在266,硅前体被提供到处理室中。仅举例而言,无定形硅前体可包括硅烷或原硅酸四乙酯(TEOS)类前体。
在270,将基于金属的前体或掺杂剂供给到处理室中。在一些实施例中,基于金属的前体包括金属卤化物前体,例如WFa、TiClb、WClc、HfCld、TaCle或其它合适的金属卤化物前体,其中a、b、c、d和e为大于或等于零的整数。尽管前面的金属卤化物前体的实例包括氟和氯,但是,也可使用其它的包括溴(Br)或碘(I)的金属卤化物前体。在其它实施例中,基于金属的前体可以由四(二甲氨基)钛(TDMAT)前体、双(叔丁基亚氨)-双-(二甲氨基)钨(BTBMW)前体或其他合适的金属前体提供。
在272中,使等离子体在处理室产生或供给等离子体到处理室中。在274,在衬底上沉积金属掺杂的无定形硅硬掩模膜。在衬底处理过程中,金属掺杂的无定形硅硬掩模膜可以用作硬掩模。
下述表根据本发明列出了烃前体气体、载气、基于金属的前体和金属掺杂的无定形碳硬掩模膜的其它工艺参数的实施例:
<u>工艺参数</u> <u>值</u>
温度 400℃–500℃
压强 0.2托至9托
WF<sub>6</sub> 250sccm
CH<sub>4</sub> 2000sccm
H<sub>2</sub> 500sccm
高频功率 800W至2500W
低频功率 1000W至2500W
在本实施例中,处理室温度是在400℃-500℃的温度范围内。处理室的真空压强是在0.2托到9托的范围内。高频RF功率设定在800W到2500W的范围内。低频RF功率设定在1000W至2500W的范围内。载气是氢分子,基于金属的前体气体是六氟化钨,而碳前体是甲烷。其它前体可以使用类似的或不同的处理室设置。
在其它实施例中,工艺温度可以高达650℃。在其它实施例中,WFa以6到75sccm供给,CH4以750sccm供给,Ar和N2以5000sccm供给,其中工艺压强在2托至7托之间,工艺温度400℃和500℃之间。
前面的描述在本质上仅仅是说明性的,并且决不旨在限制本公开、本公开的应用或用途。本公开的广泛教导可以以各种形式来实现。由于其它的修改将根据对附图、说明书和权利要求书的研究变得显而易见,因此,虽然本公开包括特定示例,但本公开的真实范围不应当受此限制。如本文所使用的,短语A、B和C中的至少一个应该被解释为指使用非排他性的逻辑或(OR)的逻辑(A或B或C),不应该被解释为指“A中的至少一个,B中的至少一个,和C中的至少一个”。应当理解的是,在方法中的一个或多个步骤可以以不同的顺序(或同时)而不改变本公开的原理来执行。
在一些实现方式中,控制器是***的一部分,该***可以是上述实例的一部分。这种***可以包括半导体处理设备,该半导体处理设备包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流***等)。这些***可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个***的各种元件或子部件。根据处理要求和/或***的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体***连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器的指令,该设置定义用于在半导体晶片或***上或针对半导体晶片或***执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与***集成、耦接或者说是通过网络连接***或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是fab主机***的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对***的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给***提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到***。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的***可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理***。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。

Claims (36)

1.一种用于沉积金属掺杂的无定形碳硬掩模膜的方法,其包括:
将衬底布置在处理室中的基座上;
供给载气至所述处理室;
供给烃前体气体至所述处理室;
供给基于金属的前体气体至所述处理室;
在第一频率下将第一RF功率提供到所述基座;
在低于所述第一频率的第二频率下将第二RF功率提供到所述基座;
使等离子体在所述处理室产生或供给等离子体到所述处理室中的一者;以及
在所述衬底上沉积金属掺杂的无定形碳硬掩模膜,其中所述碳硬掩模膜包括金属碳化物,增加了蚀刻选择性。
2.根据权利要求1所述的方法,其中所述处理室包括等离子体增强化学气相沉积(PECVD)处理室。
3.根据权利要求1所述的方法,其中所述基于金属的前体气体包括金属卤化物前体气体。
4.根据权利要求3所述的方法,其中所述金属卤化物前体气体是选自由WFa、TiClb、WClc、HfCld和TaCle组成的群组,其中a、b、c、d和e为大于或等于1的整数。
5.根据权利要求1所述的方法,其中所述基于金属的前体气体包括四(二甲氨基)钛(TDMAT)前体气体。
6.根据权利要求1所述的方法,其中所述基于金属的前体气体包括双(叔丁基亚氨)-双-(二甲氨基)钨(BTBMW)前体气体。
7.根据权利要求1所述的方法,其中所述载气选自由氢分子(H2)、氩(Ar)、氮分子(N2)、氦(He)和/或它们的组合组成的群组。
8.根据权利要求1所述的方法,其中所述烃前体气体包括CxHy,其中x为2至10的整数,y为2至24的整数。
9.根据权利要求1所述的方法,其中所述烃前体气体选自由甲烷、乙炔、乙烯、丙烯、丁烷、环己烷、苯和甲苯组成的群组。
10.根据权利要求1所述的方法,其中所述基于金属的前体气体包括六氟化钨,所述烃前体气体包含甲烷,并且所述载气包括氢分子。
11.一种用于沉积金属掺杂的无定形硅硬掩模膜的方法,其包括:
将衬底布置在处理室中的基座上;
供给载气至所述处理室;
供给硅前体气体至所述处理室;
供给基于金属的前体气体至所述处理室;
在第一频率下将第一RF功率提供到所述基座;
在低于所述第一频率的第二频率下将第二RF功率提供到所述基座;
使等离子体在所述处理室产生或供给等离子体到所述处理室中的一者;以及
在所述衬底上沉积金属掺杂的无定形硅硬掩模膜,其中所述硅硬掩模膜包括金属硅化物,增加了蚀刻选择性。
12.根据权利要求11所述的方法,其中所述处理室包括等离子体增强化学气相沉积(PECVD)处理室。
13.根据权利要求11所述的方法,其中所述基于金属的前体气体包括金属卤化物前体气体。
14.根据权利要求13所述的方法,其中所述金属卤化物前体气体选自由WFa、TiClb、WClc、HfCld和TaCle组成的群组,其中a、b、c、d和e为大于或等于1的整数。
15.根据权利要求11所述的方法,其中所述基于金属的前体气体包括四(二甲氨基)钛(TDMAT)前体气体。
16.根据权利要求11所述的方法,其中所述基于金属的前体气体包括双(叔丁基亚氨)-双-(二甲氨基)钨(BTBMW)前体气体。
17.根据权利要求11所述的方法,其中所述载气选自由氢分子(H2)、氩(Ar)、氮分子(N2)、氦(He)和/或它们的组合组成的群组。
18.根据权利要求11所述的方法,其中所述硅前体气体选自由硅烷和原硅酸四乙酯组成的群组。
19.一种用于沉积金属掺杂的无定形碳硬掩模膜的衬底处理***,其包括:
处理室,其包括被配置成支撑衬底的衬底支撑件;
被配置成选择性地供应处理气体至所述处理室的气体供给***;
被配置成选择性地使等离子体在所述处理室产生或供给等离子体到所述处理室中的等离子体产生器;
控制器,其被配置成控制所述气体供给***和所述等离子体产生器并且被配置成:
供给载气至所述处理室;
供给烃前体气体至所述处理室;
供给基于金属的前体气体至所述处理室;
在第一频率下将第一RF功率提供到所述衬底支撑件;
在低于所述第一频率的第二频率下将第二RF功率提供到所述衬底支撑件;
控制所述等离子体产生器以使等离子体在所述处理室产生或供给等离子体到所述处理室;以及
在所述衬底上沉积金属掺杂的无定形碳硬掩模膜,其中所述碳硬掩模膜包括金属碳化物,增加了蚀刻选择性。
20.根据权利要求19所述的衬底处理***,其中所述处理室包括等离子体增强化学气相沉积(PECVD)处理室。
21.根据权利要求19所述的衬底处理***,其中所述基于金属的前体气体包括金属卤化物前体气体。
22.根据权利要求21所述的衬底处理***,其中所述金属卤化物前体气体选自由WFa、TiClb、WClc、HfCld和TaCle组成的群组,其中a、b、c、d和e为大于或等于1的整数。
23.根据权利要求19所述的衬底处理***,其中所述基于金属的前体气体包括四(二甲氨基)钛(TDMAT)前体气体。
24.根据权利要求19所述的衬底处理***,其中所述基于金属的前体气体包括双(叔丁基亚氨)-双-(二甲氨基)钨(BTBMW)前体气体。
25.根据权利要求19所述的衬底处理***,其中所述载气选自由氢分子(H2)、氩(Ar)、氮分子(N2)、氦(He)和/或它们的组合组成的群组。
26.根据权利要求19所述的衬底处理***,其中所述烃前体气体包括CxHy,其中x为2至10的整数,y为2至24的整数。
27.根据权利要求19所述的衬底处理***,其中所述烃前体气体选自由甲烷、乙炔、乙烯、丙烯、丁烷、环己烷、苯和甲苯组成的群组。
28.根据权利要求19所述的衬底处理***,其中所述基于金属的前体气体包括六氟化钨,所述烃前体气体包含甲烷,并且所述载气包括氢分子。
29.一种用于沉积金属掺杂的无定形硅硬掩模膜的衬底处理***,其包括:
处理室,其包括被配置成支撑衬底的衬底支撑件;
被配置成选择性地供应处理气体至处理室的气体供给***;
被配置成选择性地使等离子体在所述处理室产生或供给等离子体到所述处理室中的等离子体产生器;
控制器,其被配置成控制所述气体供给***和所述等离子体产生器并且被配置成:
供给载气至所述处理室;
供给硅前体气体至所述处理室;
供给基于金属的前体气体至所述处理室;
在第一频率下将第一RF功率提供到所述衬底支撑件;
在低于所述第一频率的第二频率下将第二RF功率提供到所述衬底支撑件;
控制所述等离子体产生器以使等离子体在所述处理室产生或供给等离子体到所述处理室;以及
在所述衬底上沉积金属掺杂的无定形硅硬掩模膜,其中所述硅硬掩模膜包括金属硅化物,增加了蚀刻选择性。
30.根据权利要求29所述的衬底处理***,其中所述处理室包括等离子体增强化学气相沉积(PECVD)处理室。
31.根据权利要求29所述的衬底处理***,其中所述基于金属的前体气体包括金属卤化物前体气体。
32.根据权利要求31所述的衬底处理***,其中所述金属卤化物前体气体选自由WFa、TiClb、WClc、HfCld和TaCle组成的群组,其中a、b、c、d和e为大于或等于1的整数。
33.根据权利要求29所述的衬底处理***,其中所述基于金属的前体气体包括四(二甲氨基)钛(TDMAT)前体气体。
34.根据权利要求29所述的衬底处理***,其中所述基于金属的前体气体包括双(叔丁基亚氨)-双-(二甲氨基)钨(BTBMW)前体气体。
35.根据权利要求29所述的衬底处理***,其中所述载气选自由氢分子(H2)、氩(Ar)、氮分子(N2)、氦(He)和/或它们的组合组成的群组。
36.根据权利要求29所述的衬底处理***,其中所述硅前体气体选自由硅烷和原硅酸四乙酯组成的群组。
CN201610078060.4A 2015-02-03 2016-02-03 衬底处理***中用作硬掩模的无定形碳和硅膜的金属掺杂 Active CN105845551B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/612,750 2015-02-03
US14/612,750 US9520295B2 (en) 2015-02-03 2015-02-03 Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems

Publications (2)

Publication Number Publication Date
CN105845551A CN105845551A (zh) 2016-08-10
CN105845551B true CN105845551B (zh) 2021-01-01

Family

ID=56554710

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610078060.4A Active CN105845551B (zh) 2015-02-03 2016-02-03 衬底处理***中用作硬掩模的无定形碳和硅膜的金属掺杂

Country Status (5)

Country Link
US (1) US9520295B2 (zh)
JP (1) JP6758839B2 (zh)
KR (1) KR20160095631A (zh)
CN (1) CN105845551B (zh)
TW (1) TWI718120B (zh)

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9928994B2 (en) * 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9865459B2 (en) * 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6710089B2 (ja) * 2016-04-04 2020-06-17 東京エレクトロン株式会社 タングステン膜の成膜方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10504838B2 (en) * 2016-09-21 2019-12-10 Micron Technology, Inc. Methods of forming a semiconductor device structure including a stair step structure
US9870915B1 (en) 2016-10-01 2018-01-16 Applied Materials, Inc. Chemical modification of hardmask films for enhanced etching and selective removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US20180158686A1 (en) * 2016-11-23 2018-06-07 Applied Materials, Inc. Deposition Of Metal Films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN110249410B (zh) * 2017-02-01 2023-07-04 应用材料公司 用于硬掩模应用的硼掺杂碳化钨
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10460987B2 (en) * 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11062897B2 (en) 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR102336105B1 (ko) 2017-07-19 2021-12-06 삼성전자주식회사 반도체 장치의 제조 방법
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10096475B1 (en) * 2017-11-17 2018-10-09 Lam Research Corporation System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
TWI713961B (zh) * 2018-01-15 2020-12-21 美商應用材料股份有限公司 針對碳化鎢膜改善附著及缺陷之技術
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US20190368040A1 (en) * 2018-06-01 2019-12-05 Asm Ip Holding B.V. Infiltration apparatus and methods of infiltrating an infiltrateable material
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
CN114072898A (zh) 2019-05-24 2022-02-18 应用材料公司 基板处理腔室
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN114830299A (zh) * 2019-11-12 2022-07-29 应用材料公司 减少氢沉积工艺
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN111061072A (zh) * 2020-03-16 2020-04-24 南京南智先进光电集成技术研究院有限公司 一种基于铌酸锂薄膜的光电器件及其制备方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
JP2023532883A (ja) * 2020-06-29 2023-08-01 アプライド マテリアルズ インコーポレイテッド ハードマスク及びその他のパターニング応用のための高密度窒素ドープ炭素膜を製造するための方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
US20240030028A1 (en) * 2020-12-18 2024-01-25 Lam Research Corporation High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113078043A (zh) * 2021-03-24 2021-07-06 长鑫存储技术有限公司 非晶碳膜的形成方法及半导体结构
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
WO2022241042A1 (en) * 2021-05-14 2022-11-17 Lam Research Corporation High selectivity doped hardmask films
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023196846A1 (en) * 2022-04-07 2023-10-12 Lam Research Corporation Hydrogen reduction in amorphous carbon films

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006001829A (ja) * 2004-05-20 2006-01-05 Japan Science & Technology Agency チタン炭化物焼結体又はチタンシリコン炭化物焼結体、同製造方法、同加工方法又はコーティング方法及び同用基板
CN103014793A (zh) * 2012-12-11 2013-04-03 广东电网公司电力科学研究院 脉冲电沉积制备碳化钛涂层的方法
CN104254913A (zh) * 2013-03-25 2014-12-31 日本碍子株式会社 冷却板、其制法以及半导体制造装置用部件
CN104285290A (zh) * 2013-03-15 2015-01-14 日本碍子株式会社 冷却板、其制法以及半导体制造装置用部件

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3336682B2 (ja) * 1992-07-02 2002-10-21 住友電気工業株式会社 硬質炭素膜
FR2708624A1 (fr) * 1993-07-30 1995-02-10 Neuville Stephane Procédé de dépôt d'un revêtement protecteur à base de pseudo carbone diamant amorphe ou de carbure de silicium modifié.
US6015597A (en) * 1997-11-26 2000-01-18 3M Innovative Properties Company Method for coating diamond-like networks onto particles
ES2256110T3 (es) * 2000-05-09 2006-07-16 Kabushiki Kaisha Riken Pelicula de carbono amorfo que contiene oxido.
US6605826B2 (en) * 2000-08-18 2003-08-12 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and display device
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US7084071B1 (en) 2002-09-16 2006-08-01 Advanced Micro Devices, Inc. Use of multilayer amorphous carbon ARC stack to eliminate line warpage phenomenon
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
JP2005045053A (ja) * 2003-07-23 2005-02-17 Elpida Memory Inc 半導体装置の製造方法
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR101055962B1 (ko) * 2008-05-15 2011-08-11 주성엔지니어링(주) 박막패턴 형성방법
KR101559425B1 (ko) 2009-01-16 2015-10-13 삼성전자주식회사 반도체 소자의 제조 방법
US20120258261A1 (en) 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
JP5634962B2 (ja) * 2011-08-26 2014-12-03 株式会社神戸製鋼所 真空成膜装置
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
GB201209693D0 (en) * 2012-05-31 2012-07-18 Dow Corning Silicon wafer coated with a passivation layer
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
JP2014187248A (ja) * 2013-03-25 2014-10-02 Ps4 Luxco S A R L 半導体装置の製造方法
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006001829A (ja) * 2004-05-20 2006-01-05 Japan Science & Technology Agency チタン炭化物焼結体又はチタンシリコン炭化物焼結体、同製造方法、同加工方法又はコーティング方法及び同用基板
CN103014793A (zh) * 2012-12-11 2013-04-03 广东电网公司电力科学研究院 脉冲电沉积制备碳化钛涂层的方法
CN104285290A (zh) * 2013-03-15 2015-01-14 日本碍子株式会社 冷却板、其制法以及半导体制造装置用部件
CN104254913A (zh) * 2013-03-25 2014-12-31 日本碍子株式会社 冷却板、其制法以及半导体制造装置用部件

Also Published As

Publication number Publication date
US20160225632A1 (en) 2016-08-04
JP2016166405A (ja) 2016-09-15
JP6758839B2 (ja) 2020-09-23
US9520295B2 (en) 2016-12-13
CN105845551A (zh) 2016-08-10
TWI718120B (zh) 2021-02-11
KR20160095631A (ko) 2016-08-11
TW201700771A (zh) 2017-01-01

Similar Documents

Publication Publication Date Title
CN105845551B (zh) 衬底处理***中用作硬掩模的无定形碳和硅膜的金属掺杂
CN106024596B (zh) 减少无定形碳硬掩模膜的碳-氢含量的方法
KR102454243B1 (ko) 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들
JP7296378B2 (ja) Pecvd金属ドープ炭素ハードマスクのための同質界面層を蒸着するためのシステムおよび方法
US9875890B2 (en) Deposition of metal dielectric film for hardmasks
US20220181147A1 (en) High etch selectivity, low stress ashable carbon hard mask
US20220235464A1 (en) Selective carbon deposition
CN110537244B (zh) 以高沉积速率沉积具有低压应力、高的膜稳定性和低收缩率的原硅酸四乙酯厚膜的方法
CN112753091A (zh) 双频硅烷基二氧化硅沉积以最小化膜的不稳定性

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant