TWI718120B - 使用作為基板處理系統中的硬遮罩之非晶碳與矽膜的金屬摻雜 - Google Patents

使用作為基板處理系統中的硬遮罩之非晶碳與矽膜的金屬摻雜 Download PDF

Info

Publication number
TWI718120B
TWI718120B TW105102278A TW105102278A TWI718120B TW I718120 B TWI718120 B TW I718120B TW 105102278 A TW105102278 A TW 105102278A TW 105102278 A TW105102278 A TW 105102278A TW I718120 B TWI718120 B TW I718120B
Authority
TW
Taiwan
Prior art keywords
metal
processing chamber
precursor gas
mask film
depositing
Prior art date
Application number
TW105102278A
Other languages
English (en)
Other versions
TW201700771A (zh
Inventor
法亞茲 謝赫
瑟利西 瑞迪
艾莉絲 霍利斯特
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201700771A publication Critical patent/TW201700771A/zh
Application granted granted Critical
Publication of TWI718120B publication Critical patent/TWI718120B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

用於沉積金屬摻雜非晶碳硬遮罩膜或金屬摻雜非晶矽硬遮罩膜的系統與方法包含在處理腔室中配置基板;將載氣供應至該處理腔室;分別將烴前驅物氣體或矽前驅物氣體供應至該處理腔室;將金屬型前驅物氣體供應至該處理腔室;在該處理腔室中產生電漿或供應電漿其中一者;及分別在基板上沉積金屬摻雜非晶碳硬遮罩膜或金屬摻雜非晶矽硬遮罩膜。

Description

使用作為基板處理系統中的硬遮罩之非晶碳與矽膜的金屬摻雜
本揭露內容係關於基板處理系統及方法,更具體而言,係關於用於在基板上沉積非晶碳及非晶矽硬遮罩的系統及方法。
說明書所提供的背景敘述係為了概略地呈現本揭露內容的背景。在本「先前技術」段落中所描述的範圍內之目前所列名的發明人之成果、以及在申請時可能未以其他方式認定為先前技術的描述之態樣,並未明示或默示地被承認為是相對於本揭露內容的先前技術。
用於執行沉積及/或蝕刻的基板處理系統包含具有基座之處理腔室。例如半導體晶圓的基板可配置於基座上。例如在化學氣相沉積(CVD)製程中,可將包含一或更多前驅物之氣體混合物導入至處理腔室中以在基板上沉積膜或蝕刻基板。在若干基板處理系統中,可使用電漿以活化化學反應,而在本說明書中被稱為電漿輔助CVD(PECVD)。
在基板處理期間,可使用非晶碳及矽膜作為用於蝕刻高縱橫比特徵部的硬遮罩。例如在3D記憶體之應用中,硬遮罩膜應為高蝕刻選擇性的。因 此,該硬遮罩膜應具有較高模量、較緻密、及較高蝕刻化學作用抗性之鍵結的基質。應在下列兩者間取得平衡:在開放製程期間可移除該硬遮罩膜以及使該硬遮罩膜對介電質蝕刻製程為高選擇性的。
用於沉積金屬摻雜非晶碳硬遮罩膜的方法包含在處理腔室中配置基板;將載氣供應至該處理腔室;將烴前驅物氣體供應至該處理腔室;將金屬型前驅物氣體供應至該處理腔室;進行以下其中一者:在該處理腔室中產生電漿或將電漿供應至該處理腔室;及將金屬摻雜非晶碳硬遮罩膜沉積於該基板上。
在其他特徵中,該處理腔室包含電漿輔助化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)處理腔室。該金屬型前驅物氣體包含金屬鹵化物前驅物氣體。該金屬鹵化物前驅物氣體係選自由WFa、TiClb、WClc、HfCld、及TaCle所構成之群組,其中a、b、c、d、及e為大於或等於1的整數。該金屬型前驅物氣體包含四(二甲基胺基)鈦(TDMAT)前驅物氣體。該金屬型前驅物氣體包含雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)前驅物氣體。該載氣係選自由分子氫(H2)、氬(Ar)、分子氮(N2)、氦(He)、及/或其組合所構成之群組。該烴前驅物氣體包含CxHy,其中x為從2至10的整數,而y為從2至24的整數。該烴前驅物氣體係選自由甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、或甲苯所構成之群組。該金屬型前驅物氣體包含六氟化鎢,該烴前驅物氣體包含甲烷,而該載氣包含分子氫。
用於沉積金屬摻雜非晶矽硬遮罩膜的方法包含在處理腔室中配置基板;將載氣供應至該處理腔室;將矽前驅物氣體供應至該處理腔室;將金屬型前驅物氣體供應至該處理腔室;進行以下其中一者:在該處理腔室中產生電漿或將電漿供應至該處理腔室;及將金屬摻雜非晶矽硬遮罩膜沉積於該基板上。
在其他特徵中,該處理腔室包含電漿輔助化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)處理腔室。該金屬型前驅物氣體包含金屬鹵化物前驅物氣體。該金屬鹵化物前驅物氣體係選自由WFa、TiClb、WClc、HfCld、及TaCle所構成之群組,其中a、b、c、d、及e為大於或等於1的整數。該金屬型前驅物氣體包含四(二甲基胺基)鈦(TDMAT)前驅物氣體。該金屬型前驅物氣體包含雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)前驅物氣體。該載氣係選自由分子氫(H2)、氬(Ar)、分子氮(N2)、氦(He)、及/或其組合所構成之群組。該矽前驅物氣體係選自由矽烷及四乙氧基矽烷所構成之群組。
用於沉積金屬摻雜非晶碳硬遮罩膜的基板處理系統包含處理腔室,其含有配置以支撐基板的基板支撐體。氣體供應系統,其配置以選擇性地將製程氣體供應至該處理腔室。電漿產生器,其配置以選擇性地在該處理腔室中供應電漿;控制器,其配置以控制該氣體供應系統及該電漿產生器,且配置以進行下列操作:將載氣供應至該處理腔室;將烴前驅物氣體供應至該處理腔室;將金屬型前驅物氣體供應至該處理腔室;在該處理腔室中供應電漿;及將金屬摻雜非晶碳硬遮罩膜沉積於該基板上。
在其他特徵中,該處理腔室包含電漿輔助化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)處理腔室。該金屬型前驅物氣體包含 金屬鹵化物前驅物氣體。該金屬鹵化物前驅物氣體係選自由WFa、TiClb、WClc、HfCld、及TaCle所構成之群組,其中a、b、c、d、及e為大於或等於1的整數。該金屬型前驅物氣體包含四(二甲基胺基)鈦(TDMAT)前驅物氣體。該金屬型前驅物氣體包含雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)前驅物氣體。該載氣係選自由分子氫(H2)、氬(Ar)、分子氮(N2)、氦(He)、及/或其組合所構成之群組。該烴前驅物氣體包含CxHy,其中x為從2至10的整數,而y為從2至24的整數。該烴前驅物氣體係選自由甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、或甲苯所構成之群組。該金屬型前驅物氣體包含六氟化鎢,該烴前驅物氣體包含甲烷,而該載氣包含分子氫。
用於沉積金屬摻雜非晶矽硬遮罩膜的基板處理系統包含處理腔室,其含有配置以支撐基板的基板支撐體;氣體供應系統,其配置以選擇性地將製程氣體供應至該處理腔室;電漿產生器,其配置以選擇性地在該處理腔室中供應電漿;控制器,其配置以控制該氣體供應系統及該電漿產生器,且配置以進行下列操作:將載氣供應至該處理腔室;將矽前驅物氣體供應至該處理腔室;將金屬型前驅物氣體供應至該處理腔室;在該處理腔室中供應電漿;及將金屬摻雜非晶矽硬遮罩膜沉積於該基板上。
在其他特徵中,該處理腔室包含電漿輔助化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)處理腔室。該金屬型前驅物氣體包含金屬鹵化物前驅物氣體。該金屬鹵化物前驅物氣體係選自由WFa、TiClb、WClc、HfCld、及TaCle所構成之群組,其中a、b、c、d、及e為大於或等於1的整數。該金屬型前驅物氣體包含四(二甲基胺基)鈦(TDMAT)前驅物氣體。該金屬型前驅物氣體包含雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)前驅物氣體。該載氣 係選自由分子氫(H2)、氬(Ar)、分子氮(N2)、氦(He)、及/或其組合所構成之群組。該矽前驅物氣體係選自由矽烷及四乙氧基矽烷所構成之群組。
本揭露內容的可應用性之進一步範圍將從實施方式、請求項、及圖式而變得清楚明瞭。實施方式及具體範例僅意為說明之目的且並非意為限制本揭露內容之範疇。
100:基板處理系統
102:處理腔室
104:上電極
106:基座
107:下電極
108:基板
109:噴淋頭
110:射頻產生系統
111:射頻電壓產生器
112:匹配與分配網路
130:氣體輸送系統
132:氣體源
134:閥
136:質量流量控制器
140:歧管
142:加熱器
150:閥
152:泵浦
160:控制器
200:方法
204:步驟
208:步驟
216:步驟
220:步驟
222:步驟
224:步驟
250:方法
254:步驟
258:步驟
266:步驟
270:步驟
272:步驟
274:步驟
從實施方式及隨附圖式將更充分理解本揭露內容,其中: 圖1依據本揭露內容,係為繪示用於沉積金屬摻雜非晶碳或矽硬遮罩的基板處理腔室之範例的功能性方塊圖; 圖2依據本揭露內容,係為繪示用於沉積金屬摻雜非晶碳硬遮罩的方法之範例的流程圖;及 圖3依據本揭露內容,係為繪示用於沉積金屬摻雜非晶矽硬遮罩的方法之範例的流程圖。
在該等圖式中,可重複使用參考符號以識別相似及/或相同的元件。
非晶碳及矽膜係作為用於蝕刻高縱橫比之特徵部的硬遮罩來使用。在例如3-D記憶體的若干應用中,硬遮罩膜需要為高蝕刻選擇性的。因此,該硬遮罩膜應為堅硬、緻密的,且提供易於移除及蝕刻選擇性之平衡。本說明書中所述之系統及方法可用於使非晶碳或矽之硬遮罩膜緻密化以增加對於介電質蝕刻化學物之蝕刻選擇性。
本說明書中所述之該等系統及方法利用金屬型摻雜劑來摻雜非晶碳或矽之硬遮罩膜。僅以舉例而言,可由金屬鹵化物前驅物來製備金屬型摻雜劑。在若干範例中,金屬鹵化物前驅物可包含鎢氟化物(WFa)、鈦氯化物(TiClb)、鎢氯化物(WClc)、鉿氯化物(HfCld)、鉭氯化物(TaCle)、或其他合適的金屬鹵化物前驅物,其中a、b、c、d、及e為大於零的整數。儘管該前述金屬鹵化物前驅物範例包含氟及氯,然而可使用包含溴(Br)及碘(I)的其他金屬鹵化物前驅物。在其他範例中,可由四(二甲基胺基)鈦(TDMAT)前驅物、雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)前驅物、或其他合適的金屬前驅物來製備金屬型摻雜劑。
在若干範例中,在處理腔室中將非晶碳或矽前驅物加入載氣中。例如,非晶碳前驅物可包含烴前驅物。烴前驅物可包含CxHy,其中x為從2至10的整數,而y為從2至24的整數。在若干範例中,烴前驅物可包含甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、或甲苯(分別為CH4、C2H2、C2H4、C3H6、C4H10、C6H6、C6H12、及C7H8)。僅以舉例而言,非晶矽前驅物可包含矽烷或類似四乙氧基矽烷(TEOS)的前驅物。在若干範例中,載氣可包含分子氫(H2)、氬(Ar)、分子氮(N2)、氦(He)、及/或其組合。本說明書中所述之電漿輔助化學氣相沉積(PECVD)製程沉積金屬摻雜之非晶碳或矽膜,其更為緻密且更具蝕刻選擇性。
由於較高度的交聯作用所致,使用本說明書中所述之金屬型前驅物來摻雜的非晶碳或矽硬遮罩膜分別產生包含金屬碳化物或金屬矽化物的硬遮罩膜。較高的摻雜程度可增加選擇性但易增加隨後步驟之費用。因此,使摻雜程度及選擇性平衡。該產生之金屬摻雜非晶碳或矽硬遮罩膜係更堅硬且更緻密,同時保持為針對半導體硬遮罩應用係可移除的。
現參照圖1,顯示用於執行PECVD沉積或蝕刻之基板處理系統100的範例。儘管前述範例係關於PECVD系統,然而可使用其他電漿式的基板製程。 其他類型的電漿製程包含原子層沉積、感應耦合電漿、電容耦合電漿、微波電漿CVD、遠端電漿輔助CVD、及其他相似的製程。
基板處理系統100包含處理腔室102,其包圍基板處理系統100的其他構件並容納射頻(RF)電漿。基板處理系統100包含上電極104及包含下電極107的基座106。基板108係配置於基座106上,介於上電極104與下電極107之間。
僅以舉例而言,上電極104可包含導入及分配製程氣體的噴淋頭109。或者,上電極104可包含導板,且製程氣體可以另一方式導入。下電極107係可配置於非傳導性的基座中。或者,基座106可包含靜電卡盤,其包含作為下電極107之導板。
RF產生系統110產生並輸出RF電壓至上電極與下電極其中一者。上電極與下電極其中另一者可為直流(DC)接地、交流(AC)接地、或浮動。舉例而言,RF產生系統110可包含產生RF電壓之RF電壓產生器111,該RF電壓係藉由匹配與分配網路112而供至上電極104或下電極107。
圖1中顯示氣體輸送系統130之範例。氣體輸送系統130包含一或更多氣體源132-1、132-2、…、及132-N(統稱為氣體源132),其中N為大於零的整數。該氣體源供應一或更多前驅物及其混合物。亦可使用汽化之前驅物。氣體源132藉由閥134-1、134-2、…,及134-N(統稱為閥134),與質量流量控制器136-1、136-2、…,及136-N(統稱為質量流量控制器136),連接至岐管140。岐管140之輸出物則供至處理腔室102。僅以舉例而言,岐管140之輸出物係供至噴淋頭109。
可將加熱器142連接至配置於基座106中的加熱器線圈(未顯示)以加熱基座106。可使用加熱器142以控制基座106及基板108的溫度。可使用閥150及泵浦152以自處理腔室102將反應物抽空。可使用控制器160以控制基板處理系 統100的各種構件。僅以舉例而言,可使用控制器160來控制製程氣體、載氣、及前驅物氣體的流動、引燃及熄滅電漿、移除反應物、監測腔室參數等。
現參照圖2,顯示依據本揭露內容之用於沉積金屬摻雜非晶碳硬遮罩膜的方法200。在204,將基板放置於例如PECVD處理腔室之處理腔室中。在208,將載氣供應至該處理腔室。在若干範例中,載氣可包含分子氫(H2)、氬(Ar)、分子氮(N2)、氦(He)、及/或其組合。
在216,將烴前驅物供應至該處理腔室中。在若干範例中,烴前驅物可包含CxHy,其中x為從2至10的整數,而y為從2至24的整數。在若干範例中,烴前驅物可包含甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、或甲苯。
在220,將金屬型前驅物或摻雜劑供應至該處理腔室。在若干範例中,金屬型前驅物包含金屬鹵化物前驅物,例如WFa、TiClb、WClc、HfCld、TaCle、或其他合適的金屬鹵化物前驅物,其中a、b、c、d、及e為大於零的整數。儘管前述金屬鹵化物前驅物範例包含氟及氯,然而可使用包含溴(Br)或碘(I)的其他金屬鹵化物前驅物。在其他範例中,可由四(二甲基胺基)鈦(TDMAT)前驅物、雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)前驅物、或其他合適的金屬前驅物來製備金屬型前驅物。
在222,在該處理腔室中產生電漿、或將電漿供應至該處理腔室。在224,在基板上沉積金屬摻雜非晶碳硬遮罩膜。在基板處理期間,該金屬摻雜非晶碳硬遮罩膜可作為硬遮罩來使用。
現參照圖3,顯示依據本揭露內容之用於沉積金屬摻雜非晶矽硬遮罩膜的方法250。在254,將基板放置於例如PECVD處理腔室之處理腔室中。在258,將載氣供應至該處理腔室。在若干範例中,載氣可包含分子氫(H2)、氬(Ar)、分子氮(N2)、氦(He)、及/或其組合。
在266,將矽前驅物供應至該處理腔室中。僅以舉例而言,非晶矽前驅物可包含矽烷或類似四乙氧基矽烷(TEOS)的前驅物。
在270,將金屬型前驅物或摻雜劑供應至該處理腔室。在若干範例中,金屬型前驅物包含金屬鹵化物前驅物,例如WFa、TiClb、WClc、HfCld、TaCle、或其他合適的金屬鹵化物前驅物,其中a、b、c、d、及e為大於零的整數。儘管前述金屬鹵化物前驅物範例包含氟及氯,然而可使用包含溴(Br)或碘(I)的其他金屬鹵化物前驅物。在其他範例中,可由四(二甲基胺基)鈦(TDMAT)前驅物、雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)前驅物、或其他合適的金屬前驅物來製備金屬型前驅物。
在272,在該處理腔室中產生電漿、或將電漿供應至該處理腔室。在274,在基板上沉積金屬摻雜非晶矽硬遮罩膜。在基板處理期間,該金屬摻雜非晶矽硬遮罩膜可作為硬遮罩來使用。
下表依據本揭露內容,提出用於金屬摻雜非晶碳硬遮罩膜的烴前驅物氣體、載氣、金屬型前驅物、及其他製程參數的範例:
Figure 105102278-A0305-02-0011-1
在此範例中,處理腔室溫度在400℃-500℃的範圍中。處理腔室真空壓力在0.2Torr至9Torr的範圍中。高頻RF功率係設定於800W至2500W的範圍中。低頻RF功率係設定於1000W至2500W的範圍中。載氣為分子氫,金屬型前驅物氣體為六氟化鎢(tungsten hexafluoride),而碳前驅物為甲烷。其他前驅物可使用相似或不同的製程腔室設定。
在其他範例中,製程溫度可為高達650℃。在其他範例中,以6至75sccm來供應WFa,以750sccm來供應CH4,以5000sccm來供應Ar及N2,並有2Torr至7Torr間的製程壓力以及400℃與500℃間的製程溫度。
先前的敘述實質上僅為說明性,且無限制本揭露內容、其應用、或用途之意圖。可以各種形式來實施本揭露內容之主要教示。因此,儘管本揭露內容包含特定的範例,由於根據圖式、說明書、及下列請求項的研究,其他修改將變得清楚明瞭,故本揭露內容的真實範疇不應受到如此限制。如本說明書中所使用,用語「A、B、及C其中至少一者」應解釋為意指使用非排除性邏輯上的OR之邏輯上的(A or B or C),且不應解釋為意指「A中之至少一者、B中之至少一者、及C中之至少一者」。應瞭解,可在不改變本揭露內容之原則的情況下,以不同的順序(或同時)執行方法中的一或更多步驟。
在若干實施例中,控制器係為系統的部分,其可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓基座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之需求及/或系統之類型,可將控制器程式化以控制本說明書中所揭露之製程的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF,radio frequency)產 生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs,digital signal processors)、定義為特殊應用積體電路(ASICs,application specific integrated circuits)之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在若干實施中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在若干實施中,控制器可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在若干範例中,遠端電腦(如:伺服器)可透過網路將製程配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在若干範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對 該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
範例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD,physical vapor deposition)腔室或模組、化學氣相沉積(CVD,chemical vapor deposition)腔室或模組、原子層沉積(ALD,atomic layer deposition)腔室或模組、原子層蝕刻(ALE,atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接工具、附近工具、位於整個工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
200‧‧‧方法
204‧‧‧步驟
208‧‧‧步驟
216‧‧‧步驟
220‧‧‧步驟
222‧‧‧步驟
224‧‧‧步驟

Claims (36)

  1. 一種用於沉積金屬摻雜非晶碳硬遮罩膜的方法,包含下列步驟:在處理腔室中之基座上配置基板;將載氣供應至該處理腔室;將烴前驅物氣體供應至該處理腔室;將金屬型前驅物氣體供應至該處理腔室;將在第一頻率下的第一RF功率提供至該基座;將在低於該第一頻率之第二頻率下的第二RF功率提供至該基座;進行以下其中一者:在該處理腔室中產生電漿或將電漿供應至該處理腔室;及將金屬摻雜非晶碳硬遮罩膜沉積於該基板上,其中該碳硬遮罩膜包含金屬碳化物。
  2. 如申請專利範圍第1項之用於沉積金屬摻雜非晶碳硬遮罩膜的方法,其中該處理腔室包含電漿輔助化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)處理腔室。
  3. 如申請專利範圍第1項之用於沉積金屬摻雜非晶碳硬遮罩膜的方法,其中該金屬型前驅物氣體包含金屬鹵化物前驅物氣體。
  4. 如申請專利範圍第3項之用於沉積金屬摻雜非晶碳硬遮罩膜的方法,其中該金屬鹵化物前驅物氣體係選自由WFa、TiClb、WClc、HfCld、及TaCle所構成之群組,其中a、b、c、d、及e為大於或等於1的整數。
  5. 如申請專利範圍第1項之用於沉積金屬摻雜非晶碳硬遮罩膜的方法,其中該金屬型前驅物氣體包含四(二甲基胺基)鈦(TDMAT)前驅物氣體。
  6. 如申請專利範圍第1項之用於沉積金屬摻雜非晶碳硬遮罩膜的方法,其中該金屬型前驅物氣體包含雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)前驅物氣體。
  7. 如申請專利範圍第1項之用於沉積金屬摻雜非晶碳硬遮罩膜的方法,其中該載氣係選自由分子氫(H2)、氬(Ar)、分子氮(N2)、氦(He)、及/或其組合所構成之群組。
  8. 如申請專利範圍第1項之用於沉積金屬摻雜非晶碳硬遮罩膜的方法,其中該烴前驅物氣體包含CxHy,其中x為從2至10的整數,而y為從2至24的整數。
  9. 如申請專利範圍第1項之用於沉積金屬摻雜非晶碳硬遮罩膜的方法,其中該烴前驅物氣體係選自由甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、或甲苯所構成之群組。
  10. 如申請專利範圍第1項之用於沉積金屬摻雜非晶碳硬遮罩膜的方法,其中該金屬型前驅物氣體包含六氟化鎢,該烴前驅物氣體包含甲烷,而該載氣包含分子氫。
  11. 一種用於沉積金屬摻雜非晶矽硬遮罩膜的方法,包含下列步驟:在處理腔室中配置基板;將載氣供應至該處理腔室;將矽前驅物氣體供應至該處理腔室;將金屬型前驅物氣體供應至該處理腔室;進行以下其中一者:在該處理腔室中產生電漿或將電漿供應至該處理腔室;及將金屬摻雜非晶矽硬遮罩膜沉積於該基板上。
  12. 如申請專利範圍第11項之用於沉積金屬摻雜非晶矽硬遮罩膜的方法,其中該處理腔室包含電漿輔助化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)處理腔室。
  13. 如申請專利範圍第11項之用於沉積金屬摻雜非晶矽硬遮罩膜的方法,其中該金屬型前驅物氣體包含金屬鹵化物前驅物氣體。
  14. 如申請專利範圍第13項之用於沉積金屬摻雜非晶矽硬遮罩膜的方法,其中該金屬鹵化物前驅物氣體係選自由WFa、TiClb、WClc、HfCld、及TaCle所構成之群組,其中a、b、c、d、及e為大於或等於1的整數。
  15. 如申請專利範圍第11項之用於沉積金屬摻雜非晶矽硬遮罩膜的方法,其中該金屬型前驅物氣體包含四(二甲基胺基)鈦(TDMAT)前驅物氣體。
  16. 如申請專利範圍第11項之用於沉積金屬摻雜非晶矽硬遮罩膜的方法,其中該金屬型前驅物氣體包含雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)前驅物氣體。
  17. 如申請專利範圍第11項之用於沉積金屬摻雜非晶矽硬遮罩膜的方法,其中該載氣係選自由分子氫(H2)、氬(Ar)、分子氮(N2)、氦(He)、及/或其組合所構成之群組。
  18. 如申請專利範圍第11項之用於沉積金屬摻雜非晶矽硬遮罩膜的方法,其中該矽前驅物氣體係選自由矽烷及四乙氧基矽烷所構成之群組。
  19. 一種用於沉積金屬摻雜非晶碳硬遮罩膜的基板處理系統,包含:處理腔室,其包含配置以支撐基板的基板支撐體;氣體供應系統,其配置以選擇性地將製程氣體供應至該處理腔室;電漿產生器,其配置以選擇性地在該處理腔室中產生電漿或將電漿供應至該處理腔室; 控制器,其配置以控制該氣體供應系統及該電漿產生器,且配置以進行下列操作:將載氣供應至該處理腔室;將烴前驅物氣體供應至該處理腔室;將金屬型前驅物氣體供應至該處理腔室;將在第一頻率下的第一RF功率提供至該基板支撐體;將在低於該第一頻率之第二頻率下的第二RF功率提供至該基板支撐體;控制該電漿產生器以在該處理腔室中產生電漿或將電漿供應至該處理腔室;及將金屬摻雜非晶碳硬遮罩膜沉積於該基板上,其中該碳硬遮罩膜包含金屬碳化物。
  20. 如申請專利範圍第19項之用於沉積金屬摻雜非晶碳硬遮罩膜的基板處理系統,其中該處理腔室包含電漿輔助化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)處理腔室。
  21. 如申請專利範圍第19項之用於沉積金屬摻雜非晶碳硬遮罩膜的基板處理系統,其中該金屬型前驅物氣體包含金屬鹵化物前驅物氣體。
  22. 如申請專利範圍第21項之用於沉積金屬摻雜非晶碳硬遮罩膜的基板處理系統,其中該金屬鹵化物前驅物氣體係選自由WFa、TiClb、WClc、HfCld、及TaCle所構成之群組,其中a、b、c、d、及e為大於或等於1的整數。
  23. 如申請專利範圍第19項之用於沉積金屬摻雜非晶碳硬遮罩膜的基板處理系統,其中該金屬型前驅物氣體包含四(二甲基胺基)鈦(TDMAT)前驅物氣體。
  24. 如申請專利範圍第19項之用於沉積金屬摻雜非晶碳硬遮罩膜的基板處理系統,其中該金屬型前驅物氣體包含雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)前驅物氣體。
  25. 如申請專利範圍第19項之用於沉積金屬摻雜非晶碳硬遮罩膜的基板處理系統,其中該載氣係選自由分子氫(H2)、氬(Ar)、分子氮(N2)、氦(He)、及/或其組合所構成之群組。
  26. 如申請專利範圍第19項之用於沉積金屬摻雜非晶碳硬遮罩膜的基板處理系統,其中該烴前驅物氣體包含CxHy,其中x為從2至10的整數,而y為從2至24的整數。
  27. 如申請專利範圍第19項之用於沉積金屬摻雜非晶碳硬遮罩膜的基板處理系統,其中該烴前驅物氣體係選自由甲烷、乙炔、乙烯、丙烯、丁烷、環己烷、苯、或甲苯所構成之群組。
  28. 如申請專利範圍第19項之用於沉積金屬摻雜非晶碳硬遮罩膜的基板處理系統,其中該金屬型前驅物氣體包含六氟化鎢,該烴前驅物氣體包含甲烷,而該載氣包含分子氫。
  29. 一種用於沉積金屬摻雜非晶矽硬遮罩膜的基板處理系統,包含:處理腔室,其包含配置以支撐基板的基板支撐體;氣體供應系統,其配置以選擇性地將製程氣體供應至該處理腔室;電漿產生器,其配置以選擇性地在該處理腔室中產生電漿或將電漿供應至該處理腔室;控制器,其配置以控制該氣體供應系統及該電漿產生器,且配置以進行下列操作:將載氣供應至該處理腔室;將矽前驅物氣體供應至該處理腔室; 將金屬型前驅物氣體供應至該處理腔室;控制該電漿產生器以在該處理腔室中產生電漿或將電漿供應至該處理腔室;及將金屬摻雜非晶矽硬遮罩膜沉積於該基板上。
  30. 如申請專利範圍第29項之用於沉積金屬摻雜非晶矽硬遮罩膜的基板處理系統,其中該處理腔室包含電漿輔助化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)處理腔室。
  31. 如申請專利範圍第29項之用於沉積金屬摻雜非晶矽硬遮罩膜的基板處理系統,其中該金屬型前驅物氣體包含金屬鹵化物前驅物氣體。
  32. 如申請專利範圍第31項之用於沉積金屬摻雜非晶矽硬遮罩膜的基板處理系統,其中該金屬鹵化物前驅物氣體係選自由WFa、TiClb、WClc、HfCld、及TaCle所構成之群組,其中a、b、c、d、及e為大於或等於1的整數。
  33. 如申請專利範圍第29項之用於沉積金屬摻雜非晶矽硬遮罩膜的基板處理系統,其中該金屬型前驅物氣體包含四(二甲基胺基)鈦(TDMAT)前驅物氣體。
  34. 如申請專利範圍第29項之用於沉積金屬摻雜非晶矽硬遮罩膜的基板處理系統,其中該金屬型前驅物氣體包含雙(三級丁基亞胺基)-雙-(二甲基胺基)鎢(BTBMW)前驅物氣體。
  35. 如申請專利範圍第29項之用於沉積金屬摻雜非晶矽硬遮罩膜的基板處理系統,其中該載氣係選自由分子氫(H2)、氬(Ar)、分子氮(N2)、氦(He)、及/或其組合所構成之群組。
  36. 如申請專利範圍第29項之用於沉積金屬摻雜非晶矽硬遮罩膜的基板處理系統,其中該矽前驅物氣體係選自由矽烷及四乙氧基矽烷所構成之群組。
TW105102278A 2015-02-03 2016-01-26 使用作為基板處理系統中的硬遮罩之非晶碳與矽膜的金屬摻雜 TWI718120B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/612,750 2015-02-03
US14/612,750 US9520295B2 (en) 2015-02-03 2015-02-03 Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems

Publications (2)

Publication Number Publication Date
TW201700771A TW201700771A (zh) 2017-01-01
TWI718120B true TWI718120B (zh) 2021-02-11

Family

ID=56554710

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105102278A TWI718120B (zh) 2015-02-03 2016-01-26 使用作為基板處理系統中的硬遮罩之非晶碳與矽膜的金屬摻雜

Country Status (5)

Country Link
US (1) US9520295B2 (zh)
JP (1) JP6758839B2 (zh)
KR (1) KR20160095631A (zh)
CN (1) CN105845551B (zh)
TW (1) TWI718120B (zh)

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9928994B2 (en) * 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9865459B2 (en) * 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6710089B2 (ja) * 2016-04-04 2020-06-17 東京エレクトロン株式会社 タングステン膜の成膜方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10504838B2 (en) * 2016-09-21 2019-12-10 Micron Technology, Inc. Methods of forming a semiconductor device structure including a stair step structure
US9870915B1 (en) 2016-10-01 2018-01-16 Applied Materials, Inc. Chemical modification of hardmask films for enhanced etching and selective removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US20180158686A1 (en) * 2016-11-23 2018-06-07 Applied Materials, Inc. Deposition Of Metal Films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN110249410B (zh) * 2017-02-01 2023-07-04 应用材料公司 用于硬掩模应用的硼掺杂碳化钨
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10460987B2 (en) * 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11062897B2 (en) 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR102336105B1 (ko) 2017-07-19 2021-12-06 삼성전자주식회사 반도체 장치의 제조 방법
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10096475B1 (en) * 2017-11-17 2018-10-09 Lam Research Corporation System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법
TWI713961B (zh) * 2018-01-15 2020-12-21 美商應用材料股份有限公司 針對碳化鎢膜改善附著及缺陷之技術
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US20190368040A1 (en) * 2018-06-01 2019-12-05 Asm Ip Holding B.V. Infiltration apparatus and methods of infiltrating an infiltrateable material
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR20230085954A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20210127262A (ko) 2019-03-11 2021-10-21 램 리써치 코포레이션 몰리브덴-함유 막들의 증착을 위한 전구체들
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
CN114072898A (zh) 2019-05-24 2022-02-18 应用材料公司 基板处理腔室
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
CN114830299A (zh) * 2019-11-12 2022-07-29 应用材料公司 减少氢沉积工艺
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN111061072A (zh) * 2020-03-16 2020-04-24 南京南智先进光电集成技术研究院有限公司 一种基于铌酸锂薄膜的光电器件及其制备方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
JP2023532883A (ja) * 2020-06-29 2023-08-01 アプライド マテリアルズ インコーポレイテッド ハードマスク及びその他のパターニング応用のための高密度窒素ドープ炭素膜を製造するための方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
US20240030028A1 (en) * 2020-12-18 2024-01-25 Lam Research Corporation High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113078043A (zh) * 2021-03-24 2021-07-06 长鑫存储技术有限公司 非晶碳膜的形成方法及半导体结构
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
WO2022241042A1 (en) * 2021-05-14 2022-11-17 Lam Research Corporation High selectivity doped hardmask films
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023196846A1 (en) * 2022-04-07 2023-10-12 Lam Research Corporation Hydrogen reduction in amorphous carbon films

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI268962B (en) * 2000-05-09 2006-12-21 Riken Kk Amorphous hard carbon film and mechanical parts
US20130012272A1 (en) * 2000-08-18 2013-01-10 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and display device

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3336682B2 (ja) * 1992-07-02 2002-10-21 住友電気工業株式会社 硬質炭素膜
FR2708624A1 (fr) * 1993-07-30 1995-02-10 Neuville Stephane Procédé de dépôt d'un revêtement protecteur à base de pseudo carbone diamant amorphe ou de carbure de silicium modifié.
US6015597A (en) * 1997-11-26 2000-01-18 3M Innovative Properties Company Method for coating diamond-like networks onto particles
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US7084071B1 (en) 2002-09-16 2006-08-01 Advanced Micro Devices, Inc. Use of multilayer amorphous carbon ARC stack to eliminate line warpage phenomenon
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
JP2005045053A (ja) * 2003-07-23 2005-02-17 Elpida Memory Inc 半導体装置の製造方法
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
JP2006001829A (ja) * 2004-05-20 2006-01-05 Japan Science & Technology Agency チタン炭化物焼結体又はチタンシリコン炭化物焼結体、同製造方法、同加工方法又はコーティング方法及び同用基板
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
KR101055962B1 (ko) * 2008-05-15 2011-08-11 주성엔지니어링(주) 박막패턴 형성방법
KR101559425B1 (ko) 2009-01-16 2015-10-13 삼성전자주식회사 반도체 소자의 제조 방법
US20120258261A1 (en) 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
JP5634962B2 (ja) * 2011-08-26 2014-12-03 株式会社神戸製鋼所 真空成膜装置
US8993072B2 (en) * 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
GB201209693D0 (en) * 2012-05-31 2012-07-18 Dow Corning Silicon wafer coated with a passivation layer
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
CN103014793B (zh) * 2012-12-11 2015-02-11 广东电网公司电力科学研究院 脉冲电沉积制备碳化钛涂层的方法
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9129911B2 (en) 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
JP6182082B2 (ja) * 2013-03-15 2017-08-16 日本碍子株式会社 緻密質複合材料、その製法及び半導体製造装置用部材
JP2014187248A (ja) * 2013-03-25 2014-10-02 Ps4 Luxco S A R L 半導体装置の製造方法
JP6182084B2 (ja) * 2013-03-25 2017-08-16 日本碍子株式会社 緻密質複合材料、その製法、接合体及び半導体製造装置用部材
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI268962B (en) * 2000-05-09 2006-12-21 Riken Kk Amorphous hard carbon film and mechanical parts
US20130012272A1 (en) * 2000-08-18 2013-01-10 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and display device

Also Published As

Publication number Publication date
US20160225632A1 (en) 2016-08-04
CN105845551B (zh) 2021-01-01
JP2016166405A (ja) 2016-09-15
JP6758839B2 (ja) 2020-09-23
US9520295B2 (en) 2016-12-13
CN105845551A (zh) 2016-08-10
KR20160095631A (ko) 2016-08-11
TW201700771A (zh) 2017-01-01

Similar Documents

Publication Publication Date Title
TWI718120B (zh) 使用作為基板處理系統中的硬遮罩之非晶碳與矽膜的金屬摻雜
TWI687539B (zh) 用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法
TWI717336B (zh) 硬遮罩用金屬介電膜之沉積
TWI682062B (zh) 用以減少背側沉積及減輕基板邊緣的厚度改變之系統及方法
TW201708597A (zh) 使用碳基膜之間隙填充
JP7296378B2 (ja) Pecvd金属ドープ炭素ハードマスクのための同質界面層を蒸着するためのシステムおよび方法
JP2017532788A (ja) 調整可能ガスフロー制御のためのガス分離器を含むガス供給配送配置
KR20160062689A (ko) 반도체 기판 프로세싱 장치 내에서 반도체 기판을 균일하게 프로세싱하기 위한 가스 주입 방법
TW201921499A (zh) 半導體製造中的金屬摻雜碳基硬遮罩移除
US11837441B2 (en) Depositing a carbon hardmask by high power pulsed low frequency RF
US20220235464A1 (en) Selective carbon deposition
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法
WO2018195535A1 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage