CN105683115B - 用于玻璃片材和载体的受控结合的玻璃制品和方法 - Google Patents

用于玻璃片材和载体的受控结合的玻璃制品和方法 Download PDF

Info

Publication number
CN105683115B
CN105683115B CN201380072898.7A CN201380072898A CN105683115B CN 105683115 B CN105683115 B CN 105683115B CN 201380072898 A CN201380072898 A CN 201380072898A CN 105683115 B CN105683115 B CN 105683115B
Authority
CN
China
Prior art keywords
carrier
sheet material
glass
sheet
reforming layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380072898.7A
Other languages
English (en)
Other versions
CN105683115A (zh
Inventor
R·A·贝尔曼
D·C·布克班德
R·G·曼利
T·常
J·J·多梅
D·G·伊妮克斯
P·马宗达
V·拉维钱德兰
A·T·斯蒂芬斯二世
J·C·托马斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Corning Inc
Original Assignee
Corning Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Corning Inc filed Critical Corning Inc
Publication of CN105683115A publication Critical patent/CN105683115A/zh
Application granted granted Critical
Publication of CN105683115B publication Critical patent/CN105683115B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/12Interconnection of layers using interposed adhesives or interposed materials with bonding properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/06Interconnection of layers permitting easy separation
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/001General methods for coating; Devices therefor
    • C03C17/002General methods for coating; Devices therefor for flat glass, e.g. float glass
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C27/00Joining pieces of glass to pieces of other inorganic material; Joining glass to glass other than by fusing
    • C03C27/06Joining glass to glass by processes other than fusing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J5/00Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers
    • C09J5/06Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers involving heating of the applied adhesive
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/266Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension of base or substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/3154Of fluorinated addition polymer from unsaturated monomers
    • Y10T428/31544Addition polymer is perhalogenated
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31551Of polyamidoester [polyurethane, polyisocyanate, polycarbamate, etc.]
    • Y10T428/31609Particulate metal or metal compound-containing
    • Y10T428/31612As silicone, silane or siloxane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Laminated Bodies (AREA)
  • Joining Of Glass To Other Materials (AREA)
  • Liquid Crystal (AREA)
  • Surface Treatment Of Glass (AREA)

Abstract

表面改性层(30)和相关的热处理,其可提供在片材(20)和/或载体(10)上,从而同时控制薄片材和载体之间的室温范德华(和/或氢键)结合和高温共价结合。控制室温结合,从而例如在真空加工,湿加工,和/或超声清洁加工过程中,足以将薄片材和载体固定在一起。且同时,控制高温共价结合,从而阻止高温加工时薄片材和载体之间的永久结合,以及保持足够的结合来阻止在高温加工时发生脱层。

Description

用于玻璃片材和载体的受控结合的玻璃制品和方法
本申请根据35U.S.C.§120要求2013年10月07日提交的美国申请系列号14/047506的优先权,并根据35U.S.C.§119要求2012年12月13日提交的美国申请系列号61/736,862的优先权,上述申请的内容是本申请的基础并通过参考完整地结合于此。
背景
发明领域
本发明涉及用于在载体上加工柔性片材的制品和方法,具体来说,涉及在玻璃载体上加工柔性玻璃片材的制品和方法。
背景技术
因使用卷对卷加工,柔性基材有望提供更廉价的装置,并有可能能够制备更薄、更轻、更柔性和更耐久的显示器。但是,卷对卷加工高质量显示器所需的技术、设备和工艺尚没有完全开发。因为面板制造商已重金投资用于加工大玻璃片材的成套工具,所以把柔性基材层压到载体和通过片材-对-片材加工来制备显示器装置为更薄、更轻和更柔性显示器的提案提供短期的解决方案。已证实在聚合物片材例如聚萘二甲酸乙二醇酯(polyethylene naphthalate)(PEN)上形成显示器,其中装置制造是片材对片材的,且将PEN层压到玻璃载体。PEN的上限温度限制了装置质量和可使用的加工。此外,聚合物基材的高可渗透性导致OLED装置的环境降解,但是其中需要近乎气密性的封装。薄膜包封有望克服这个限制,但尚未证实能提供可接受的大规模生产的产率。
按照类似的方式,可使用层压到一种或更多种薄玻璃基材的玻璃载体来制造显示装置。预期薄玻璃的低渗透性和改善的耐温性和耐化学性实现具有更高性能和更长寿命的柔性显示器。
但是,热、真空、溶剂和酸性、超声的平板显示器(FPD)加工要求薄玻璃牢固地结合到载体。FPD过程通常涉及真空沉积(溅射金属、透明导电氧化物和氧化物半导体,化学气相沉积(CVD)沉积无定形硅、氮化硅和二氧化硅,以及金属和绝缘体的干法蚀刻),热过程(包括~300-400℃CVD沉积,最高达600℃的p-Si结晶,350-450℃氧化物半导体退火,最高达650℃的掺杂剂退火,和~200-350℃接触退火),酸性蚀刻(金属蚀刻,氧化物半导体蚀刻),暴露于溶剂(剥离光刻胶,沉积聚合物包封),和暴露于超声波(在光刻胶的溶剂剥离和水性清洁中,通常在碱性溶液中进行该操作)。
粘合剂晶片结合广泛用于微机械***(MEMS)和半导体加工,用于其中加工苛刻程度较低的后端步骤。由布鲁尔科技(Brewer Science)和汉高(Henkel)出售的粘合剂通常是厚聚合物粘合剂层,为5-200微米厚。这些层的较大的厚度潜在地使大量的挥发物、被捕获的溶剂和吸附的物质污染FPD过程。这些材料在高于~250℃时热分解和脱气。这些材料还可通过作为在后续的过程中脱气的气体、溶剂和酸的槽,在下游步骤中导致污染。
2012年02月08日提交的、题目为“使用载体加工柔性玻璃(Processing FlexibleGlass with a Carrier)”美国临时专利申请号61/596,727(下文称为US‘727)批露下述概念,其中涉及通过范德华力把薄片材例如柔性玻璃片材初始地结合到载体,然后在某些区域增大结合强度,但仍然保留在加工薄片材/载体以在该薄片材上形成装置(例如,电子或显示器装置,电子或显示器装置的组件,有机发光装置(OLED)材料,光伏(PV)结构,或薄膜晶体管)之后,去除部分薄片材的能力。至少部分的薄玻璃结合到载体,从而阻止装置加工流体进入薄片材和载体之间,由此降低了污染下游过程的可能性,即,薄片材和载体之间的结合密封部分是气密性的,在一些优选的实施方式中,这种密封包围在制品的外面,由此阻止液体或气体进出密封的制品的任何区域。
US‘727继续批露了在低温多晶硅(LTPS)(与固相结晶加工相比的低温,固相结晶加工可最高达约750℃)设备制造过程中,可使用约600℃或更高的温度,真空,和湿蚀刻环境。这些条件限制可使用的材料,并对载体/薄片材提出了很高的要求。因此,本领域所需的是一种载体方法,该方法利用制造商的现有资金基础结构,使得能加工薄玻璃(即厚度≤0.3mm厚的玻璃),且在较高的加工温度下不污染或损失薄玻璃和载体之间的结合强度,和其中在加工结束时能容易地将薄玻璃与载体解除结合。
如US‘727所述,US‘727批露的方法的商业化优势之一是制造商将能使用它们现有的对加工设备的资金投入,并获得用于例如PV,OLED,LCD和图案化薄膜晶体管(TFT)电子装置的薄的玻璃板的益处。此外,该方法实现加工灵活性,包括用于清洁和表面制备薄玻璃片材和载体来促进结合;用于强化结合区域中在薄片材和载体之间的结合;用于保持非结合(或强度减少/降低的结合)区域中薄片材与载体的可释放性;以及用于切割薄片材来促进从载体的提取。
在玻璃和玻璃结合过程中,清洁玻璃表面来去除所有的金属、有机物和微粒残留物,并得到大体硅醇封端的表面。首先使玻璃表面亲密接触,其中范德华力和/或氢-键结合力将它们拉在一起。借助热量和任选的压力,表面硅醇基团缩合以在整个界面形成强力共价Si-O-Si键,永久性地熔合玻璃工件。金属、有机物和微粒残留物通过隐藏表面防止结合所需的亲密接触来阻止结合。还需要高硅醇表面浓度来形成强力结合,单位面积上的结合数目由在相对的表面上的两硅醇物质反应以缩合出水的概率决定。朱拉威尔(Zhuravlel)报道对于良好水合的氧化硅,平均羟基数/nm2是4.6-4.9。朱拉威尔L.T.(Zhuravlel,L.T.),无定形氧化硅的表面化学,朱拉威尔模型(The Surface Chemistry of AmorphousSilika,Zhuravlev Model),《胶体和表面A:理化工程方面(Colloids and Surfaces A:Physiochemical Engineering Aspects)》173(2000)1-38。在US‘727中,在结合周界之内形成非结合区域,所述的形成这种非结合区域的主要方式是增加表面粗糙度。平均表面粗糙度大于2nmRa可阻止在升高温度的结合过程中形成玻璃和玻璃结合。在由相同发明人在2012年12月13日提交的、题目为“用于控制片材和载体之间的结合的促进的加工(Facilitated Processing for Controlling Bonding Between Sheet and Carrier)”的美国临时专利申请号61/736,880(下文称为US‘880)中,通过控制载体和薄玻璃片材之间的范德华力和/或氢键结合形成受控的结合区域,但也还使用了共价结合区域。因此,虽然US‘727和US‘880中使用载体用于加工薄片材的制品和方法能耐受FPD加工的苛刻环境,但对于有些应用而言不利地是,由于结合区域中薄玻璃和玻璃载体之间的强力共价键阻止了载体的再次利用,在所述结合区域中,该薄玻璃和玻璃载体通过粘附力为~1000-2000mJ/m2的共价作用(例如Si-O-Si)结合进行结合,该粘附力在玻璃断裂强度的量级。撬开/剥离不能用来从载体分离共价地结合部分的薄玻璃,因此,不能从载体去除整个薄片材。相反,划割和提取上面具有装置的非结合区域,留下在载体上的薄玻璃片材的结合的周界。
概述
鉴于上述,本领域需要薄片材(sheet)–载体制品,其可耐受FPD加工的苛刻条件,包括高温加工(不发生脱气,脱气与使用该制品的半导体或显示器制备过程是不兼容的),但又允许从载体去除整个区域的薄片材(所有区域一次性去除,或者分成几部分去除),从而可以再次利用载体来加工另一薄片材。本文描述了控制载体和薄片材之间的粘附以形成临时结合的方法,该临时结合强到足以耐受FPD加工(包括LTPS加工),但又弱到甚至在高温度加工之后,允许解除片材与载体的结合。这种受控的结合可用来形成具有可再利用性的载体的制品,或者在载体和片材之间具有受控的结合和共价结合的图案化的区域的制品。具体来说,本发明提供表面改性层(包括各种材料和相关的表面热处理),可在薄片材和/或载体上提供该表面改性层,从而同时控制薄片材和载体之间的室温范德华力和/或氢键结合和高温共价结合。更具体来说,可控制室温结合,从而在真空加工,湿加工,和/或超声清洁加工过程中,足以将薄片材和载体固定在一起。且同时,可控制高温共价结合,从而阻止高温加工过程中薄片材和载体之间的永久结合,以及保持足够的结合来阻止在高温加工过程中发生脱层。在替代实施方式中,表面改性层可用来形成各种受控的结合区域(其中在通过各种过程(包括真空加工,湿加工,和/或超声清洁加工)之后,载体和片材仍然是充分结合的),该受控的结合区域与共价的结合区域一起提供其它加工选择,例如,甚至在将制品切割成更小的方块(dice)工件(piece)用于其它装置加工之后,保持载体和片材之间的气密性。此外,有些表面改性层提供控制载体和片材之间的结合,并同时减少FPD(例如LTPS)加工环境中苛刻条件下的脱气排放,该FPD加工例如包括高温和/或真空加工。
在以下的详细描述中提出了本发明的附加特征和优点,其中的部分特征和优点对本领域的技术人员而言由所述内容而容易理解,或按文字描述以及附图中所述实施各种方面而被认识。应理解,前面的一般性描述和以下的详细描述都只是各种方面的示例,用来提供理解要求保护的本发明的性质和特性的总体评述或框架。
包括的附图提供了对本发明原理的进一步理解,附图被结合在本说明书中并构成说明书的一部分。附图图示说明了本发明的一个或多个实施方式,并与说明书一起用来说明例如本发明的原理和操作。应理解,在本说明书和附图中揭示的各种特征可以以任意和所有的组合使用。作为非限制性的例子,可以按所附权利要求中所述,将各种特征相互组合。
附图简要说明
图1是制品的示意性侧视图,该制备具有使用在它们之间的表面改性层结合到薄片材的载体。
图2是图1所示制品的分解视图和部分剖视图。
图3是氧化硅上表面羟基浓度随温度变化的关系图。
图4是SC1-清洁的玻璃片材的表面能随退火温度变化的关系图。
图5是沉积在玻璃片材上的薄含氟聚合物膜的表面能随制备膜的成分材料之一的百分比的变化关系图。
图6是通过结合区域结合到载体的薄片材的示意俯视图。
图7是玻璃片材堆叠件的示意性侧视图。
图8是图7所示的堆叠件的一种实施方式的分解视图。
图9是测试装置的示意图。
图10是在不同条件下,不同材料的(图A所示的测试装置的不同部分)的表面能随时间变化的关系图的集合。
图11是不同材料的气泡面积变化百分数随温度变化的关系图。
图12是不同材料的气泡面积变化百分数随温度变化的另一关系图。
详细描述
在以下的详述中,为了说明而非限制,给出了说明具体细节的示例性实施方式,以提供对本发明的各种原理的充分理解。但是,对于本领域普通技术人员显而易见的是,在从本说明书获益后,可以以不同于本文详述的其它实施方式实施本发明。此外,可省略对熟知装置、方法和材料的描述,从而不会模糊对本发明的各种原理的描述。最后,在任何适用的情况下,相同的附图注释表示相同的元件。
在此,范围可以表示为从“约”一个具体值和/或到“约”另一个具体值的范围。当表示这样一个范围的时候,另一个实施方式包括从一个特定值和/或到另一个特定值。类似地,当使用前缀“约”表示数值为近似值时,应理解,具体数值形成另一个方面。还应理解的是,每个范围的端点值在与另一个端点值有关和与另一个端点值无关时,都是有意义的。
本文所用的方向术语,例如上、下、左、右、前、后、顶、底,仅仅是参照绘制的附图而言,并不用来表示绝对的取向。
如本文中所用,单数形式的“一个”、“一种”和“该”包括复数指代形式,除非文中另有明确说明。因此,例如,提到的一种“组件”包括具有两种或更多种这类组件的方面,除非文本中有另外的明确表示。
在US‘727和US‘880中,提供了用于使得能在载体上加工薄玻璃片材的解决方案,通过使至少一部分的薄玻璃片材仍然是“非结合的”从而可从载体去除在薄玻璃片材上加工的装置。但是,通过形成共价的Si-O-Si键,使薄玻璃的周界永久性地(或共价地,或气密性地)结合到载体玻璃。这种共价地结合的周界阻止再次利用载体,因为不能在不损坏薄玻璃和载体的情况下把薄玻璃从该永久性地结合的区域去除。
为了保持有益的表面形状特征,载体通常是显示器级别玻璃基材。因此,在一些情况下,仅仅在使用一次后就丢弃载体是非常浪费和昂贵的。因此,为了降低制造显示器的成本,需要能再次利用载体来加工多于一片薄片材基材。本发明详细描述用于能使薄片材通过FPD加工流水线(lines)的苛刻的环境加工的制品和方法,包括高温加工—其中高温加工是在≥400℃的温度下的加工,并可取决于制备的装置类型而变化,例如,在无定形硅或无定形氧化铟镓锌(IGZO)背板加工中最高达约450℃的温度,在晶体IGZO加工最高达约500-550℃,或在典型的LTPS加工中最高达约600-650℃—并仍然允许在不损坏(例如,其中载体和薄片材中的一种破碎或断裂成两块或更更多块)薄片材或载体的情况下,容易地将薄片材从载体去除,由此可再次利用载体。
如图1和2所示,玻璃制品2具有厚度8,并包括具有厚度18的载体10,具有厚度28的薄片材20(即,具有厚度≤300微米的薄片材,包括但不限于厚度为例如,10-50微米,50-100微米,100-150微米,150-300微米,300,250,200,190,180,170,160,150140,130,120,110,100,90,80,70,60,50,4030,20,或10微米),和具有厚度38的表面改性层30。玻璃制品2设计成使得能在设计用于较厚片材(即,厚度≥.4mm,例如,.4mm,.5mm,.6mm,.7mm,.8mm,.9mm,或1.0mm量级的那些片材)的装置上加工薄片材20,但薄片材20自身≤300微米。即,将厚度8(其是厚度18,28,和38之和)设计成等于较厚片材的厚度,该较厚片材是设备—例如,设计来在基材片材上设置电子装置组件的设备—所设计来加工的工件(piece)。例如,假设厚度38可忽略,如果加工设备设计用于700微米的片材,且薄片材的厚度28是300微米,那么将厚度18选定为400微米。即,表面改性层30并未按照比例显示,相反仅仅为了说明性目的将其显著夸大。此外,表面改性层显示为切开的。实际上,当提供可再次利用的载体时,表面改性层在结合表面14上均匀地设置。通常,厚度38在纳米量级,例如0.1-2.0nm,或最高达10nm,和在一些情况下可最高达100nm。厚度38可用椭圆光度仪(ellipsometer)来测量。此外,表面改性层的存在可通过表面化学分析例如通过ToF Sims质谱来检测。因此,厚度38对制品厚度8的贡献可忽略,在计算决定用于加工具有厚度28的给定薄片材20的载体10的合适的厚度18时,可忽略厚度38。但是,当表面改性层30到达具有***著的厚度38的程度时,在决定用于给定薄片材20的厚度28的载体10的厚度18,以及加工装置所设计的给定厚度时,应考虑该厚度38。
载体10具有第一表面12,结合表面14,周界16,和厚度18。此外,载体10可为任意合适的材料例如包括玻璃。载体并非必须是玻璃,但相反可为陶瓷,玻璃-陶瓷或金属(因为表面能和/或结合可按照类似于下文涉及玻璃载体所述的方式进行控制)。如果载体10由玻璃制成,载体10可为任意合适的组合物,包括铝硅酸盐、硼硅酸盐、硼铝硅酸盐、钠钙硅酸盐,且取决于其最终应用可包含碱或不含碱。厚度18可为约0.2-3mm,或更大,例如0.2,0.3,0.4,0.5,0.6,0.65,0.7,1.0,2.0,或3mm,或更大,并将取决于厚度28和厚度38(当厚度38是不可忽略的情况下),如上所述。此外,载体10可由一层(如图所示)或结合在一起的多层(包括多个薄片材)制成。此外,载体可具有Gen1尺寸或更大,例如,Gen2,Gen3,Gen4,Gen5,Gen8或更大(例如,片材尺寸是从100mmx100mm到3米x3米或更大)。
薄片材20具有第一表面22,结合表面24,周界26,和厚度28。周界16和26可为任意合适的形状,可相互相同,或可相互不同。此外,薄片材20可为任意合适的材料,包括例如玻璃、陶瓷或玻璃-陶瓷。如果由玻璃制成,薄片材20可具有任意合适的组成,包括铝硅酸盐、硼硅酸盐、硼铝硅酸盐、钠钙硅酸盐,且取决于其最终应用可包含碱或不含碱。薄片材的热膨胀系数可与载体的热膨胀系数较接近地匹配,从而防止制品在升高的温度下加工时发生翘曲。薄片材20的厚度28是300微米或更小,如上所述。此外,薄片材可具有Gen1尺寸或更大,例如,Gen2,Gen3,Gen4,Gen5,Gen8或更大(例如,片材尺寸是从100mmx100mm到3米x3米或更大)。
制品2需要正确的厚度不仅是为了在现有装置中加工,还因为需要能使它能够耐受进行加工的苛刻环境。例如,平板显示器(FPD)加工可包括湿、超声、真空和高温(例如,≥400℃)加工。如上所述,对于某些过程,温度可≥500℃,或≥600℃,和最高达650℃。
为了使制品2能够耐受加工的苛刻环境(如FPD制造之时例如),结合表面14应以足够强度结合到结合表面24,从而薄片材20不与载体10分离。而且,在整个加工中应保持这种强度,从而加工时薄片材20不与载体10分离。此外,为了能将薄片材20从载体10去除(从而载体10可再次利用),结合表面14不应通过初始设计的结合力和/或通过因初始设计的结合力变化导致的结合力过强地结合到结合表面24,例如当制品经历在高温例如温度≥400℃下的加工时可发生这种变化。表面改性层30可用来控制结合表面14和结合表面24之间的结合强度,从而同时实现这两个目标。通过控制范德华力(van der Waals)(和/或氢键结合)和共价的吸引能对总粘附能的贡献来实现受控的结合力,该总粘附能通过调节薄片材20和载体10的极性和非极性表面能分量(component)来控制。这种受控的结合强到足以耐受FPD加工(包括湿、超声、真空和包括温度≥400℃的热过程,和在一些情况下,加工温度≥500℃,或≥600℃,和最高达650℃)且在施加足够的分离力时仍然是可解除结合的,且该分离力不会导致毁灭性地损坏薄片材20和/或载体10。这种去结合允许去除薄片材20和在其上制造的装置,还允许再次利用载体10。
虽然表面改性层30显示为薄片材20和载体10之间的固体层,但并非必须如此。例如,层30的厚度可为0.1-2纳米量级,且可不完全覆盖结合表面14的每一处。例如,覆盖率可为≤100%,1%-100%,10%-100%,20%-90%,或者50%-90%。在其他实施方式中,层30可最高达10nm厚,或在其他实施方式中甚至最高达100nm厚。即使表面改性层30不接触载体10和/或薄片材20,也可认为表面改性层30设置在载体10和薄片材20之间。在任意情况下,表面改性层30的重要方面是其改变结合表面14与结合表面24结合的能力,由此控制载体10和薄片材20之间的结合强度。表面改性层30的材料和厚度,以及结合之前对结合表面14,24的处理,可用来控制载体10和薄片材20之间的结合强度(粘附能)。
通常,两个表面之间的粘附能通过下式(“用于表面能和界面能估算的理论.I.界面张力的推导和应用(A theory for the estimation of surface and interfacialenergies.I.derivation and application to interfacial tension)”,L.A.格利法科(L.A.Girifalco)和R.J.固德(R.J.Good),J.Phys.Chem.,V 61,p904)给出:
W=γ1212 (1)
其中γ1、γ2和γ12分别是表面1、表面2的表面能和表面1和2的界面能。单个表面能通常是两部分之和:色散分量γd,和极性分量γp
γ=γdp (2)
当粘附大部分由伦敦(London)色散力(γd)和极性力例如氢键(γp)造成时,界面能可通过下式给出(格利法科(Girifalco)和R.J.固德(R.J.Good),如上所述):
把(3)代入(1)之后,粘附能可大致按照下式计算:
在上述公式(4)中,只考虑了粘附能的范德华力(和/或氢键)分量。这些包括极性-极性相互作用(科索姆(Keesom)),极性-非极性相互作用(德拜(Debye))和非极性-非极性相互作用(伦敦(London))。但是,还可存在其它吸引能,例如共价结合和静电结合。因此,在更概括的形式,上述公式写成如下形式:
其中wc和we是共价和静电粘附能。共价的粘附能是相当普通的,例如在硅晶片结合中,其中把初始地氢键结合的一对晶片加热到更高的温度,从而把大多数或全部的硅醇-硅醇氢键转化成Si-O-Si共价键。虽然初始、室温、氢键结合产生~100-200mJ/m2量级的粘附能,其允许分离结合的表面,但如在高温加工(在400-800℃的量级)中实现的完全共价结合的晶片的粘附能是~1000-3000mJ/m2,这不允许分离结合表面;相反,两个晶片用作整体件。另一方面,如果两表面被完全用低表面能材料(例如含氟聚合物)涂覆,且厚度大到足以屏蔽下面的基材的影响,粘附能将是涂覆材料的粘附能,且非常低,导致在结合表面14,24之间没有粘附或有很低水平的粘附,由此不能在载体10上加工薄片材20。考虑两种极端情况:(a)两标准清洁1(SC1,如本技术领域所公知)清洁的玻璃表面用硅醇基团饱和且在室温下通过氢键结合在一起(由此粘附能是~100-200mJ/m2),然后加热到高温把硅醇基团转化成共价的Si-O-Si键(由此粘附能变成1000-3000mJ/m2)。后一种粘附能过高,使该对玻璃表面不可拆分;和(b)使用含氟聚合物完全涂覆的两玻璃表面,其具有低表面粘附能(~12mJ/m2表面),其在室温下结合并加热到高温。在该后一种情况(b)中,表面不仅不能结合(因为把表面放在一起时总粘附能(~24mJ/m2)过低),在高温下它们也不结合,因为不存在(或存在极少的)极性反应基团。在这两种极端情况之间,存在一定范围的粘附能例如50-1000mJ/m2,这可产生所需程度的受控的结合。因此,发明人发现提供表面改性层30来得到在这两种极端之间的粘附能的各种方式,从而可产生受控的结合,其足以保持一对玻璃基材(例如玻璃载体10和薄玻璃片材20)在严酷的FPD加工中相互结合,且其程度(甚至在例如≥400℃的高温加工之后)还允许在加工完成之后从载体10拆分薄片材20。此外,从载体10拆分薄片材20可通过机械力进行,且以至少不对薄片材20形成毁灭性损坏的方式进行,和此外优选地也不对载体10形成毁灭性损坏。
公式(5)描述了粘附能是4个表面能参数加上共价和静电能(如果有的话)的函数。
适当的粘附能可通过明智地选择表面改性剂即表面改性层30和/或结合之前的表面热处理来实现。适当的粘附能可通过选择结合表面14和/或结合表面24的化学改性剂来获得,这进而同时控制范德华力(和/或氢键作用,这些术语在本说明书全文中可互换使用)粘附能以及可能的因高温加工(例如,在≥400℃的量级)导致的共价结合粘附能。例如,将SC1清洁玻璃(其初始地使用具有高表面能的极性分量的硅醇基团进行饱和)的结合表面作为示例,并用低能量的含氟聚合物涂覆该结合表面,提供控制表面被极性和非极性基团覆盖的分数。这不仅提供控制室温下的初始范德华力(和/或氢键)结合,还提供对更高的温度下的共价的结合的范围/程度的控制。在室温下进行控制初始范德华力(和/或氢键)结合从而在表面之间提供结合以使能进行真空或旋涂-淋洗-干燥(SRD)类加工,在一些情况下,还在表面之间提供容易形成的结合—其中容易形成的结合可在室温下进行却不在薄片材20的整个区域上施加外部施加的力,如在用刮刀(squeegee)把薄片材20压制到载体10或使用减压环境中做的那样。即,初始范德华力结合提供至少最小程度的结合,使薄片材和载体固定在一起,从而如果它们中的一种被固定且另一种经受重力作用时,它们不会分离。在大多数情况下,初始范德华力(和/或氢键)结合具有以下所述的程度,制品还可通过真空、SRD和超声加工且薄片材不从载体脱层。通过表面改性层30(包括制备表面改性层30的材料和/或其待施涂表面处理的表面的表面处理),和/或通过在把结合表面结合之前的结合表面的热处理,这种同时把范德华力(和/或氢键结合)和共价的相互作用精确控制在适当的水平,实现了所需的粘附能,其允许薄片材20在整个FPD类加工中与载体10结合,但同时允许在FPD类加工之后使薄片材20与载体10分离(通过适当的力,其避免损坏薄片材20和/或载体)。此外,在适当的环境下,可将静电电荷施加到一个或两个玻璃表面上,从而提供对粘附能的另一水平的控制。
FPD加工例如p-Si和氧化物TFT制造通常涉及温度高于400℃,高于500℃,在一些情况下,等于或高于600℃,最高达650℃的热过程,在不存在表面改性层30时,这导致薄玻璃片材20与玻璃载体10的玻璃和玻璃结合。因此,控制Si-O-Si键合的形成得到可再次利用的载体。控制升高的温度下Si-O-Si键合形成的方法之一是降低待结合的表面的表面羟基浓度。
如图3所示,它是埃乐尔(Iler)的氧化硅上表面羟基浓度随温度变化的关系图(R.K.埃乐尔(R.K.Iller):氧化硅化学(The Chemistry of Silica)(威力-因特赛斯(Wiley-Interscience)出版社,纽约,1979),羟基(OH基团)数目/平方纳米随着表面温度的增加而降低。因此,加热氧化硅表面(和类似地玻璃表面,例如结合表面14和/或结合表面24)减少表面羟基的浓度,降低两玻璃表面上的羟基相互作用的可能性。表面羟基浓度的这种降低进而减少单位面积形成的Si-O-Si,并降低粘附力。但是,消除表面羟基需要高温下的长退火时间(高于750℃来完全消除表面羟基)。这么长的退火时间和高的退火温度得到昂贵的过程,且该过程不可行,因为它还可能高于典型的显示器玻璃的应变点。
基于上述分析,申请人发现包括薄片材和载体且适于FPD加工(包括LTPS加工)的制品,可通过平衡下述三个概念来制备:
(1)通过控制初始室温结合,改性载体和/或薄片材结合表面,这可通过控制范德华力(和/或氢键)结合来进行,从而形成中等的粘附能(例如,表面结合之前,每平方米的表面具有>40mJ表面能)来促进初始室温结合,和足以耐受非高温度FPD过程例如真空加工,SRD加工,和/或超声加工;
(2)以下述方式对载体和/或薄片材进行表面改性:其是热稳定的,能够耐受FPD过程且不发生脱气,该脱气可导致脱层和/或装置制造中的不可接受的污染,例如对可能使用制品的半导体和/或显示器制备过程的不可接受的污染;和
(3)控制高温下的结合,这可通过控制载体表面羟基浓度,和能在升高的温度(例如,温度≥400℃)下形成强共价键的其它物质的浓度来进行,由此在载体和薄片材的结合表面之间存在受控的结合能,从而甚至在高温加工(特别是经过500-650℃的热过程,如FPD过程中的那样)之后,载体和薄片材之间的粘附力仍然在一定范围内,该范围允许于至少不损坏薄片材(优选地不损坏薄片材或载体)的情况下使用分离力解除载体与薄片材的结合,同时又足以保持载体和薄片材之间的连接从而它们在加工时不发生脱层。
此外,申请人发现使用表面改性层30与适当地制备的结合表面可平衡上述概念,从而容易地实现受控的结合区域,即该结合区域提供足够的薄片材20和载体10之间的室温结合来使制品2可以在FPD类过程(包括真空和湿过程)中进行加工,且该结合区域控制薄片材20和载体10之间的共价的结合(甚至在≥400℃的升高的温度下)从而使得在制品2完成高温加工例如FPD类加工或LTPS加工之后,可以将薄片材20从载体10去除(至少不损坏薄片材,和此外优选地也不损坏载体)。为了评估将提供适用于FPD加工的可再次利用的载体潜在的结合表面制备,和表面改性层,使用一系列测试来分别评估它们的合适性。不同的FPD具有不同的要求,但LTPS和氧化物TFT过程看起来似乎是目前最严格的,因此,选择这些过程中的代表性步骤进行测试,因为这些是制品2理想的应用。真空过程,湿清洁(包括SRD和超声类过程)和湿蚀刻,对于许多FPD应用而言是常见的。典型的aSi TFT制造要求最高达320℃的加工。400℃下的退火用于氧化物TFT过程,而在超过600℃下的结晶和掺杂剂活化步骤用于LTPS加工。因此,使用下面的5个测试来评估特定的结合表面制备和表面改性层30将允许在整个FPD加工中使薄片材20仍然结合到载体10,同时在这种加工(包括在温度≥400℃下的加工)之后允许将薄片材20从载体10(不损坏薄片材20和/或载体10的情况下)去除的可能性。测试按顺序进行,并将样品从一个测试进行到下一个测试,除非失效类型不允许进行后续的测试。
(1)真空测试。真空兼容性测试在STS Multiplex PECVD预真空锁(loadlock)上进行(可购自英国纽波特的SPTS)–该预真空锁通过具有软化泵阀的EbaraA10S干泵进行抽吸(埃巴拉技术公司(Ebara Technologies Inc.),加利福尼亚州萨克拉门托)。将样品置于预真空锁中,然后在45秒内将预真空锁从大气压压力抽吸到70毫托(mTorr)。如果发生下述的情况,则认为发生失效,且在下文的表格的“真空”栏中通过注释“F”来表示:(a)载体和薄片材之间失去粘附(通过裸眼视觉检查,其中如果薄片材从载体掉落或从载体部分地解除结合,则认为发生失效);(b)载体和薄片材之间发生鼓泡(如通过裸眼视觉检查所确定–拍摄加工前后的样品的照片,然后比较,如果缺陷尺寸增加的尺度对人眼可见,则确定发生失效);或(c)薄片材相对于载体的移动(如通过裸眼视觉检查所确定–拍摄测试前后的样品的照片,其中如果结合缺陷例如气泡移动,或如果边缘解除结合,或如果存在薄片材在载体上的移动,则认为发生失效)。在下文的表格中,“真空”栏中的注释“P”表明根据上述标准,该样品没有失效。
(2)湿过程测试。使用Semitool型号SRD-470S(可购自应用材料公司(AppliedMaterials),加利福尼亚州圣特克拉拉)进行湿加工兼容性测试。测试由60秒500rpm(转/分钟)淋洗,500rpm下Q-淋洗到15兆欧姆-厘米(MOhm–cm),500rpm下10秒吹扫,1800rpm下90秒干燥,和2400rpm下于温热的流动氮气下180秒干燥。如果发生下述的情况,则认为发生失效,且在下文的表格的“SRD”栏中通过注释“F”来表示:(a)载体和薄片材之间失去粘附(通过裸眼视觉检查,其中如果薄片材从载体掉落或从载体部分地解除结合,则认为发生失效);(b)载体和薄片材之间发生鼓泡(如通过裸眼视觉检查所确定–拍摄加工前后的样品的照片,然后比较,如果缺陷尺寸增加的尺度对人眼可见,则确定发生失效);(c)薄片材相对于载体的移动(如通过裸眼视觉检查所确定–拍摄测试前后的样品的照片,其中如果结合缺陷例如气泡移动,或如果边缘解除结合,或如果存在薄片材在载体上的移动,则认为发生失效);或(d)薄片材下渗水(如通过50倍的光学显微镜视觉检查所确定,其中如果可观察到液体或残留物,则确定发生失效)。在下文的表格中,“SRD”栏中的注释“P”表明根据上述标准,该样品没有失效。
(3)温度到400℃的测试。使用Alwin21Accuthermo 610 RTP(可购自Alwin21,加利福尼亚州圣特克拉拉)进行400℃加工兼容性测试。把具有结合的薄片材的载体在腔室中加热,其进行下述循环:以6.2℃/分钟的速率从室温加热到400℃,在400℃下保持600秒,和以1℃/分钟的速率冷却到300℃。然后,使载体和薄片材冷却到室温。如果发生下述的情况,则认为发生失效,且在下文的表格的“400℃”栏中通过注释“F”来表示:(a)载体和薄片材之间失去粘附(通过裸眼视觉检查,其中如果薄片材从载体掉落或从载体部分地解除结合,则认为发生失效);(b)载体和薄片材之间发生鼓泡(如通过裸眼视觉检查所确定–拍摄加工前后的样品的照片,然后比较,如果缺陷尺寸增加的尺度对人眼可见,则确定发生失效);或(c)载体和薄片材之间增加的粘附,这种增加的粘附阻止在不损坏薄片材或载体的情况下从载体解离薄片材(通过在薄片材和载体之间***剃刀片,和/或将一片KaptonTM胶带,1”宽x6”长且有2-3”连接到100平方毫米的薄玻璃(K102系列,购自纽约霍斯科(Hoosik)的圣戈班高性能塑料公司(Saint Gobain Performance Plastic))粘附到薄片材并在胶带上牵拉),其中如果在试图分离薄片材和载体时损坏薄片材或载体,或者如果薄片材和载体不能通过实施任一解除结合的方法来解除结合,则认为发生失效。此外,在薄片材与载体结合之后且在热循环之前,在代表性样品上实施结合解除测试,从而确定特定的材料(包括任意相关的表面处理)在温度循环之前的确允许从载体解离薄片材。在下文的表格中,“400℃”栏中的注释“P”表明根据上述标准,该样品没有失效。
(4)温度到600℃的测试。使用Alwin21Accuthermo 610RTP实施600℃加工兼容性测试。把具有薄片材的载体在腔室中加热,其进行下述循环:以9.5℃/分钟的速率从室温加热到600℃,在600℃下保持600秒,和以1℃/分钟的速率冷却到300℃。然后,使载体和薄片材冷却到室温。如果发生下述的情况,则认为发生失效,且在下文的表格的“600℃”栏中通过注释“F”来表示:(a)载体和薄片材之间失去粘附(通过裸眼视觉检查,其中如果薄片材从载体掉落或从载体部分地脱离,则认为发生失效);(b)载体和薄片材之间发生鼓泡(如通过裸眼视觉检查所确定–拍摄加工前后的样品的照片,然后比较,如果缺陷尺寸增加的尺度对人眼可见,则确定发生失效);或(c)载体和薄片材之间增加的粘附,这种增加的粘附阻止在不损坏薄片材或载体的情况下从载体脱离薄片材(通过在薄片材和载体之间***剃刀片,和/或将一片如上所述的KaptonTM胶带粘附到薄片材并在胶带上牵拉),其中如果在试图分离薄片材和载体时损坏薄片材或载体,或者如果薄片材和载体不能通过实施任一脱离方法来脱离,则认为发生失效。此外,在薄片材与载体结合之后且在热循环之前,在代表性样品上实施脱离测试,从而确定特定的材料和任意相关的表面处理在温度循环之前的确允许从载体脱离薄片材。在下文的表格中,“600℃”栏中的注释“P”表明根据上述标准,该样品没有失效。
(5)超声测试。通过在4个水槽流水线中清洁制品来实施超声兼容性测试,其中依次从水槽#1到水槽#4在各水槽中处理制品。这4个水槽的水槽尺寸分别是18.4”Lx10”Wx15”D。两个清洁水槽(#1和#2)包含50℃下在去离子水中的1%Semiclean KG(可购自日本横滨的横滨油脂工业有限公司(Yokohama Oils and Fats Industry Co Ltd)。清洁水槽#1使用NEY PROSONIK2104kHz超声发声器(可购自纽约詹姆斯顿的黑石-NEY超声公司(Blackstone-NEY Ultrasonics))进行搅拌,清洁水槽#2使用NEYPROSONIK2104kHz超声发声器进行搅拌。两个淋洗水槽(水槽#3和水槽#4)包含50℃下的去离子水。淋洗水槽#3通过NEY SWEEPSONIK 2D 72kHz超声发声器进行搅拌,淋洗水槽#4通过NEY SWEEPSONIK 2D104kHz超声发声器进行搅拌。在各水槽#1-4中的过程实施10分钟,然后在于从水槽#4取出样品之后,进行旋涂淋洗干燥(SRD)。如果发生下述的情况,则认为发生失效,且在下文的表格的“超声”栏中通过注释“F”来表示:(a)载体和薄片材之间失去粘附(通过裸眼视觉检查,其中如果薄片材从载体掉落或从载体部分地脱离,则认为发生失效);(b)载体和薄片材之间发生鼓泡(如通过裸眼视觉检查所确定–拍摄加工前后的样品的照片,然后比较,如果缺陷尺寸增加的尺度对人眼可见,则确定发生失效);或(c)形成其它明显的(gross)缺陷(如通过50倍的光学显微镜视觉检查所确定,其中如果在薄玻璃和载体之间存在之前没有观察到的被俘获的颗粒,则认为发生失效;或(d)薄片材下渗水(如通过50倍的光学显微镜视觉检查所确定,其中如果可观察到液体或残留物,则确定发生失效)。在下文的表格中,“超声”栏中的注释“P”表明根据上述标准,该样品没有失效。此外,在下文的表格中,如果“超声”栏是空白,表明样品没有这样进行测试。
通过用加热减少羟基来制备结合表面
通过加工具有玻璃载体10和薄玻璃片材20但在它们之间没有表面改性层30的制品2,来证明使用表面改性层30改性结合表面14,24中的一个或多个,从而制品2能成功地经历FPD加工的益处(即,其中加工时薄片材20仍然结合到载体10,且在包括高温加工的加工之后可与载体10分离)。具体来说,首先尝试通过加热制备结合表面14,24来减少羟基基团,但没有使用表面改性层30的做法。清洁载体10和薄片材20,使结合表面14和24相互结合,然后测试制品2。用于制备用来结合的玻璃的典型的清洁过程是SC1清洁过程,其中在稀的过氧化氢和碱(通常是氢氧化铵,但还可使用氢氧化四甲铵溶液例如JTBakerJTB-100或JTB-111)中清洁玻璃。清洁从结合表面去除颗粒,并使得知道表面能,即它提供表面能的基线。清洁的方式不一定必须是SC1,可使用其它类型的清洁,因为清洁的种类可能对表面上的硅醇基团只具有非常小的影响。用于各种测试的结果见下文的表1。
通过简单地清洁100平方毫米x100微米厚的薄玻璃片材,和150mm直径单一平均平坦(SMF)晶片0.50或0.63mm厚的玻璃载体来形成牢固但可分离的初始、室温或范德华力和/或氢键-结合,它们分别包含显示器玻璃(不含碱的硼铝硅酸盐玻璃玻璃,其平均表面粗糙度Ra在0.2nm的量级,可从纽约康宁的康宁有限公司(Corning Incorporated)购买)。在本实施例中,于65℃下在40:1:2的去离子水:JTB-111:过氧化氢的浴中将玻璃清洁10分钟。薄玻璃或玻璃载体可在400℃下的氮气中进行或不进行10分钟的退火以除去残余的水–下文表1中的“载体”栏或“薄玻璃”栏的注释“400℃”表明样品在400℃下在氮气中进行10分钟的退火。FPD加工兼容性测试证实该SC1-SC1初始的、室温结合的机械强度足以通过真空,SRD和超声测试。但是,在高于或等于400℃下的加热在薄玻璃和载体之间形成永久的结合,即,不能在不损坏薄玻璃片材和/或载体的情况下从载体去除薄玻璃片材。甚至对于实施例1c亦是如此,其中载体和薄玻璃分别进行退火步骤来降低表面羟基的浓度。因此,如上所述仅通过加热然后结合载体10和薄片材12但不使用表面改性层30制备的结合表面14,24,不是适用于FPD过程的受控的结合,其中温度将≥400℃。
表1-SC1-处理的玻璃结合表面的加工兼容性测试
实施例 载体 薄玻璃 真空 SRD 400C 600C 超声
1a SC1 SC1 P P F F P
1b SC1,400C SC1 P P F F P
1c SC1,400C SC1,400C P P F F P
通过羟基减少和表面改性层制备结合表面
可同时使用羟基减少(例如通过热处理)和表面改性层30来控制结合表面14,24的相互作用。例如,可控制结合表面14,24的结合能(同时包括室温下因极性/色散能分量导致的范德华力和/或氢键-结合,和高温下因共价能分量导致的共价的结合),从而提供不同的结合强度,包括其中难以形成室温结合,允许容易地形成室温结合和在高温加工之后分离结合表面,以及在高温加工之后阻止在不损坏的情况下分离表面的结合强度。在有些应用中,可希望不具有结合或具有非常弱的结合(如当表面处于“非结合”区域时,如在US‘727的薄片材/载体概念中所述的“非结合”区域,以及如下所述)。在其它应用中,例如提供用于FPD过程的可再次利用的载体等(其中可实现加工温度≥500℃,或≥600℃,和最高达650℃),需要足够的范德华力和/或氢键-结合在室温下初始地使薄片材和载体在一起,和又防止或限制高温共价的结合。还对于其它应用,可希望具有足够的室温结合来初始地使薄片材和载体在一起,并在高温下形成强的共价的结合(如当表面处于“结合区域”时,如在US‘727的薄片材/载体概念中所述的“结合区域”,以及如下所述)。虽然无意受限于理论,但在一些情况下,可使用表面改性层来控制使薄片材和载体初始地在一起的室温结合,而减少表面上的羟基基团(如通过加热表面,或例如通过使羟基基团与表面改性层反应)可用来控制共价的结合,特别是在高温下的共价的结合。
用于表面改性层30的材料可提供结合表面14,24,其具有能量(例如,能量<40mJ/m2,如对于一个表面所测量,包括极性和色散分量),由此表面只产生微弱的结合。在一实施例中,可使用六甲基二硅氮烷(HMDS)来形成这种低表面能,通过与表面羟基反应得到三甲基甲硅烷基(TMS)封端的表面。HMDS用作表面改性层可与表面加热一起使用,从而减少羟基浓度来同时控制室温和高温结合。通过分别为结合表面14,24选择合适的结合表面制备,可取得具有不同能力的制品。具体来说,有意义的是提供用于LTPS加工的可再次利用载体,可在薄玻璃片材20和玻璃载体10之间实现合适的结合,从而耐受(或通过)真空SRD,400℃(部分a和c),和600℃(部分a和c),加工测试。
在一实施例中,在SC1清洁之后用HMDS处理薄玻璃和载体形成微弱结合的表面,这使得室温下使用范德华(和/或氢键结合)力的结合很有难度。施加机械力来结合薄玻璃和载体。如表2的实施例2a所示,这种结合足够微弱,在真空测试和SRD加工中观察到载体的挠曲,在400℃和600℃热过程中观察到鼓泡(很可能是因脱气造成的),和在超声加工之后观察到微粒缺陷。
在另一实施例中,HMDS只处理一个表面(所述实施例中是载体)形成较强的室温粘附,其能够耐受真空和SRD加工。但是,高于或等于400℃的热过程永久性地结合薄玻璃和载体。这是意料之中的,因为辛道夫(Sindorf)和麦克希尔(Maciel)在J.Phys.Chem.1982,86,5208-5219中计算三甲基甲硅烷基在氧化硅上的最大表面覆盖率是2.8/nm2,且苏拉特瓦拉(Suratwala)等在《非结晶固体学报(Journal of Non-Crystalline Solids)》316(2003)349–363测得为2.7/nm2,而完全羟基化氧化硅的羟基浓度是4.6-4.9/nm2。即,虽然三甲基甲硅烷基的确与一些表面羟基结合,但仍然存在一些未结合的羟基。因此,本领域普通技术人员能预期若有足够的时间和温度,表面硅醇基团会发生缩合以永久性地结合薄玻璃和载体。
可通过在HMDS暴露之前加热玻璃表面减少表面羟基浓度来形成不同的表面能,导致增加表面能的极性分量。这同时降低用于高温下形成共价的Si-O-Si键的驱动力,并导致更强的室温结合,例如,范德华力(和/或氢键)结合。图4显示显示器玻璃载体在退火和HMDS处理之后的表面能。通过增加极性贡献(线404),HMDS暴露之前增加的退火温度在HMDS暴露之后增加总(极性和色散)表面能(线402)。还观察到通过热处理,对总表面能的色散贡献(线406)仍然是基本上未改变的。虽然无意受限于理论,但增加表面能极性分量和由此增加HMDS处理之后的总表面能,看起来是因甚至在HMDS处理之后仍存在一些暴露的玻璃表面区域(由HMDS覆盖的下部单层TMS导致)造成的。
在实施例2b中,在与非热处理的具有HMDS涂层的载体结合之前,在真空中于150℃的温度下,将薄玻璃片材加热1小时。薄玻璃片材的这种热处理不足以阻止温度≥400℃时薄玻璃片材和载体的永久结合。
如表2的实施例2c-2e所示,改变HMDS暴露之前玻璃表面的退火温度,可改变玻璃表面的结合能从而控制玻璃载体和薄玻璃片材之间的结合。
在实施例2c中,载体在真空中于190℃的温度下退火1小时,然后进行HMDS暴露来提供表面改性层30。此外,在与载体结合之前,薄玻璃片材在真空中于450℃下退火1小时。所得制品能够耐受真空,SRD,和400℃测试(部分a和c,但没有通过部分b,因为存在增加的鼓泡),但没有通过600℃测试。因此,虽然与实施例2b相比存在增加的耐高温结合,但这不足以制备用于在温度≥600℃(例如LTPS加工)的加工的制品,其中载体是可再次利用的。
在实施例2d中,载体在真空中于340℃的温度下退火1小时,然后进行HMDS暴露来提供表面改性层30。同样地,在与载体结合之前,薄玻璃片材在真空中于450℃退火下1小时。结果类似于实施例2c,其中制品能够耐受真空,SRD,和400℃测试(部分a和c,但没有通过部分b因为存在增加的鼓泡),但没有通过600℃测试。
如实施例2e所示,在真空中于450℃下将薄玻璃和载体退火1小时,然后使载体进行HMDS暴露,随后结合载体和薄玻璃片材,改善永久结合的耐温性。在450℃下退火两个表面,由此可以防止在于600℃下进行RTP退火10分钟之后的永久结合,即该样品可以通过600℃加工测试(部分a和c,但没有通过部分b,因为存在增加的鼓泡;对于400℃测试得到类似的结果)。
表2-HMDS表面改性层的加工兼容性测试
实施例 载体 薄玻璃 真空 SRD 400C 600C 超声
2a SC1,HMDS SC1,HMDS F F P P F
2b SC1,HMDS SC1,150C P P F F
2c SC1,190C,HMDS SC1,450C P P P F
2d SC1,340C,HMDS SC1,450C P P P F
2e SC1,450C,HMDS SC1,450C P P P P
在上述实施例2a-2e中,载体和薄片材分别是玻璃,其中载体是150mm直径的SMF晶片,630微米厚,薄片材是100平方毫米100微米厚。HMDS通过在YES-5HMDS烘箱(可购自加利福尼亚州圣乔斯的YES公司(Yield Engineering Systems))中的脉冲蒸气沉积来施涂,且是一个原子层厚度(即,约0.2-1nm),尽管表面覆盖率可能小于一个单层,即,有些表面羟基没有被HMDS覆盖,如麦克希尔(Maciel)所发现和如上所述。因为表面改性层的厚度较小,发生可在装置制造中导致污染的脱气的风险很小。此外,如表2通过“SC1”注释所示,在热处理或任何后续的HMDS处理之前,使用SC1过程分别清洁载体和薄片材。
实施例2a与实施例2b的比较表明可通过改变包括表面改性层的表面的数目,来控制薄片材和载体之间的结合能。此外,控制结合能可用来控制两个结合表面之间的结合力。此外,实施例2b-2e的比较表明表面的结合能可通过改变在施涂表面改性材料之前结合表面所进行的热处理的参数来控制。同样地,热处理可用来减少表面羟基的数目,因此,控制共价的结合的程度,特别是在高温下的共价结合的程度。
可以不同方式作用来控制结合表面上表面能的其它材料,可用于表面改性层30,从而控制两个表面之间的室温和高温结合力。例如,如果使用表面改性层将一个或两个结合表面改性成具有中等结合力,且该表面改性层覆盖或空间阻碍例如羟基的物质从而阻止在升高的温度下在载体和薄片材之间形成强的永久共价键,也可形成可再次利用的载体。形成可调节的表面能和覆盖表面羟基来阻止形成共价键的方法之一是沉积等离子体聚合物膜,例如含氟聚合物膜。在大气压压力或减压和等离子体激发(DC或RF平行板,电感耦合等离子体(ICP),电子旋风共振(ECR),下游微波或RF等离子体)下,等离子体从来源气体聚合沉积薄聚合物膜,该来源气体包括例如氟碳来源(包括CF4,CHF3,C2F6,C3F6,C2F2,CH3F,C4F8,氯氟碳(chlorofluoro carbon)或氢氯氟碳(hydrochlorofluoro carbon)),烃例如烷烃(包括甲烷、乙烷、丙烷、丁烷),烯烃(包括乙烯、丙烯),炔烃(包括乙炔),和芳香族化合物(包括苯、甲苯),氢,和其它气体来源例如SF6。等离子体聚合构建一层高度交联的材料。控制反应条件和来源气体可用来控制膜厚度、密度和化学特性,从而根据所需应用定制官能团。
图5显示使用牛津(0xford)ICP380蚀刻装置(tool)(可购自英国牛津郡的牛津仪器公司(Oxford Instruments))从CF4-C4F8混合物沉积的等离子体聚合的含氟聚合物(PPFP)膜的总(线502)表面能(包括极性(线504)和色散(线506)分量)。将膜沉积到玻璃片材上,光谱椭圆光度仪显示膜是1-10nm厚。由图5可知,使用含小于40%C4F8的等离子体聚合的含氟聚合物膜处理的玻璃载体显示>40mJ/m2的表面能,并在室温下通过范德华力或氢键结合在薄玻璃和载体之间形成受控的结合。当在室温下初始地结合载体和薄玻璃时,观察到促进的结合。即,当将薄片材设置到载体上并在某一点将它们压在一起时,波振面(wave front)移动越过载体,但其速度比SC1处理的但上面没有表面改性层的表面的速度更低。受控的结合足以耐受所有标准FPD过程,包括真空,湿,超声,和最高达600℃的热过程,即在薄玻璃没有从载体移动或脱层的情况下,受控的结合通过600℃加工测试。通过如上所述使用剃刀片和/或KaptonTM胶带进行剥离实现脱离。两种不同的PPFP膜(如上所述沉积的)的加工兼容性见表3。实施例3a的PPFP1使用C4F8/(C4F8+CF4)=0形成,即,使用CF4/H2但不使用C4F8来形成,实施例3b的PPFP2使用C4F8/(C4F8+CF4)=0.38来沉积。两类PPFP膜能够耐受真空,SRD,400℃和600℃加工测试。但是,在20分钟超声清洁PPFP2之后观察到脱层,表明粘附力不足以耐受这种加工。但是,表面改性层PPFP2可用于有些应用,例如其中无需超声加工的应用。
表3--PPFP表面改性层的加工兼容性测试
宾施例 载体 薄玻璃 真空 SRD 400C 600C 超声
3a PPFP1 SC1,150C P P P P P
3b PPFP2 SC1,150C P P P P F
在上述实施例3a和3b中,载体和薄片材分别是玻璃,其中载体是150mm直径SMF晶片630微米厚,薄片材是100平方毫米100微米厚。因为表面改性层的厚度较小,发生可在装置制造中导致污染的脱气的风险很小。此外,因为表面改性层不会表现出降解,存在甚至更小的脱气风险。此外,如表3所示,在真空中于150℃下将薄片材热处理1小时之前,使用SC1过程清洁各薄片材。
此外,可以不同方式作用来控制表面能的其它材料,可用作表面改性层,从而控制薄片材和载体之间的室温和高温结合力。例如,能形成受控的结合的结合表面可通过硅烷处理玻璃载体和/或玻璃薄片材来形成。选定硅烷从而形成合适的表面能,从而具有用于应用的足够的热稳定性。待处理的载体或薄玻璃可通过例如O2等离子体或UV-臭氧,和SC1或标准清洁2(SC2,如本技术领域所公知)清洁进行清洁,从而去除将干扰硅烷与表面硅醇基团反应的有机物和其它杂质(例如金属)。还可使用基于其它化学品的洗涤,例如HF,或H2SO4洗涤化学品。在施涂硅烷之前,可加热载体或薄玻璃来控制表面羟基浓度(如上针对HMDS表面改性层所述),和/或可在硅烷施涂之后进行加热,来完成硅烷与表面羟基的缩合。在硅烷化之后,结合之前,可使未反应的羟基基团的浓度足够低,从而阻止在温度≥400℃下薄玻璃和载体之间的永久结合,即,从而形成受控的结合。这种方法如下所述。
实施例4a
玻璃载体的结合表面用O2等离子体和SC1处理,然后使用在甲苯中的1%十二烷基三乙氧基硅烷(DDTS)处理,并在真空中于150℃下退火1小时来完成缩合。DDTS处理的表面呈现的表面能是45mJ/m2。如表4所示,把玻璃薄片材(进行SC1清洁并在真空中于400℃下加热1小时)结合到上面具有DDTS表面改性层的载体结合表面。制品能够耐受湿过程和真空过程测试,但没有能够耐受高于400℃的热过程且不在载体下形成气泡,所述气泡是因为硅烷的热分解造成的。对于所有的线性烷氧基和氯代烷基硅烷R1xSi(OR2)y(Cl)z其中x=1-3,和y+z=4-x这种热分解是可预期的,但甲基、二甲基、和三甲基硅烷(x=1-3,R1=CH3)除外,它们产生具有良好热稳定性的涂层。
实施例4b
玻璃载体的结合表面用O2等离子体和SC1处理,然后使用在甲苯中的1%3,3,3,三氟丙基三乙氧基硅烷(3,3,3,trifluoropropyltritheoxysilane)(TFTS)处理,并在真空中于150℃下退火1小时来完成缩合。TFTS处理的表面呈现的表面能是47mJ/m2。如表4所示,把玻璃薄片材(进行过SC1清洁并随后在真空中于400℃下加热1小时)结合到上面具有TFTS表面改性层的载体结合表面。该制品能够耐受真空,SRD,和400℃过程测试且没有永久结合玻璃薄片材和玻璃载体。但是,600℃测试产生在载体下形成的气泡,这是由硅烷的热分解造成的。因为丙基基团的热稳定性有限,这是可预期的。虽然因为鼓泡,该样品没有通过600℃测试,但该实施例的材料和热处理可用于一些应用,这些应用中可容忍气泡和它们的不利影响,例如表面平坦度降低或增加的起伏。
实施例4c
玻璃载体的结合表面用O2等离子体和SC1处理过,然后使用在甲苯中的1%苯基三乙氧基硅烷(PTS)处理,并在真空中于200℃下退火1小时来完成缩合。PTS处理过的表面呈现的表面能是54mJ/m2。如表4所示,把玻璃薄片材(进行SC1清洁并随后在真空中于400℃下加热1小时)结合到具有PTS表面改性层的载体结合表面。该制品能够耐受真空,SRD,和最高达600℃的热过程且没有永久结合玻璃薄片材和玻璃载体。
实施例4d
玻璃载体的结合表面用O2等离子体和SC1处理,然后使用在甲苯中的1%二苯基二乙氧基硅烷(DPDS)处理,并在真空中于200℃下退火1小时来完成缩合。DPDS处理的表面呈现的表面能是47mJ/m2。如表4所示,把玻璃薄片材(已进行过SC1清洁并随后在真空中于400℃下加热1小时)结合到具有DPDS表面改性层的载体结合表面。该制品能够耐受真空和SRD测试,以及最高达600℃热过程且没有永久结合玻璃薄片材和玻璃载体。
实施例4e.
玻璃载体的结合表面用O2等离子体和SC1处理,然后使用在甲苯中的1%4-五氟苯基三乙氧基硅烷(PFPTS)处理,并在真空中于200℃下退火1小时来完成缩合。PFPTS处理过的表面呈现的表面能是57mJ/m2。如表4所示,把玻璃薄片材(已经进行过SC1清洁并随后在真空中于400℃下加热1小时)结合到具有PFPTS表面改性层的载体结合表面。该制品能够耐受真空和SRD测试,以及最高达600℃的热过程且没有永久结合玻璃薄片材和玻璃载体。
表4-硅烷表面改性层的加工兼容性测试
实施例 载体 薄玻璃 真空 SRD 400C 600C
4a SC1,DOTS SC1,400C P P F F
4b SC1,TFTS SC1,400C P P P F
4c SC1,PTS SC1,400C P P P P
4d SC1,DPDS SC1,400C P P P P
4e SC1,PFPTS SC1,400C P P P P
在上述实施例4a-4e中,载体和薄片材分别是玻璃,其中载体是150mm直径SMF晶片,630微米厚,薄片材是100平方毫米,100微米厚。硅烷层是自组装的单层(SAM),因此在小于约2nm厚的量级。在上述实施例中,使用有机硅烷来构建SAM,该有机硅烷具有芳基或烷基非极性尾部基团和单烷氧化物、二烷氧化物或三烷氧化物头部基团。这些与玻璃表面上的硅醇反应,直接连接有机官能团。非极性头部基团之间的更弱的相互作用组织有机层。因为表面改性层的厚度较小,发生可在装置制造中导致污染的脱气的风险很小。此外,因为在实施例4c,4d,和4e中表面改性层没有表现出降解,存在甚至更小的脱气风险。此外,如表4所示,在真空中于400℃下将玻璃薄片材热处理1小时之前,使用SC1过程清洁各玻璃薄片材。
从实施例4a-4e的比较可知,控制结合表面的表面能大于40mJ/m2从而促进初始室温结合不是形成受控的结合的唯一考虑,该受控的结合能够耐受FPD加工和仍然在不损坏的情况下将薄片材从载体去除。具体来说,从实施例4a-4e可知,各载体的表面能大于40mJ/m2,这促进初始室温结合从而制品能够耐受真空和SRD加工。但是,实施例4a和4b没有通过600℃加工测试。如上所述,对于某些应用,下述是重要的:结合能够耐受最高达高温(例如,≥400℃,≥500℃,或≥600℃,最高达650℃,视制品设计所用于的过程而定)的加工且不将结合降解到不足以把薄片材和载体固定在一起的程度,以及还控制在这种高温下发生的共价的结合,从而薄片材和载体之间不存在永久结合。如表4中的实施例所示,芳香族硅烷特别是苯基硅烷可用于提供受控的结合,其促进初始室温结合并耐受FPD加工且仍然允许在不损坏的情况下将薄片材从载体去除。
如上在实施例4,3,和2中所述的分离在室温进行,无需添加任意其它的热或化学能来改变薄片材和载体之间的结合界面。唯一的能量输入是机械牵拉和/或剥离力。
如上在实施例3和4中所述的材料可施涂到要结合在一起的载体,薄片材,或同时应用到载体和薄片材表面。
受控的结合的应用
可再次利用的载体
通过表面改性层(包括材料和相关的结合表面热处理)的受控的结合的应用之一是在制品中提供再次利用的载体,该制品经历需要温度≥600℃的过程例如在LTPS加工中的过程。表面改性层(包括材料和结合表面热处理),的例子参见上文所述的实施例2e,3a,3b,4c,4d,和4e,可用来提供在这些温度条件下的可再次利用的载体。具体来说,这些表面改性层可用来改性薄片材和载体的结合区域的重叠区域的表面能,由此在加工之后,可将整个薄片材与载体分离。薄片材可一次性分离,或可分几部分分离,例如当首先去除在薄片材的部分上制备的装置和然后去除其余部分来清洁载体用于再次利用时。在把整个薄片材从载体去除的情况下,可通过简单地将另一薄片材置于载体上来再次利用载体。或者,可清洁载体,并通过重新形成表面改性层,准备用于再次携带薄片材。因为表面改性层阻止薄片材和载体的永久结合,它们可用于其中温度≥600℃的过程。当然,虽然这些表面改性层可在温度≥600℃下的加工中控制结合表面能,但它们也可用于制备将耐受更低温度加工的薄片材和载体的组合,并可用于这种更低温度应用来控制结合。此外,其中制品的热加工不超过400℃时,如实施例2c,2d,4b所示例化的表面改性层也可以这种方式使用。
提供受控的结合区域
通过表面改性层(包括材料和相关的结合表面热处理)的受控的结合第二个应用是在玻璃载体和玻璃薄片材之间提供受控的结合区域。具体来说,使用表面改性层可形成受控的结合区域,其中在不因结合导致地损坏薄片材或载体的情况下,足够的分离力可将薄片材部分与载体分离,但在整个加工中保持足够的结合力来相对于载体固定薄片材。参考图6,玻璃薄片材20可通过结合区域40结合到玻璃载体10。在结合区域40中,载体10和薄片材20相互共价地结合从而它们作为整体件。此外,存在具有周界52的受控的结合区域50,其中载体10和薄片材20是连接的,但可相互分离,甚至在高温加工例如在温度≥600℃下的加工之后也可以实现上述效果。虽然图6显示10个受控的结合区域50,但可提供任意合适数量的结合区域,包括1个。包括材料和结合表面热处理的表面改性层30,如上文实施例2a,2e,3a,3b,4c,4d,和4e所示例化,可用于提供载体10和薄片材20之间的受控的结合区域50。具体来说,这些表面改性层可在受控的结合区域50的周界52之内形成,要么形成在载体10上,要么形成在薄片材20上。因此,当制品2在高温下加工时,在结合区域40或在装置加工时形成共价的结合,可在由周界52围住的区域之内提供在载体10和薄片材20之间的受控的结合,由此分离力可分离(但不毁灭性损坏薄片材或载体)在该区域中的薄片材和载体,但薄片材和载体在加工(包括超声加工)时不发生脱层。因此,如通过表面改性层和任意相关的热处理所提供,本发明的受控的结合能改善US‘727中的载体概念。具体来说,虽然证实使用它们的结合周界和非结合中央区域,US‘727的载体能够耐受FPD加工(包括≥约600℃的高温加工),但超声过程例如湿清洁和抗蚀剂(resist)剥离加工仍然极具挑战。具体来说,观察到溶液中的压力波在非结合区域(如US‘727所述的非结合)的薄玻璃中诱导交感振动,因为在该区域中没有或只有很小的结合薄玻璃和载体的粘附力。可在薄玻璃中形成驻波,其中这些波可导致振动,如果超声搅拌强度足够高,这可导致结合和非结合区域之间的界面处的薄玻璃破碎。这种问题可通过下述方式来消除:最小化薄玻璃和载体之间的间隙,以及在这些区域50中在载体20和薄玻璃10之间提供足够的粘附,或提供受控的结合。结合表面的表面改性层(包括材料和任意相关的热处理,如通过实施例2a,2e,3a,3b,4c,4d,和4e所示例化)控制结合能,从而提供足够的薄片材20和载体10之间的结合来避免受控的结合区域中的这些不利的振动。
然后,在提取具有周界57的所需零件56时,在加工和在沿着周界57分离薄片材之后,在周界52之内的薄片材20的部分可简单地与载体10分离。因为表面改性层控制结合能来阻止薄片材和载体的永久结合,它们可用于其中温度≥600℃的过程。当然,虽然这些表面改性层可在温度≥600℃下的加工中控制结合表面能,但它们也可用于制备将耐受更低温度加工的薄片材和载体组合,并可用于这种更低温度应用。此外,其中制品的热加工不超过400℃时,如实施例2c,2d,4b所示例化的表面改性层-在一些情况下,取决于其它过程要求-也可以这种方式使用来控制粘合表面能。
提供结合区域
通过表面改性层(包括材料和任意相关的结合表面热处理)的受控的结合的第三个应用是在玻璃载体和玻璃薄片材之间提供结合区域。参考图6,玻璃薄片材20可通过结合区域40结合到玻璃载体10。
在第三个应用的一种实施方式中,结合区域40,载体10和薄片材20相互共价地结合从而它们作为整体件。此外,存在具有周界52的受控的结合区域50,其中载体10和薄片材20相互结合,其足以耐受加工,并且甚至在高温加工例如温度≥600℃下的加工之后,仍然允许将薄片材与载体分离。因此,表面改性层30(包括材料和结合表面热处理),如上文实施例1a,1b,1c,2b,2c,2d,4a,和4b所示例化,可用于提供载体10和薄片材20之间的结合区域40。具体来说,这些表面改性层和热处理可在受控的结合区域50的周界52之外形成,要么形成在载体10上,要么形成在薄片材20上。因此,当制品2在高温下加工,或在高温下处理来形成共价键,载体和薄片材20在结合区域40之内相互结合,该结合区域40在由周界52围住的区域之外。然后,在提取具有周界57的所需零件56时,当需要把薄片材20和载体10切成方块(dice)时,可沿着线5分离制品,这些表面改性层和热处理共价地结合薄片材20和载体10,从而它们在该区域作为整体件。因为表面改性层提供薄片材和载体的永久共价结合,它们可用于其中温度≥600℃的过程。此外,其中制品的热加工,或初始形成结合区域40的热加工≥400℃但低于600℃时,表面改性层(如实施例4a的材料和热处理的所示例化)也可以相同方式使用。
在第三种应用的第二实施方式中,在结合区域40中,载体10和薄片材20可通过使用如上所述的各种表面改性层的受控的结合进行相互结合。此外,存在具有周界52的受控的结合区域50,其中载体10和薄片材20相互结合,其足以耐受加工,和甚至在高温加工例如温度≥600℃下的加工之后,仍然允许将薄片材与载体分离。因此,如果加工在最高达600℃的温度下进行且不希望在区域40中具有永久连接或共价键合,如上文实施例2e,3a,3b,4c,4d,和4e所示例化的表面改性层30(包括材料和结合表面热处理),可用来在载体10和薄片材20之间提供结合区域40。具体来说,这些表面改性层和热处理可在受控的结合区域50的周界52之外形成,要么可在载体10上形成,要么可在薄片材20上形成。形成受控的结合区域50的表面改性层,可与在结合区域40中形成的表面改性层相同或不同。或者,如果加工只在最高达400℃的温度下进行且不希望在区域40中具有永久连接或共价键合,如上文实施例2c,2d,2e,3a,3b,4b,4c,4d,4e所示例化的表面改性层30(包括材料和结合表面热处理),可用来在载体10和薄片材20之间提供结合区域40。
与区域50中受控的结合相反,在区域50中可存在非结合区域,其中非结合区域可为如US‘727所述的有增加的表面粗糙度的区域,或可通过如实施例2a所示例化的表面改性层来提供。
用于大批(bulk)退火或大批加工
如上所述的控制结合的方式的第四种应用是用于大批退火玻璃片材堆叠件(stack)。退火是用于实现密实化玻璃的热加工。密实化涉及将玻璃主体再次加热到低于玻璃的软化点但高于在后续的加工步骤中所达到的最高温度的温度。这在后续的加工之前而不是在后续的加工时,实现了玻璃中结构重新设置和尺寸松弛。后续的加工之前的退火有益于在后续的加工时保持玻璃主体中的精确对齐和/或平坦度,如在制造平板显示装置时,其中结构由许多层制成,且甚至在接触高温环境之后,该许多层需以非常小的公差对齐。如果玻璃在一种高温加工中密实化,高温加工之前沉积到玻璃上的结构的层可能不正确地与高温加工之后沉积的结构的层对齐。
以堆叠件的方式使得玻璃片材密实化在经济上具有吸引力。但是,这涉及***或分离相邻的片材来避免粘附。同时,保持片材是极其平坦的且具有光学质量、或原始的表面精磨(finish)是有益的。此外,对于某些玻璃片材的堆叠件,例如具有小表面积的片材,优选地在退火加工时使玻璃片材“粘附”在一起从而在不分离的情况下可容易地将它们作为一个单元来移动,但在退火加工之后容易相互分离(例如通过剥离)从而可单独地使用片材。或者,可优选地退火玻璃片材堆叠件,其中阻止选定的玻璃片材相互形成永久的结合,但同时允许其它玻璃片材或其它玻璃片材的部分(例如它们的周界)相互永久地结合。还作为一种替代,可优选地大批地堆叠玻璃片材,从而选择性永久结合堆叠件中相邻的片材对的周界。如上所述的控制玻璃片材之间结合的方式可用来实现上述大批退火和/或选择性结合。为了控制在任意特定的相邻的片材之间界面的结合,可在朝向界面的至少一个主表面上使用表面改性层。
将参考图7和8描述玻璃片材的堆叠件的一种实施方式,其适用于大批退火或在选定区域中的大批永久结合(例如绕着周界)。其中图7是玻璃片材770-772的堆叠件760的示意性侧视图,图8是它们的分解视图,用于进一步解释。
玻璃片材的堆叠件760可包括玻璃片材770-772,和表面改性层790来控制玻璃片材770-772之间的结合。此外,堆叠件760可包括设置在堆叠件的顶部和底部上的覆盖片材780,781,并且可包括覆盖片和相邻的玻璃片材之间的表面改性层790。
如图8所示,各玻璃片材770-772包括第一主表面776和第二主表面778。玻璃片材可由任意合适的玻璃材料制成,例如,铝硅酸盐玻璃、硼硅酸盐玻璃、或硼铝硅酸盐玻璃。此外,玻璃可为含碱(alkali)的或可不含碱的。玻璃片材770-772可分别具有相同的组合物,或片材可具有不同的组合物。此外,玻璃片材可为任意合适的类型。即例如,玻璃片材770-772可全部是如上所述的载体,可全部是如上所述的薄片材,或可交替地为载体和薄片材。当载体和薄片材的大批退火需要不同的时间-温度循环时,优选地具有载体堆叠件,和独立的薄片材堆叠件。或者,借助正确的表面改性层材料和设置,可理想地具有交替的载体和薄片材的堆叠件,由此所需的载体和薄片材对(即形成制品的那些)可大批地相互的共价地结合用于后续的加工,同时保留相邻的制品相互分离的能力。还此外,堆叠件中可存在任意数目的玻璃片材。即,虽然图7和8中只显示三块玻璃片材770-772,堆叠件760中可包括任意合适数目的玻璃片材。
在任意特定的堆叠件760中,任一玻璃片材可不包括表面改性层、包括一个表面改性层,或两个表面改性层。例如,如图8所示,片材770不包括表面改性层,片材771在其第二主表面778上包括一个表面改性层790,片材772包括两个表面改性层790,其中分别在其主表面776,778上包括一个这种表面改性层。
覆盖片材780,781可为适于耐受(不仅就时间和温度而言,还要相对于其它相关的考虑例如脱气)用于给定加工的时间-温度循环的任意材料。优选地,覆盖片材可由与加工的玻璃片材相同的材料制成。当存在覆盖片材780,781且它们是由具有下述性质的材料形成时:在使堆叠件通过给定时间-温度循环之后,该材料不利地与玻璃片材结合,如果适当的话,可在玻璃片材771和覆盖片材781之间和/或玻璃片材772和覆盖片材780之间包括表面改性层790。当存在于覆盖片材和玻璃片材之间时,表面改性层可在覆盖片材上(如使用覆盖片材781和相邻的片材771所示),可在玻璃片材上(如使用覆盖片材780和片材772所示),或可同时在覆盖片材和相邻的片材上(未显示)。或者,如果存在覆盖片材780,781但它们的材料不与相邻的片材772,772结合,那么在覆盖片材和相邻的片材之间无需存在表面改性层790。
堆叠件中相邻的片材之间存在界面。例如,玻璃片材770-772中相邻的片材之间,存在限定的界面,即在片材770和片材771之间存在界面791,和在片材770和片材772之间存在界面792。此外,当存在覆盖片材780,781时,覆盖片材781和片材771之间存在界面793,以及片材772和覆盖片材780之间存在界面794。
为了控制在相邻的玻璃片材之间的给定界面791,792处的结合,或在玻璃片材和覆盖片材之间的给定界面793,794处的结合,可使用表面改性层790。例如,如图所示,分别在界面791,792处,存在位于面向界面的至少一个主表面上的表面改性层790。例如,对于界面791,玻璃片材771的第二主表面778包括表面改性层790来控制片材771和相邻的片材770之间的结合。虽然没有显示,但片材770的第一主表面776也可在其上面包括表面改性层790来控制与片材771的结合,即可在分别面对特定界面的主表面上存在表面改性层。
可选择在任意给定界面791-794处的特定表面改性层790(和任意相关的表面改性处理–例如施涂特定表面改性层之前在待施涂的特定表面上的热处理,或可能接触表面改性层的表面的表面热处理),用于面向该特定界面791-794的主表面776,778,从而控制相邻的片材之间的结合,从而为堆叠件760所经受的给定时间-温度循环取得所需的结果。
如果需要在最高达400℃的温度下大批退火玻璃片材770-772的堆叠件,和在退火加工之后相互分离各玻璃片材,那么可使用如实施例2a,2c,2d,2e,3a,3b,或4b-4e中任一项所述的材料和任意相关的表面制备来控制在任意特定界面(例如界面791)处的结合。具体来说,把片材770的第一表面776当作表2-4中的“薄玻璃”,而片材771的第二表面778将当作表2-4中的“载体”,或者也可正好相反。然后可基于所需密实化程度,堆叠件中片材的数目,以及片材的尺寸和厚度来选择具有最高达400℃温度的合适的时间-温度循环,从而在整个堆叠件实现所需的时间-温度。
类似地,如果需要在最高达600℃的温度下大批退火玻璃片材770-772的堆叠件,和在退火加工之后相互分离各玻璃片材,那么可使用如实施例2a,2e,3a,3b,4c,4d,4e中任一项所述的材料和任意相关的表面制备来控制在任意特定界面(例如界面791)处的结合。具体来说,把片材770的第一表面776当作表2-4中的“薄玻璃”,而片材771的第二表面778将当作表2-4中的“载体”,或者也可以正好相反。然后可基于所需密实化程度,堆叠件中片材的数目,以及片材的尺寸和厚度来选择具有最高达600℃温度的合适的时间-温度循环,从而在整个堆叠件实现所需的时间-温度。
此外,通过适当地构造片材的堆叠件和在各片材对之间构造表面改性层,能实施大批退火和大批制品形成。如果需要在最高达400℃的温度下大批退火玻璃片材770-772的堆叠件,并随后大批相互共价地结合相邻的片材对来形成制品2,那么可选择合适的材料和相关的表面制备来用于控制结合。例如,绕着周界(或在其它所需的结合区域40),在待形成为制品2的玻璃片材对(例如片材770和771)之间的界面处的结合,可通过使用下述来控制:(i)如实施例2c,2d,4b中任一项所述的材料以及任意相关的表面制备,绕着片材770,771的周界(或其它所需结合区域40)使用;和(ii)如实施例2a,2e,3a,3b,4c,4d,4e中任一项所述的材料以及任意相关的表面制备,在片材770,771的内部区域(即,如在(i)中处理的周界内部的区域,或在所需的受控的结合区域50中,其中需要将片材相互分离)上使用。在这种情况下,受控的结合区域50中的装置加工随后可在最高达600℃的温度下实施。
为了相互的兼容性,可适当地选择材料和热处理。例如,材料2c,2d,或4b中的任一种可用于结合区域40,且如实施例2a所述的材料用于受控的结合区域。或者,可适当地控制用于结合区域和受控的结合区域的热处理,从而最小化在一个区域中的热处理对相邻的区域中的所需结合程度的不利影响。
在为堆叠件中的玻璃片材适当地选择表面改性层790和相关的热处理之后,可将那些片材适当地设置成堆叠件,并随后加热到400℃,从而在不使片材相互永久结合的情况下,大批退火堆叠件中的所有片材。然后,可将堆叠件加热到600℃,从而在待形成制品2的相邻的片材对的所需的结合区域中形成共价结合,该制品2具有结合区域和受控的结合区域的图案。在通过结合区域40共价地结合来形成制品2,一对片材以及形成独立但相邻的制品2的另一对这种片材之间的界面处结合,使用如实施例2a,2e,3a,3b,4c,4d,4e所述的材料和相关的热处理来控制,从而相邻的制品2不会相互共价地结合。以与控制相邻的制品之间的结合相同的方式,可控制制品以及堆叠件中存在的任意覆盖片材之间的结合。
还此外,类似于如上所述,能在不预先退火该相同堆叠件760的情况下,从堆叠件760大批地形成制品2。相反,将该片材构造用于堆叠件中所需的受控的结合来大批生产制品之前,可独立地退火片材,或在不同的堆叠件中退火并从该堆叠件分离。根据刚刚如上所述的大批退火和然后从一相同堆叠件大批形成制品的方式,只需省略大批退火。
虽然上文只详细地解释了控制界面791处的结合的方式,但相同的方式当然可在界面792处进行,或用于特定堆叠件中可能存在的任意其它界面–如在堆叠件中存在多于三个玻璃片材的情况,或如当存在不利地与玻璃片材结合的覆盖片材的情况。此外,虽然可在存在的任意界面791,792,793,794处使用相同控制结合的方式,但可在不同界面使用如上所述的不同的控制结合的方式,从而就所需的结合类型而言产生相同或不同的结果。
在上述的大批退火,或大批形成制品2的过程中,当将HMDS用作用于控制界面处结合的材料且使HMDS接触堆叠件的外周界时,高于约400℃的加热应在不含氧的气氛中实施(当需要阻止HMDS的区域中的共价结合时)。即,如果HMDS所暴露于气氛中的氧的量(在高于约400℃的温度)足以氧化HMDS,在其中HMDS被氧化的任意这种区域中的结合将变成相邻的玻璃片材之间的共价的结合。在例如高于约400℃的更高温度下,其它烷基烃硅烷也可类似地受到暴露于氧气的影响,包括例如乙基、丙基、丁基或硬脂基(steryl)硅烷。类似地,如果表面改性层使用其它材料,应选择用于大批退火的环境,从而材料不随退火的时间-温度循环而降解。如本文所使用,不含氧可指氧的体积浓度小于1000ppm,更优选地小于100ppm。
一旦堆叠件片材已进行大批退火,可从该堆叠件分离单独的片材。可处理(例如,通过氧等离子体,在≥400℃的温度下在氧环境中加热,或通过化学氧化,SC1,或SC2)单独的片材来去除表面改性层790。可如所需地使用单独片材,例如用作电子装置基材,例如OLED,FPD,或PV装置)。
如上所述的大批退火,或大批加工的方法,具有以经济的方式保持清洁的片材表面的优势。具体来说,无需向清洁室退火窑那样,始终将片材保持在清洁的环境中。相反,可在清洁的环境中形成堆叠件,然后在标准退火窑(即,其中清洁度不受控制的窑)中加工,且片材表面不被颗粒弄脏,因为片材之间不存在流体流动。因此,片材表面受到保护,免受退火片材堆叠件的环境的影响。退火之后,可容易地将片材的堆叠件传输到其它加工区域(在相同或不同的设施中),因为片材保持一定程度的粘附,但当施加足够的力时,仍然能在不损坏片材的情况下将片材相互分离。即,玻璃制造商(例如)可组装和退火玻璃片材堆叠件,然后作为堆叠件的形式运输片材,其中在运输时片材仍然在一起(不怕在运送时片材发生分离),于是当到达目的地时,客户可从堆叠件分离片材,该客户可单个地或以更小的组的形式使用片材。一旦需要分离,可再次在清洁环境中加工片材堆叠件(如有需要,在洗涤堆叠件之后)。
大批退火的实施例
未经处理直接使用来自熔合拉制法的玻璃基材。熔合拉制玻璃的组成是(以摩尔%计):SiO2(67.7),Al2O3(11.0),B2O3(9.8),CaO(8.7),MgO(2.3),SrO(0.5)。通过使用HF的具有200纳米基准(fiducial)/游标(vernier)的平版印刷方法对七(7)片0.7mm厚×150mm直径的熔合拉制玻璃基材进行图案化。在所有玻璃基材的所有结合表面上涂覆作为表面改性层的二(2)纳米等离子体沉积的含氟聚合物,即,分别涂覆面向另一基材的基材表面,其中各片材表面的所得表面能是约35mJ/m2。将7个涂覆的单独玻璃基材设置在一起来形成单一的、厚基材(称作“玻璃堆叠件”)。在鼓氮气的管式炉中,退火玻璃堆叠件,其中在15分钟的时间内从30℃升温到590℃,在590℃下保持30分钟,然后在50分钟的时间段内降温到约230℃,然后从炉子移出玻璃堆叠件,并在约10分钟的时间内冷却到约30℃的室温。冷却之后,从炉子移出基材并使用剃刀刀锋容易地分离成单个片材(即,样品没有全部或局部地进行永久结合)。通过比较玻璃基础和未退火的石英参比物,测量各单个基材的密实化。发现单个基材密实化约185ppm。两个基材作为单独样品(没有堆叠在一起)经历如上所述的第二退火循环(590℃/30分钟保持)。因为第二热处理(玻璃尺寸的改变—与原始玻璃尺寸相比较—第二热处理之后的玻璃尺寸的改变减去第一热处理之后的玻璃尺寸的改变),再次测量密实化并发现基材进一步密实化小于10ppm(实际上是0-2.5ppm)。因此,发明人已证实可涂覆、堆叠、在高温下热处理单个玻璃片材来实现密实化,可冷却、分离成单个片材和具有在第二热处理之后<10ppm,甚至<5ppm的尺寸变化(与第一热处理之后的它们的尺寸相比)。
虽然在如上所述退火实施例中的炉中鼓入氮气,退火炉还可鼓入其它气体包括空气、氩气、氧气、CO2,或其组合,取决于退火温度和在那些退火温度下表面改性层材料在特定环境中的稳定性。除了惰性气氛以外,如上所述退火中的炉可为真空环境。
此外,虽然没有显示,但玻璃可以卷材(spool)的形式而不是片材的形式进行退火。即,可在玻璃带的一侧或两侧形成合适的表面改性层,然后卷绕该玻璃带。可对整个卷进行与如上所述的用于片材的相同处理,其中将退火整个卷材的玻璃,且不使一圈缠绕的玻璃与相邻的一圈缠绕的玻璃相粘附。在解开卷时,可通过合适的过程去除表面改性层。
脱气
用于典型晶片结合应用的聚合物粘合剂通常是10-100微米厚,且在等于或接近其温度极限时损失约5%的质量。对于从厚聚合物膜逸出的这些材料,容易通过质谱来对质量损失或或脱气的量进行定量。另一方面,测量来自10nm厚或更小量级的薄表面处理的脱气,例如如上所述的等离子体聚合物或自组装的单层表面改性层,以及测量用于热解硅油(silicone oil)薄层的脱气,是更具挑战的。对于这些材料,质谱不够灵敏。但是,存在多种其它方式来测量脱气。
测量少量脱气的第一方式基于表面能测量,且将参考图9进行描述。为了实施该测试,可使用如图9所示的装置。第一基材或载体900的上面具有待测试的表面改性层,且呈现表面902,即,组成和厚度对应于待测试的表面改性层30的表面改性层。设置第二基材或覆盖片材910,从而它的表面912非常靠近载体900的表面902但不与之接触。表面912是未涂覆的表面,即,用来制备覆盖片材的裸露材料的表面。在载体900和覆盖片材910的不同点之间设置间隔件920,使它们相互间隔。间隔件920应厚到足以分离覆盖片材910和载体900来允许材料在它们之间相互移动,但足够薄从而在测试时最小化来自腔室气氛的在表面902和912上的污染的量。载体900,间隔件920,和覆盖片材910一起形成制品901。
组装测试制品901之前,测量裸露表面912的表面能,也测量表面902(即上面提供表面改性层的载体900的表面)的表面能。表面能如图10所示,该表面能同时包括极性分量和色散分量,通过拟合由S.吴(S.Wu)(1971)开发的针对与三种测试液体即水、二碘甲烷和十六烷的三种接触角的理论模型来测量表面能。(参考文献:S.吴(S.Wu),J.Polym.SciC,34,19,1971)。
组装之后,将该测试制品901放入加热腔室930,并通过时间-温度循环加热。加热在大气压压力和流动的N2气流下实施,即,以2标准升/分钟的速率沿着箭头940的方向流动。
在加热循环中,表面902的变化(包括例如因蒸发、热解、分解、聚合、与载体的反应和去润湿对表面改性层造成的变化)通过表面902的表面能变化来证实。表面902的表面能变化本身不必然意味着表面改性层发生脱气,但的确表明材料在该温度下的总体不稳定性,因为它的特征因为例如如上所述的机理而发生变化。因此,表面902的表面能变化越小,表面改性层越稳定。另一方面,因为表面912非常接近表面902,从表面902脱气的任意材料将在表面912上累积,并改变表面912的表面能。因此,表面912的表面能变化是表面902上表面改性层的脱气的代表(proxy)。
因此,用于脱气的一种测试使用覆盖表面912的表面能变化。具体来说,如果表面912的表面能变化≥10mJ/m2,则存在脱气。这种量值的表面能变化与下述污染一致,该污染可导致损失膜粘附或使材料性质和装置性能下降。表面能变化≤5mJ/m2接近表面能测量的可重复性和表面能的不均匀性。这么小的变化与最小脱气一致。
在得到图10中所示结果的测试之中,载体900,覆盖片材910,和间隔件920,由EagleXG玻璃制成,它是一种不含碱的硼铝硅酸盐玻璃显示器级别玻璃,可从纽约康宁的康宁有限公司(Corning Incorporated)购买,但并非必然如此。载体900和覆盖片材910是150mm直径和0.63mm厚。通常,载体910和覆盖片材920分别由与载体10和薄片材20相同的材料制成,需要对其进行脱气测试。在该测试中,硅间隔件是0.63mm厚,2mm宽,和8cm长,由此在表面902和912之间形成0.63mm的间隙。在该测试中,将腔室930结合进入MPT-RTP600s快速热加工设备,其以9.2℃/分钟的速率从室温循环到测试极限温度,在测试极限温度下保持不同的时间(如图表中作为“退火时间”所示),随后以炉速率冷却到200℃。把炉子冷却到200℃之后,移出测试制品,在测试制品冷却到室温之后,再次测量各表面902和912的表面能。因此,将到极限温度450℃测试的用于覆盖片材表面能变化的数据(即用于材料#1的线1003)作为示例,如下所述收集数据。在0分钟处的数据点显示75mJ/m2(毫焦耳/平方米)的表面能,是裸玻璃的表面能,即还没有进行时间-温度循环。在1分钟处的数据点显示在实施如下所述的时间-温度循环之后所测的表面能:在室温和大气压压力下,将制品901(在载体900上具有用作表面改性层的材料#1,从而提供表面902)放入加热腔室930;以9.2℃/分钟的速率,将腔室加热到450℃的测试-极限温度,且有以2标准升/分钟流动的N2气体,和在450℃的测试-极限温度下保持1分钟;使腔室以1℃/分钟的速率冷却到300℃,并随后从腔室930移出制品901;然后使制品冷却到室温(没有N2流动气氛);然后测量表面912的表面能,并作图为曲线1003上1分钟的点。然后,使用类似的方式测定用于材料#1(线1003,1004)的其余数据点,以及用于材料#2(线1203,1204),材料#3(线1303,1304),材料#4(线1403,1404),材料#5(线1503,1504),和材料#6(线1603,和1604)的数据点,且退火时间的分钟数等于在测试-极限温度(450℃,或600℃,视情况而定)下的保持时间。按照类似的方式,测定用于线1001,1002,1201,1202,1301,1302,1401,1402,1501,1502,1601,和1602的数据点,其表示用于相应的表面改性层材料(材料#1-6)的表面902表面能,但在各时间-温度循环之后测量表面902的表面能。
对如下所述的6种不同材料实施上述的组装过程和时间-温度循环,结果见图10的图表。在这6种材料中,材料#1-4对应于如上所述的表面改性层材料。材料#5和#6是比较例。
材料#1是CHF3-CF4等离子体聚合的含氟聚合物。该材料与上文的实施例3b中的表面改性层一致。如图10所示,线1001和1002表明载体的表面能没有发生显著变化。因此,该材料在450℃-600℃的温度下非常稳定。此外,如线1003和1004所示,覆盖片材的表面能也没有发生显著变化,即变化≤5mJ/m2。因此,从450℃到600℃,不存在与该材料相关的脱气。
材料#2是苯基硅烷,且是从苯基三乙氧基硅烷的1%甲苯溶液沉积的自组装单层(SAM),并于190℃下在真空烘箱中固化30分钟。该材料与上文的实施例4c中的表面改性层一致。如图10所示,线1201和1202显示载体上的表面能发生一些变化。如上所述,这显示表面改性层发生一些变化,且相比较而言,材料#2比材料#1略微更不稳定。但是,如线1203和1204所示,载体的表面能变化≤5mJ/m2,表明表面改性层的变化没有导致脱气。
材料#3是五氟苯基硅烷,且是从五氟苯基三乙氧基硅烷的1%甲苯溶液沉积的SAM,并于190℃下在真空烘箱中固化30分钟。该材料与上文的实施例4e中的表面改性层一致。如图10所示,线1301和1302显示载体上的表面能发生一些变化。如上所述,这显示表面改性层发生一些变化,且相比较而言,材料#3比材料#1略微更不稳定。但是,如线1303和1304所示,载体的表面能变化≤5mJ/m2,表明表面改性层的变化没有导致脱气。
材料#4是于140℃下在YESHMDS烘箱中从蒸气沉积的六甲基二氮硅烷(HMDS)。该材料与上文的实施例2b和表2中的表面改性层一致。如图10所示,线1401和1402显示载体上的表面能发生一些变化。如上所述,这显示表面改性层发生一些变化,且相比较而言,材料#4比材料#1略微更不稳定。此外,用于材料#4的载体的表面能变化大于用于材料#2和#3的载体的表面能变化,这表明相比较而言材料#4略微比材料#2和#3更不稳定。但是,如线1403和1404所示,载体的表面能变化≤5mJ/m2,表明表面改性层的变化没有导致影响覆盖片材的表面能的脱气。但是,这与HMDS脱气的方式一致。即,HMDS脱气产生氨和水,这不影响覆盖片材的表面能,也可能不影响有些电子制造设备和/或加工。另一方面,当脱气的产品被俘获在薄片材和载体之间时,可能会存在其他问题,如下文针对第二脱气测试所述。
材料#5是环氧丙氧基丙基硅烷,且是从环氧丙氧基丙基三乙氧基硅烷的1%甲苯溶液沉积的SAM,并于190℃下在真空烘箱中固化30分钟。这是比较例材料。虽然如线1501和1502所示,载体的表面能变化相对很小,但如线1503和1504所示,覆盖片材的表面能变化显著。即,虽然材料#5在载体表面上是相对稳定的,但它的确脱气排放显著量的材料到覆盖表面上,由此覆盖表面能变化≥10mJ/m2。虽然600℃下在10分钟结束时表面能在10mJ/m2之内,但那时的变化的确超过10mJ/m2。参见例如在1和5分钟处的数据点。虽然无意受限于理论,但从5分钟到10分钟的表面能的略微上升可能是因为有些脱气的材料发生分解,并从覆盖表面掉落。
材料#6是DC704硅酮(silicone)涂层,其通过将5ml道康宁(Dow-Corning)704扩散泵油四甲基四苯基三硅氧烷(可购自道康宁(Dow-Corning))分配到载体上,并于空气中将其在500℃的加热板上放置8分钟来制备。通过结束时可见的冒烟,来判断样品制备完成。在按照如上所述方式制备样品之后,实施如上所述的脱气测试。这是比较例材料。如图10所示,线1601和1602显示载体上的表面能发生一些变化。如上所述,这显示表面改性层发生一些变化,且相比较而言,材料#6比材料#1更不稳定。此外,如线1603和1604所示,载体表面能变化≥10mJ/m2,表明发生显著的脱气。具体来说,在450℃的测试-极限温度下,用于10分钟的数据点显示表面能下降约15mJ/m2,且对于在1和5分钟的点,表面能下降甚至更大。类似地,在600℃的测试-极限温度下循环时覆盖片材的表面能变化中,在10分钟数据点处,覆盖片材的表面能下降约25mJ/m2,略微比5分钟处的数据点更大,且略微比1分钟处的数据点更小。然而总之,对于该材料在整个测试范围中,显示显著的脱气量。
值的注意的是,对于材料#1-4,在整个时间-温度循环的表面能显示覆盖表面的表面能仍然保持与裸玻璃的表面能一致,即没有累积从载体表面脱气的材料。在材料#4的情况下,如针对表2所述,其中制备载体和薄片材表面的方式对制品(通过表面改性层使薄片材与载体结合在一起)能否能够耐受FPD加工影响很大。因此,虽然图10中所示的材料#4示例可能不发生脱气,但该材料可能能够耐受或无法耐受400℃或600℃测试,如针对表2的讨论所述。
测量少量脱气的第二方式基于组装的制品,即其中通过表面改性层将薄片材结合到载体的制品,并使用气泡面积变化百分比来测定脱气。即,在加热制品时,载体和薄片材之间形成的气泡显示表面改性层的脱气。如上文针对第一脱气测试所述,难以测量非常薄的表面改性层的脱气。在该第二测试中,薄片材下的脱气可受到薄片材和载体之间的强粘附的限制。然而,层≤10nm厚(等离子体聚合的材料,SAM,和热解硅油表面处理,例如)仍然可在热处理时形成气泡,尽管它们的绝对质量损失更小。且薄片材和载体之间形成气泡可给图案形成、光刻加工,和/或把装置加工到薄片材上时的对齐带来问题。此外,在薄片材和载体之间的结合区域的边界处的鼓泡可导致下述问题:来自一工艺的加工流体污染下游的工艺。气泡面积变化百分比≥5是显著的并显示脱气,这不是所需要的。另一方面,气泡面积变化百分比≤1是不显著的,且表示不存在脱气。
在1000级洁净房中,具有手动结合的结合的薄玻璃的平均气泡面积是1%。结合的载体中的气泡百分比随载体的清洁度,薄玻璃片材的的清洁度,和表面制备的清洁度而变化。因为这些初始缺陷用作热处理之后气泡生长的成核区域,热处理之后小于1%的任意气泡面积变化都在样品制备的可变性之内。为了实施该测试,就在结合之后,立刻使用具有透明性装置的市售台式扫描仪(爱普生(Epson)Expression 10000XL Photo)来形成结合薄片材和载体的区域的第一次扫描图象。使用508dpi(50微米/像素)和24比特(bit)RGB以及标准爱普生软件来扫描零件。图象处理软件首先通过把样品的不同部分的图象合并(如有需要)成单一图象和去除扫描仪假象(artifacts)(通过使用在没有样品时,在扫描仪中进行的校正扫描参比)来形成图象。然后,使用标准的图象处理技术如阈值、孔填满、侵蚀/膨胀和BLOB分析(blob analysis)对结合区域进行分析。还可以类似的方式,使用更新的爱普生Expression 11000XL Photo。在透射模式下,结合区域中的气泡在扫描的图象中是可见的,并可测定气泡面积的值。然后,将气泡面积与总结合区域(即,薄片材和载体之间的总重叠面积)进行比较来计算结合区域中相对于总结合区域的%气泡面积。然后,在N2气氛下,将样品在MPT-RTP600s快速热加工***中于300℃,450℃,和600℃的测试-极限温度下热处理最高达10分钟。具体来说,实施的时间-温度循环包括:在室温和大气压压力下,把制品***加热腔室;然后以9℃/分钟的速率,将腔室加热到测试-极限温度;将腔室在测试-极限温度下保持10分钟;然后以炉子速率将腔室冷却到200℃;从腔室移出制品并使该制品冷却到室温;然后,使用光学扫描仪第二次扫描制品。然后,如上所述计算来自第二次扫描的%气泡面积,并与来自第一次扫描的%气泡面积比较,以确定%气泡面积变化(Δ%气泡面积)。如上所述,气泡面积变化≥5%是显著的,且表明脱气。因为原始%气泡面积的可变性,将%气泡面积变化选定为测量标准。即,因为薄片材和载体制备之后且在它们结合之前的加工和洁净度,在第一扫描中,大多数的表面改性层的气泡面积为约2%。但是,不同材料之间可不同。在该第二脱气测试方法中,再次使用相对于第一脱气测试方法所描述的相同材料#1-6。在这些材料中,材料#1-4在第一次扫描中呈现约2%的气泡面积,而材料#5和#6显示显著更大的气泡面积即第一次扫描中的约4%。
将参考图11和12描述第二种脱气测试的结果。用于材料#1-3的脱气测试结果见图11,而用于材料#4-6的脱气测试结果见图12。
材料#1的结果在图11中用正方形数据点表示。由图可知,对于300℃,450℃,和600℃的测试-极限温度,%气泡面积变化接近零。因此,材料#1在这些温度下没有显示脱气。
材料#2的结果在图11中用菱形数据点表示。由图可知,对于450℃,和600℃的测试-极限温度,%气泡面积变化小于1。因此,材料#2在这些温度下没有显示脱气。
用于材料#3的结果在图11中用三角形数据点表示。由图可知,类似于材料#1的结果,对于300℃,450℃,和600℃的测试-极限温度,%气泡面积变化接近零。因此,材料#1在这些温度下没有显示脱气。
材料#4的结果在图12中用圆形数据点表示。由图可知,对于300℃的测试-极限温度,%气泡面积变化接近零,但在450℃和600℃的测试-极限温度下,有些样品的%气泡面积变化接近1%,以及在450℃和600℃的测试极限温度下,由相同材料形成的其它样品的%气泡面积变化是约5%。用于材料#4的结果非常不一致,并取决于其中制备用于与HMDS材料结合的薄片材和载体表面的方式。其中样品性能的方式取决于其中制备样品的方式,与上文针对表2所详述的该材料的实施例和相关的讨论一致。应注意,对于该材料,对于450℃和600℃的测试-极限温度,样品的%气泡面积变化接近1%,根据如上所述的分离测试,这不允许从载体分离薄片材。即,薄片材和载体之间的强力粘附可具有有限的气泡形成。另一方面,%气泡面积变化接近5%的样品的确允许从载体分离薄片材。因此,没有脱气的样品的不利结果是在温度处理之后增加粘附,这把载体和薄片材粘滞在一起(阻止从载体分离薄片材),而允许去除薄片材和载体的样品的不利结果是脱气。
用于材料#5的结果在图12中用三角形数据点表示。由图可知,对于300℃的测试-极限温度,%气泡面积变化为约15%,且对于450℃和600℃的测试-极限温度,远远高于约15%。因此,材料#5在这些温度下显示显著的脱气。
材料#6的结果在图12中用正方形数据点表示。由图可知,对于300℃的测试-极限温度,%气泡面积变化大于2.5%,且对于450℃和600℃的测试-极限温度,大于5%。因此,在450℃和600℃的测试-极限温度下,材料#6显示显著的脱气。
结论
应当强调,本发明上述实施方式、特别是任意“优选的”实施方式,仅仅是可能实现的实施例,仅用来清楚理解本发明的各种原理。在不基本上偏离本发明的的精神和各种原理的前体下,可对如上所述的本发明的实施方式进行许多变化和修改。所有这些变化和修改旨在包括在该说明书、本发明和所附权利要求保护的范围内。
例如,虽然显示和讨论了许多实施方式的表面改性层30在载体10上形成,但该表面改性层30可替代地或附加地在薄片材20上形成。即,在实施例4和3中所详述的材料可施涂到载体10,施涂到薄片材20,或同时施涂到载体10和薄片材20的待结合在一起的表面上。
此外,虽然有些表面改性层30描述为控制结合强度从而使得甚至在400℃,或600℃温度下加工制品2后,可以将薄片材20从载体10去除,当然能在比测试制品所通过的具体温度更低的温度下加工制品2且仍然能实现在不损坏薄片材20或载体10的情况下将薄片材20从载体10去除的相同能力。
此外,虽然本文使用载体和薄片材描述了受控的结合的概念,但在一些情况下,它们适用于较厚的玻璃,陶瓷,或玻璃陶瓷片材之间的受控的结合,其中可需要相互拆分片材(或部分的片材)。
又此外,虽然本文将受控的结合概念描述成可用于玻璃载体和玻璃薄片材,但载体可由其它材料例如陶瓷,玻璃陶瓷,或金属制成。受控的结合到载体的片材可由其它材料材料例如陶瓷或玻璃陶瓷制成。
如上所述的根据本发明的不同概念,可按照任意和全部的不同的组合方式进行相互组合。例如,不同概念可根据下述方面进行组合。
根据第一方面,提供一种玻璃制品,其包含:
具有载体结合表面的载体;
设置所述载体结合表面上的表面改性层,其中构造所述表面改性层从而当使用设置在所述载体结合表面和玻璃片材结合表面之间的表面改性层来使所述载体结合表面与所述玻璃片材结合表面结合时,使所述制品经历温度循环之后,如果所述载体和所述片材中一种固定且另一种经受重力作用时,所述载体和所述片材不相互分离,在所述温度循环中不存在来自所述载体结合表面的脱气,以及可以在不将所述载体和所述片材中更薄的一种破碎成两块或更多块的情况下,将所述片材与所述载体分离,所述温度循环包括在腔室中进行以下加热:以9.2℃/分钟的速率从室温加热到600℃,在600℃的温度下保持10分钟,然后以1℃/分钟的速率冷却到300℃,并随后从所述腔室移出所述制品并使所述制品冷却到室温。
根据第二方面,提供一种玻璃制品,其包含:
具有载体结合表面的载体;
具有片材结合表面的片材;
设置在所述载体结合表面和所述片材结合表面中一种上的表面改性层;
使用设置在所述载体结合表面与所述片材结合表面之间的所述表面改性层来使所述载体结合表面与所述片材结合表面结合,其中结合所述片材和所述载体的表面能满足以下特征,使所述制品经历温度循环之后,如果所述载体和所述片材中一种固定且另一种经受重力作用,所述载体和所述片材不相互分离,在所述温度循环中不存在来自所述表面改性层的脱气,以及可以在不将所述载体和所述片材中更薄的一种破碎成两块或更多块的情况下,将所述片材与所述载体分离,所述温度循环包括在腔室内进行以下加热,以9.2℃/分钟的速率从室温加热到600℃,在600℃的温度下保持10分钟,然后以1℃/分钟的速率冷却到300℃,并随后从所述腔室移出所述制品并使所述制品冷却到室温。
根据第三方面,提供如方面1或方面2所述的玻璃制品,其中所述加热在氮气中实施。
根据第四方面,提供如方面1-3任一项所述的玻璃制品,其中结合所述片材和所述载体的所述表面能具有特定的特征,从而使得所述制品能通过真空兼容性测试。
根据第五方面,提供如方面4所述的玻璃制品,其中所述真空兼容性测试在所述温度测试之前实施。
根据第六方面,提供如方面1-5任一项所述的玻璃制品,其中结合所述片材和所述载体的所述表面能具有特定特征,使得所述制品能通过湿加工兼容性测试。
根据第七方面,提供如方面1-6任一项所述的玻璃制品,其中所述片材和所述载体之间的结合具有满足以下要求的特征,所述制品能够耐受处理而不使所述片材从所述载体发生脱层,其中所述超声处理包括如下的第一步骤:将所述制品置于含温度≥50℃的流体的第一水槽中,并使所述制品经历10分钟的≥100kHz超声能量。
根据第八方面,提供如方面7所述的玻璃制品,其中所述超声处理还包括以下第二步骤:将所述制品置于含温度≥50℃的流体的第二水槽并使所述制品经历10分钟的≥100kHz超声能量。
根据第九方面,提供如方面8所述的玻璃制品,其中所述超声处理还包括以下第三步骤:将所述制品置于含温度≥50℃的流体的第三水槽并使所述制品经历10分钟的≥70kHz超声能量。
根据第十方面,提供如方面9所述的玻璃制品,其中所述超声处理还包括以下第四步骤:将所述制品置于含温度≥50℃的流体的第四水槽并使所述制品经历10分钟的≥100kHz超声能量。
根据第十一方面,提供如方面1-10中任一项所述的玻璃制品,其中所述载体包含玻璃。
根据第十二方面,提供如方面1-11中任一项所述的玻璃制品,其中在没有任何表面改性层时,所述载体的平均表面粗糙度Ra≤2nm。
根据第十三方面,提供如方面1-12中任一项所述的玻璃制品,其中所述载体的厚度是200微米-3mm。
根据第十四方面,提供如方面1-13中任一项所述的玻璃制品,其中所述片材包含玻璃。
根据第十五方面,提供如方面1-14中任一项所述的玻璃制品,其中在没有任何表面改性层时,所述片材的平均表面粗糙度Ra≤2nm。
根据第十六方面,提供如方面1-15任一项所述的玻璃制品,其中所述片材的厚度≤300微米。
根据第十七方面,提供如方面1-16中任一项所述的玻璃制品,其中所述表面改性层的厚度是0.1-100nm。
根据第十八方面,提供如方面1-16中任一项所述的玻璃制品,其中所述表面改性层的厚度是0.1-10nm。
根据第十九方面,提供如方面1-16中任一项所述的玻璃制品,其中所述表面改性层的厚度是0.1-2nm。
根据第二十方面,提供如方面1-19中任一项所述的玻璃制品,其中所述载体是玻璃,该玻璃包含不含碱的铝硅酸盐或硼硅酸盐、硼铝硅酸盐,该玻璃包括的砷和锑含量分别≤0.05重量%。
根据第二十一方面,提供如方面1-20中任一项所述的玻璃制品,其中所述载体和所述片材的尺寸分别是100mmx100mm或更大。
根据第二十二方面,提供如方面1-21中任一项所述的玻璃制品,其中所述表面改性层包括下述的一种:
a)等离子体聚合的含氟聚合物;和
b)芳香族硅烷。
根据第二十三方面,提供如方面22所述的玻璃制品,其中当所述表面改性层包含等离子体聚合的含氟聚合物时,所述表面改性层是下述的一种:等离子体聚合的聚四氟乙烯;和从具有≤40%C4F8的CF4-C4F8混合物沉积的等离子体聚合的含氟聚合物表面改性层。
根据第二十四方面,提供如方面22所述的玻璃制品,其中当所述表面改性层包含芳香族硅烷时,所述表面改性层是下述的一种:苯基三乙氧基硅烷;二苯基二乙氧基硅烷;和4-五氟苯基三乙氧基硅烷。
根据第二十五方面,提供如方面22所述的玻璃制品,其中当所述表面改性层包含芳香族硅烷时,所述表面改性层包含氯苯基,或氟苯基,甲硅烷基。
根据第二十六方面,提供一种制备玻璃制品的方法,所述方法包含:
获得具有结合表面的载体,
控制所述载体结合表面的表面能,从而当所述载体与具有结合表面的玻璃片材结合时,该表面能的一种使所述片材结合表面和所述载体结合表面之间形成共价的结合的分量具有以下所述的特征,使所述结合的片材和载体制品经历温度循环之后,如果所述载体和所述片材中的一种固定且另一种经受重力的作用,所述载体和所述片材不相互分离,在所述温度循环中不存在来自所述载体结合表面的脱气,以及能够在不将所述载体和所述片材中更薄的一种破碎成两块或更多块的情况下,将所述片材与所述载体分离,所述温度循环包括在腔室中进行以下的加热,以9.2℃/分钟的速率从室温加热到600℃,在600℃的温度下保持10分钟,然后以1℃/分钟的速率冷却到300℃,并随后从所述腔室移出所述制品,并使所述制品冷却到室温。
根据第二十七方面,提供一种制备玻璃制品的方法,所述方法包含:
获得具有结合表面的载体,
获得具有片材结合表面的片材,
通过在所述载体结合表面上设置所述片材结合表面,来把所述片材与所述载体结合,
控制所述载体结合表面和所述片材结合表面的表面能,从而所述表面能使所述片材结合表面和所述载体结合表面之间形成共价的结合的一个分量具有以下所述的特征,使所述结合的片材和载体制品经历温度循环之后,如果所述载体和所述片材中一种固定且另一种经受重力作用,所述载体和所述片材不相互分离,在所述温度循环中不存在来自所述载体结合表面和所述片材结合表面之间的界面的脱气,以及能够在不将所述载体和所述片材中更薄的一种破碎成两块或更多块的情况下,将所述片材与所述载体分离,所述温度循环包括在腔室中进行以下加热:以9.2℃/分钟的速率从室温加热到600℃,在600℃的温度下保持10分钟,然后以1℃/分钟的速率冷却到300℃,并随后从所述腔室移出所述制品并使所述制品冷却到室温。
根据第二十八方面,提供如方面26或方面27所述的方法,其中所述加热在氮气中实施。
根据第二十九方面,提供如方面26-28任一项所述的方法,其中结合所述片材和所述载体的所述表面能具有特定的特征,以使得所述制品能通过真空兼容性测试。
根据第三十方面,提供如方面29所述的方法,其中所述真空兼容性测试在所述温度测试之前实施。
根据第三十一方面,提供如方面26-30任一项所述的方法,其中结合所述片材和所述载体的所述表面能具有特定的特征,以使得所述制品能通过湿加工兼容性测试。
根据第三十二方面,提供如方面26-31任一项所述的方法,其中所述片材和所述载体之间的结合具有特定的特征,以使得所述制品能够耐受超声处理而不使所述片材从所述载体发生脱层,其中所述超声处理包括将所述制品置于含温度≥50℃的流体的第一水槽中并使所述制品经历10分钟的≥100kHz超声能量的第一步骤。
根据第三十三方面,提供如方面32所述的方法,其中所述超声处理还包括将所述制品置于含温度≥50℃的流体的第二水槽并使所述制品经历10分钟的≥100kHz超声能量的第二步骤。
根据第三十四方面,提供如方面33所述的方法,其中所述超声处理还包括将所述制品置于含温度≥50℃的流体的第三水槽并使所述制品经历10分钟的≥70kHz超声能量的第三步骤。
根据第三十五方面,提供如方面34所述的方法,其中所述超声处理还包括将所述制品置于含温度≥50℃的流体的第四水槽并使所述制品经历10分钟的≥100kHz超声能量的第四步骤。
根据第三十六方面,提供如方面26-35中任一项所述的方法,其中所述载体包含玻璃。
根据第三十七方面,提供如方面26-36中任一项所述的方法,其中在没有任何表面改性层时,所述载体的平均表面粗糙度Ra≤2nm。
根据第三十八方面,提供如方面26-37中任一项所述的方法,其中所述载体的厚度是200微米-3mm。
根据第三十九方面,提供如方面26-28中任一项所述的方法,其中所述片材包含玻璃。
根据第四十方面,提供如方面26-39中任一项所述的方法,其中在没有任何表面改性层时,所述片材的平均表面粗糙度Ra≤2nm。
根据第四十一方面,提供如方面26-40中任一项所述的方法,其中所述片材的厚度≤300微米。
根据第四十二方面,提供如方面26-41中任一项所述的方法,其中所述表面改性层的厚度是0.1-100nm。
根据第四十三方面,提供如方面26-41中任一项所述的方法,其中所述表面改性层的厚度是0.1-10nm。
根据第四十四方面,提供如方面26-41中任一项所述的方法,其中所述表面改性层的厚度是0.1-2nm。
根据第四十五方面,提供如方面26-44中任一项所述的方法,其中所述载体和所述片材中的至少一种是玻璃,该玻璃包含不含碱的铝硅酸盐或硼硅酸盐或硼铝硅酸盐,该玻璃包括的砷和锑水平分别≤0.05重量%。
根据第四十六方面,提供方面26-45中任一项所述的方法,其中所述载体和所述薄片材的尺寸分别是Gen1或更大。
根据第四十七方面,提供如方面26-46中任一项所述的方法,其中所述表面改性层包括下述的一种:
a)等离子体聚合的含氟聚合物;和
b)芳香族硅烷。
根据第四十八方面,提供如方面47所述的方法,其中当所述表面改性层包含等离子体聚合的含氟聚合物时,所述表面改性层是下述的一种:等离子体聚合的聚四氟乙烯;和从具有≤40%C4F8的CF4-C4F8混合物沉积的等离子体聚合的含氟聚合物表面改性层。
根据第四十九方面,提供如方面47所述的方法,其中当所述表面改性层包含芳香族硅烷时,所述表面改性层是下述的一种:苯基三乙氧基硅烷;二苯基二乙氧基硅烷;和4-五氟苯基三乙氧基硅烷。
根据第五十方面,提供如方面47所述的方法,其中当所述表面改性层包含芳香族硅烷时,所述表面改性层包含氯苯基,或氟苯基,甲硅烷基。
根据第五十一方面,提供一种对玻璃片材进行退火的方法,所述方法包含:
堆叠多个玻璃片材,所述玻璃片材各自具有两个主表面,从而在所述多个玻璃片材中的相邻的玻璃片材之间限定界面,其中在面向所述界面之一的至少一个所述主表面上设置表面改性层;
对所述玻璃片材堆叠件进行足以密实化所述各玻璃片材的时间-温度循环,
其中在整个时间-温度循环中,所述表面改性层足以控制所述堆叠件中相邻的玻璃片材之间的结合,该相邻的玻璃片材限定所述界面之一,其中控制所述结合到满足以下要求的力度,如果固定其中一个片材且另一个片材经受重力作用,该片材不相互分离,但能够在不将相邻的玻璃片材破碎成两块或更多块的情况下,分离所述片材,以及不存在来自所述界面的脱气。
根据第五十二方面,提供如方面51所述的方法,其中所述时间-温度循环包括≥400℃但低于所述玻璃片材的应变点的温度。
根据第五十三方面,提供如方面51所述的方法,其中所述时间-温度循环包括≥600℃但低于所述玻璃片材的应变点的温度。
根据五十四方面,提供如方面51-53中任一项所述的方法,其中所述表面改性层是下述的一种:HMDS、等离子体聚合的含氟聚合物和芳香族硅烷。
根据第五十五方面,提供如方面54所述的方法,其中当所述表面改性层包含等离子体聚合的含氟聚合物时,所述表面改性层是下述的一种:等离子体聚合的聚四氟乙烯;和从具有≤40%C4F8的CF4-C4F8混合物沉积的等离子体聚合的含氟聚合物表面改性层。
根据第五十六方面,提供如方面54所述的玻璃制品,其中当所述表面改性层包含芳香族硅烷时,所述表面改性层是下述的一种:苯基三乙氧基硅烷;二苯基二乙氧基硅烷;和4-五氟苯基三乙氧基硅烷。
根据第五十七方面,提供如方面54所述的方法,其中所述时间-温度循环在不含氧的环境中实施。

Claims (22)

1.一种玻璃制品,其包括:
具有载体结合表面的载体;
具有片材结合表面的片材;
均匀地设置在所述载体结合表面和所述片材结合表面中的一种之上的表面改性层,其中,所述表面改性层包括以下一种:
a)等离子体聚合的含氟聚合物,所述表面改性层是下述的一种:等离子体聚合的聚四氟乙烯;和从具有≤40%C4F8的CF4-C4F8混合物沉积的等离子体聚合的含氟聚合物表面改性层;和
b)芳香族硅烷,
使用位于所述载体结合表面与所述片材结合表面之间的所述表面改性层来使所述载体结合表面与所述片材结合表面结合,其中将所述片材结合于所述载体的表面能具有满足以下条件的特征,使所述制品经历温度循环之后,如果载体和片材中的一种固定且另一种被施加重力,所述载体和所述片材不相互分离,在所述温度循环中不存在来自所述表面改性层的脱气,以及可以在未将所述载体和所述片材中更薄的一种破碎成两块或更多块的情况下,将所述片材与所述载体分离,所述温度循环包括:在一个腔室内通过以下方式进行加热:以9.2℃/分钟的速率从室温加热到600℃,在600℃的温度下保持10分钟,然后以1℃/分钟的速率冷却到300℃,并随后从所述腔室移出所述制品并使所述制品冷却到室温。
2.如权利要求1所述的玻璃制品,其特征在于,所述加热在氮气中实施。
3.如权利要求1所述的玻璃制品,其中,所述载体包括玻璃。
4.如权利要求1所述的玻璃制品,其特征在于,在没有任何表面改性层时,所述载体的平均表面粗糙度Ra≤2nm。
5.如权利要求1所述的玻璃制品,其特征在于,所述载体的厚度是200微米-3mm。
6.如权利要求1所述的玻璃制品,其中,所述片材包括玻璃。
7.如权利要求1所述的玻璃制品,其特征在于,在没有任何表面改性层时,所述片材的平均表面粗糙度Ra≤2nm。
8.如权利要求1所述的玻璃制品,其特征在于,所述片材的厚度≤300微米。
9.如权利要求1所述的玻璃制品,其特征在于,所述表面改性层的厚度是0.1-100nm。
10.如权利要求1所述的玻璃制品,其特征在于,当所述表面改性层包含芳香族硅烷时,所述表面改性层是下述的一种:苯基三乙氧基硅烷;二苯基二乙氧基硅烷;和4-五氟苯基三乙氧基硅烷。
11.如权利要求1所述的玻璃制品,其特征在于,当所述表面改性层包含芳香族硅烷时,所述表面改性层包含氯苯基、或氟苯基、甲硅烷基。
12.一种制造玻璃制品的方法,所述方法包括:
获得具有结合表面的载体,
获得具有片材结合表面的片材,
通过用均匀地布置在它们之间的表面改性层将所述片材结合表面设置在所述载体结合表面上,来把所述片材与所述载体结合,所述表面改性层包括以下一种:
a)等离子体聚合的含氟聚合物,所述表面改性层是下述的一种:等离子体聚合的聚四氟乙烯;和从具有≤40%C4F8的CF4-C4F8混合物沉积的等离子体聚合的含氟聚合物表面改性层;和
b)芳香族硅烷,
控制所述载体结合表面和所述片材结合表面的表面能,从而所述表面能的使所述片材结合表面和所述载体结合表面之间形成共价的结合的一个分量具有满足以下条件的特征,使所述结合的片材和载体制品经历温度循环之后,如果所述载体和所述片材中的一种固定且另一种被施加重力,所述载体和所述片材不相互分离,在所述温度循环中不存在来自所述载体结合表面和所述片材结合表面之间的界面的脱气,以及可以在未将所述载体和所述片材中更薄的一种破碎成两块或更多块的情况下,将所述片材与所述载体分离,所述温度循环包括以下循环方式:在腔室中通过以下方式进行加热,在以9.2℃/分钟的速率从室温加热到600℃,在600℃的温度下保持10分钟,然后以1℃/分钟的速率冷却到300℃,并随后从所述腔室移出所述制品并使所述制品冷却到室温。
13.如权利要求12所述的方法,其特征在于,所述加热在氮气中实施。
14.如权利要求12所述的方法,其中,所述载体包括玻璃。
15.如权利要求12所述的方法,其特征在于,在没有任何表面改性层时,所述载体的平均表面粗糙度Ra≤2nm。
16.如权利要求12所述的方法,其特征在于,所述载体的厚度是200微米-3mm。
17.如权利要求12所述的方法,其特征在于,所述片材包含玻璃。
18.如权利要求12所述的方法,其特征在于,在没有任何表面改性层时,所述片材的平均表面粗糙度Ra≤2nm。
19.如权利要求12所述的方法,其特征在于,所述片材的厚度≤300微米。
20.如权利要求12所述的方法,其特征在于,所述表面改性层的厚度是0.1-100nm。
21.如权利要求12所述的方法,其特征在于,当所述表面改性层包含芳香族硅烷时,所述表面改性层是下述的一种:苯基三乙氧基硅烷;二苯基二乙氧基硅烷;和4-五氟苯基三乙氧基硅烷。
22.如权利要求12所述的方法,其特征在于,当所述表面改性层包含芳香族硅烷时,所述表面改性层包含氯苯基、或氟苯基、甲硅烷基。
CN201380072898.7A 2012-12-13 2013-12-13 用于玻璃片材和载体的受控结合的玻璃制品和方法 Active CN105683115B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261736862P 2012-12-13 2012-12-13
US61/736,862 2012-12-13
US14/047,506 US10086584B2 (en) 2012-12-13 2013-10-07 Glass articles and methods for controlled bonding of glass sheets with carriers
US14/047,506 2013-10-07
PCT/US2013/074926 WO2014093776A1 (en) 2012-12-13 2013-12-13 Glass articles and methods for controlled bonding of glass sheets with carriers

Publications (2)

Publication Number Publication Date
CN105683115A CN105683115A (zh) 2016-06-15
CN105683115B true CN105683115B (zh) 2018-02-13

Family

ID=50931232

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380072898.7A Active CN105683115B (zh) 2012-12-13 2013-12-13 用于玻璃片材和载体的受控结合的玻璃制品和方法

Country Status (8)

Country Link
US (1) US10086584B2 (zh)
EP (2) EP2931672B1 (zh)
JP (1) JP6317759B2 (zh)
KR (1) KR102237812B1 (zh)
CN (1) CN105683115B (zh)
SG (2) SG10201707015UA (zh)
TW (1) TWI601699B (zh)
WO (1) WO2014093776A1 (zh)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
US10543662B2 (en) * 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
KR101949561B1 (ko) 2012-10-12 2019-02-18 코닝 인코포레이티드 잔류 강도를 갖는 제품
US20140150244A1 (en) * 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US20150099110A1 (en) * 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
KR102151247B1 (ko) * 2013-11-11 2020-09-03 삼성디스플레이 주식회사 플렉서블 표시 패널의 제조 방법 및 플렉서블 표시 장치의 제조 방법
US9359161B2 (en) * 2013-12-20 2016-06-07 Industrial Technology Research Institute Interleaving element for a roll of glass substrate
EP3099483B1 (en) 2014-01-27 2022-06-01 Corning Incorporated Articles and methods for controlled bonding of thin sheets with carriers
EP3129221A1 (en) * 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
EP3215473A1 (en) * 2014-11-05 2017-09-13 Corning Incorporated Glass articles with non-planar features and alkali-free glass elements
US9515272B2 (en) * 2014-11-12 2016-12-06 Rohm And Haas Electronic Materials Llc Display device manufacture using a sacrificial layer interposed between a carrier and a display device substrate
EP3265313A1 (en) 2015-03-04 2018-01-10 Corning Incorporated Methods and apparatus for initiating debonding between two sheets of an assembly
CN107635942A (zh) * 2015-03-13 2018-01-26 康宁股份有限公司 用于加工玻璃带的方法和***以及由此形成的玻璃带
JP6607550B2 (ja) * 2015-03-16 2019-11-20 日本電気硝子株式会社 ガラス基板の製造方法
JP2018524201A (ja) 2015-05-19 2018-08-30 コーニング インコーポレイテッド シートをキャリアと結合するための物品および方法
KR102524620B1 (ko) * 2015-06-26 2023-04-21 코닝 인코포레이티드 시트 및 캐리어를 포함하는 방법들 및 물품들
TW201737766A (zh) 2016-01-21 2017-10-16 康寧公司 處理基板的方法
WO2017188281A1 (ja) * 2016-04-28 2017-11-02 旭硝子株式会社 ガラス積層体およびその製造方法
TWI729120B (zh) 2016-05-16 2021-06-01 美商道康寧公司 包括至少一氟矽化合物之離型層
US10410883B2 (en) * 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10134657B2 (en) * 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
EP3497066A1 (en) 2016-08-10 2019-06-19 Corning Incorporated Apparatus and method to coat glass substrates with electrostatic chuck and van der waals forces
JP2019524510A (ja) * 2016-08-22 2019-09-05 コーニング インコーポレイテッド 制御可能に結合されたシートの物品およびその製造方法
TW202216444A (zh) 2016-08-30 2022-05-01 美商康寧公司 用於片材接合的矽氧烷電漿聚合物
TWI810161B (zh) 2016-08-31 2023-08-01 美商康寧公司 具以可控制式黏結的薄片之製品及製作其之方法
TW201822282A (zh) 2016-09-09 2018-06-16 美商康寧公司 具有通孔的低表面粗糙度基板及其製作方法
WO2018081054A1 (en) * 2016-10-25 2018-05-03 Corning Incorporated Methods and apparatus for positioning and securing glass, glass-ceramic and ceramic substrates for coating
CN107098606B (zh) * 2017-04-24 2020-07-07 京东方科技集团股份有限公司 玻璃贴合方法、制造显示器件的方法和显示器件
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
JP7260523B2 (ja) * 2017-08-18 2023-04-18 コーニング インコーポレイテッド ポリカチオン性高分子を使用した一時的結合
KR20200081496A (ko) 2017-11-20 2020-07-07 코닝 인코포레이티드 양이온성 계면활성제 및/또는 유기염을 사용한 유리 쌍의 임시 접합
JP7431160B2 (ja) 2017-12-15 2024-02-14 コーニング インコーポレイテッド 基板を処理するための方法および結合されたシートを含む物品を製造するための方法
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
JP7351900B2 (ja) * 2018-08-02 2023-09-27 ビーワイディー カンパニー リミテッド ガラス複合体の製造方法、筐体の製造方法、表示装置の製造方法
CN113474311B (zh) 2019-02-21 2023-12-29 康宁股份有限公司 具有铜金属化贯穿孔的玻璃或玻璃陶瓷制品及其制造过程
KR20230008100A (ko) * 2020-04-23 2023-01-13 코닝 인코포레이티드 최소화된 형상 편차 및 작은 베젤 폭을 위해 구성된 프레임을 갖는 유리 물품
CN114920469B (zh) * 2022-06-01 2024-04-30 北方夜视技术股份有限公司 一种硼硅玻璃的键合方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102428052A (zh) * 2009-07-03 2012-04-25 日本电气硝子株式会社 玻璃膜层叠体
CN102574371A (zh) * 2009-10-20 2012-07-11 旭硝子株式会社 玻璃层叠体、带支承体的显示装置用面板、显示装置用面板、显示装置及它们的制造方法
CN102695685A (zh) * 2010-01-12 2012-09-26 日本电气硝子株式会社 玻璃膜层叠体及其制造方法以及玻璃膜的制造方法

Family Cites Families (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
EP0646151B1 (en) 1991-06-14 1997-11-05 W.L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5760100B1 (en) 1994-09-06 2000-11-14 Ciba Vision Corp Extended wear ophthalmic lens
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
JP3930591B2 (ja) * 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6124154A (en) 1996-10-22 2000-09-26 Seiko Epson Corporation Fabrication process for thin film transistors in a display or electronic device
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
EP1018153A1 (en) 1997-08-29 2000-07-12 Sharon N. Farrens In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US8853696B1 (en) 1999-06-04 2014-10-07 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic device
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW487959B (en) 1999-08-13 2002-05-21 Semiconductor Energy Lab Laser apparatus, laser annealing method, and manufacturing method of a semiconductor device
WO2001057920A1 (en) 2000-02-01 2001-08-09 Analog Devices, Inc. Process for wafer level treatment to reduce stiction and passivate micromachined surfaces and compounds used therefor
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
DE60221753T2 (de) 2001-06-29 2007-12-06 Crystal Systems Inc. Beschlagungsresistente transparente Artikel, Stoffe, die eine hydrophile anorganische Schicht hoher Härte bilden und Verfahren zur Herstellung einer beschlagungsarmen Linse
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
TW558743B (en) 2001-08-22 2003-10-21 Semiconductor Energy Lab Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
US6824872B2 (en) * 2002-04-23 2004-11-30 Laurel Products Llc Surface-treating fluoropolymer powders using atmospheric plasma
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
DE60325669D1 (de) 2002-05-17 2009-02-26 Semiconductor Energy Lab Verfahren zum Transferieren eines Objekts und Verfahren zur Herstellung eines Halbleiterbauelements
KR101005989B1 (ko) * 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
WO2004014644A1 (ja) 2002-08-07 2004-02-19 Kabushiki Kaisha Toyota Chuo Kenkyusho 密着層を備える積層体及び保護膜を備える積層体
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
JP2006520089A (ja) 2002-11-20 2006-08-31 レビオ インコーポレーティッド 基板上に多層素子を製造する方法およびシステム
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
EP1568071B1 (de) 2002-11-29 2019-03-20 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit trennschicht und trägerschicht und dessen herstellungsverfahren
DE10353530A1 (de) 2003-11-14 2005-06-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit Deckschicht und Trennschicht, Verfahren zur Herstellung eines solchen Wafers sowie Verfahren zum Dünnen bzw. Rückseitenmetallisieren eines Wafers
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
US20050242341A1 (en) 2003-10-09 2005-11-03 Knudson Christopher T Apparatus and method for supporting a flexible substrate during processing
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
KR101019337B1 (ko) 2004-03-12 2011-03-07 도꾸리쯔교세이호징 가가꾸 기쥬쯔 신꼬 기꼬 아몰퍼스 산화물 및 박막 트랜지스터
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US20060134362A1 (en) * 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
US7541264B2 (en) 2005-03-01 2009-06-02 Dow Corning Corporation Temporary wafer bonding method for semiconductor processing
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
JP5200538B2 (ja) 2005-08-09 2013-06-05 旭硝子株式会社 薄板ガラス積層体及び薄板ガラス積層体を用いた表示装置の製造方法
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
CN101305315B (zh) 2005-11-11 2010-05-19 株式会社半导体能源研究所 形成具有功能性的层的方法及半导体器件的制造方法
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070248809A1 (en) * 2006-04-19 2007-10-25 Steven Vincent Haldeman Interlayers Comprising Stable Infrared Absorbing Agents
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
KR20090006824A (ko) 2006-05-08 2009-01-15 아사히 가라스 가부시키가이샤 박판 유리 적층체, 박판 유리 적층체를 이용한 표시 장치의제조 방법 및 지지 유리 기판
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
WO2008007622A1 (fr) 2006-07-12 2008-01-17 Asahi Glass Company, Limited substrat de verre avec verre de protection, processus de fabrication d'UN affichage EN utilisant un SUBSTRAT DE VERRE AVEC VERRE DE PROTECTION, et silicone pour papier détachable
US8084103B2 (en) * 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
WO2008044884A1 (en) 2006-10-13 2008-04-17 Sunwoo Amc Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
WO2008111361A1 (ja) 2007-03-12 2008-09-18 Asahi Glass Company, Limited 保護ガラス付ガラス基板及び保護ガラス付ガラス基板を用いた表示装置の製造方法
ATE495181T1 (de) 2007-04-26 2011-01-15 Basf Se Silane enthaltend phenothiazin-s-oxid oder phenothiazin-s,s-dioxid-gruppen und deren verwendung in oleds
US7635617B2 (en) 2007-04-27 2009-12-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor substrate and manufacturing method of semiconductor device
EP3225599A1 (en) 2007-06-20 2017-10-04 Asahi Glass Company, Limited Method for treatment of surface of oxide glass with fluorinating agent
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
CN101779268B (zh) 2007-06-25 2013-11-06 布鲁尔科技公司 高温旋涂暂时性粘合组合物
TW200907003A (en) * 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
US9111981B2 (en) 2008-01-24 2015-08-18 Brewer Science Inc. Method for reversibly mounting a device wafer to a carrier substrate
KR101513007B1 (ko) * 2008-02-05 2015-04-17 세인트-고베인 퍼포먼스 플라스틱스 코포레이션 다층 물품
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
WO2009126544A1 (en) 2008-04-08 2009-10-15 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Assemblies and methods for reducing warp and bow of a flexible substrate during semiconductor processing
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
CN102007524B (zh) 2008-04-17 2013-07-31 旭硝子株式会社 玻璃层叠体、带支撑体的显示装置用面板及它们的制造方法
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
KR20110055728A (ko) 2008-09-12 2011-05-25 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 가요성 기판을 경질 캐리어에 부착하기 위한 방법 및 결과 장치
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
WO2010059710A1 (en) 2008-11-19 2010-05-27 Dow Corning Corporation A silicone composition and a method for preparing the same
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
WO2010098762A1 (en) 2009-02-27 2010-09-02 Exxonmobil Oil Corporation Plasma treated evoh multilayer film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播***中发送和获取控制信息的方法、基站和ue
WO2010129459A2 (en) 2009-05-06 2010-11-11 Corning Incorporated Carrier for glass substrates
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
WO2011024690A1 (ja) 2009-08-27 2011-03-03 旭硝子株式会社 フレキシブル基材-支持体の積層構造体、支持体付き電子デバイス用パネル、および電子デバイス用パネルの製造方法
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
JP5562597B2 (ja) 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
WO2011030716A1 (ja) 2009-09-08 2011-03-17 旭硝子株式会社 ガラス/樹脂積層体、及びそれを用いた電子デバイス
JP5797653B2 (ja) 2009-09-11 2015-10-21 ロックタイト (アール アンド ディー) リミテッドLoctite (R & D) Limited ポリマー接着用組成物
CN102471129B (zh) 2009-09-18 2015-04-15 日本电气硝子株式会社 玻璃膜的制造方法及玻璃膜的处理方法以及玻璃膜层叠体
JPWO2011048979A1 (ja) * 2009-10-20 2013-03-14 旭硝子株式会社 ガラス積層体及びその製造方法、並びに表示パネルの製造方法及びその製造方法により得られる表示パネル
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
RU2593841C2 (ru) 2009-12-17 2016-08-10 Керамтек Гмбх Кондиционирование поверхности для улучшения адгезии костного цемента к керамическим субстратам
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5625470B2 (ja) 2010-05-10 2014-11-19 セイコーエプソン株式会社 接合方法
KR20130097069A (ko) 2010-05-11 2013-09-02 아사히 가라스 가부시키가이샤 적층체의 제조 방법 및 적층체
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
WO2012043971A2 (ko) 2010-09-29 2012-04-05 포항공과대학교 산학협력단 롤 형상의 모기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
KR101049380B1 (ko) 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子***级封装的高密度转接板的制备方法
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP5355618B2 (ja) 2011-03-10 2013-11-27 三星ディスプレイ株式會社 可撓性表示装置及びこの製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
CN103492173B (zh) 2011-04-22 2015-05-20 旭硝子株式会社 层叠体、其制造方法和用途
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
WO2013006865A2 (en) 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
JP5963218B2 (ja) 2011-09-27 2016-08-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄いガラス基板用のキャリアおよびその使用方法
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
JP5924344B2 (ja) 2011-10-18 2016-05-25 旭硝子株式会社 積層体、積層体の製造方法、および、電子デバイス用部材付きガラス基板の製造方法
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US8696864B2 (en) 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
JP2015515431A (ja) 2012-02-08 2015-05-28 コーニング インコーポレイテッド 担体付のフレキシブルガラスの処理
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
CN104349894B (zh) 2012-05-29 2016-06-08 旭硝子株式会社 玻璃层叠体和电子器件的制造方法
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
KR101949561B1 (ko) 2012-10-12 2019-02-18 코닝 인코포레이티드 잔류 강도를 갖는 제품
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
CN103035490A (zh) 2012-12-11 2013-04-10 京东方科技集团股份有限公司 柔性显示器件的制备方法
WO2014093775A1 (en) 2012-12-13 2014-06-19 Corning Incorporated Glass and methods of making glass articles
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
WO2014151353A1 (en) 2013-03-15 2014-09-25 Corning Incorporated Bulk annealing of glass sheets
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
TW201529511A (zh) 2014-01-27 2015-08-01 Corning Inc 用於受控接合薄板與載具的表面改質層的處理
CN106104778A (zh) 2014-01-27 2016-11-09 康宁股份有限公司 用于聚合物表面与载体的受控粘结的制品和方法
KR20160119080A (ko) 2014-02-07 2016-10-12 아사히 가라스 가부시키가이샤 유리 적층체
EP3129221A1 (en) 2014-04-09 2017-02-15 Corning Incorporated Device modified substrate article and methods for making
TWI649192B (zh) 2014-04-10 2019-02-01 日商Agc股份有限公司 Glass laminate, method of manufacturing same, and method of manufacturing electronic component
WO2015163134A1 (ja) 2014-04-25 2015-10-29 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
KR20170039135A (ko) 2014-08-01 2017-04-10 아사히 가라스 가부시키가이샤 무기막을 구비한 지지 기판 및 유리 적층체, 그리고, 그것들의 제조 방법 및 전자 디바이스의 제조 방법
KR102524620B1 (ko) 2015-06-26 2023-04-21 코닝 인코포레이티드 시트 및 캐리어를 포함하는 방법들 및 물품들

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102428052A (zh) * 2009-07-03 2012-04-25 日本电气硝子株式会社 玻璃膜层叠体
CN102574371A (zh) * 2009-10-20 2012-07-11 旭硝子株式会社 玻璃层叠体、带支承体的显示装置用面板、显示装置用面板、显示装置及它们的制造方法
CN102695685A (zh) * 2010-01-12 2012-09-26 日本电气硝子株式会社 玻璃膜层叠体及其制造方法以及玻璃膜的制造方法

Also Published As

Publication number Publication date
TW201429897A (zh) 2014-08-01
US20140170378A1 (en) 2014-06-19
SG11201504660SA (en) 2015-07-30
EP3584229B1 (en) 2023-11-15
SG10201707015UA (en) 2017-10-30
WO2014093776A1 (en) 2014-06-19
EP2931672A1 (en) 2015-10-21
EP3584229A1 (en) 2019-12-25
TWI601699B (zh) 2017-10-11
JP2016507449A (ja) 2016-03-10
US10086584B2 (en) 2018-10-02
KR20150094742A (ko) 2015-08-19
EP2931672B1 (en) 2019-07-17
KR102237812B1 (ko) 2021-04-09
JP6317759B2 (ja) 2018-04-25
CN105683115A (zh) 2016-06-15

Similar Documents

Publication Publication Date Title
CN105683115B (zh) 用于玻璃片材和载体的受控结合的玻璃制品和方法
CN105144420B (zh) 用于加工oled装置的方法
CN106103371A (zh) 用于玻璃片材和载体的受控结合的玻璃制品和方法
CN105143121B (zh) 用于控制片材和载体之间的结合的促进的加工
CN105658594B (zh) 玻璃片的整体退火
EP3058587B1 (en) Carrier-bonding methods and articles for semiconductor and interposer processing
CN106030686A (zh) 玻璃和制备玻璃制品的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant