CN105093834B - 硬掩模组成物和使用所述硬掩模组成物形成图案的方法 - Google Patents

硬掩模组成物和使用所述硬掩模组成物形成图案的方法 Download PDF

Info

Publication number
CN105093834B
CN105093834B CN201510136947.XA CN201510136947A CN105093834B CN 105093834 B CN105093834 B CN 105093834B CN 201510136947 A CN201510136947 A CN 201510136947A CN 105093834 B CN105093834 B CN 105093834B
Authority
CN
China
Prior art keywords
substituted
unsubstituted
group
chemical formula
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510136947.XA
Other languages
English (en)
Other versions
CN105093834A (zh
Inventor
南沇希
金美英
朴惟廷
金润俊
金惠廷
文俊怜
宋炫知
李忠宪
崔有廷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung SDI Co Ltd
Original Assignee
Samsung SDI Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung SDI Co Ltd filed Critical Samsung SDI Co Ltd
Publication of CN105093834A publication Critical patent/CN105093834A/zh
Application granted granted Critical
Publication of CN105093834B publication Critical patent/CN105093834B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L65/00Compositions of macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain; Compositions of derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Materials For Photolithography (AREA)

Abstract

本发明提供一种硬掩模组成物和使用所述硬掩模组成物形成图案的方法。所述硬掩模组成物包含聚合物和溶剂,所述聚合物包含由以下化学式1表示的部分。[化学式1]*‑A‑B‑*在所述化学式1中,A和B与具体实施方式中所定义的相同。本发明提供的硬掩模组成物能确保溶剂的可溶性、间隙填充特性和平坦化特性并满足耐热性和耐蚀刻性。

Description

硬掩模组成物和使用所述硬掩模组成物形成图案的方法
相关申请的交叉引用
本申请要求2014年5月16日在韩国知识产权局提交的韩国专利申请第10-2014-0059252号的优先权和权益,其全部内容以引用的方式并入本文中。
技术领域
本发明涉及一种硬掩模组成物和一种使用所述硬掩模组成物形成图案的方法。
背景技术
最近,半导体行业已发展到具有几纳米到几十纳米尺寸的图案的超精细技术。所述超精细技术主要需要有效的光刻技术。典型的光刻技术包含:在半导体衬底上提供材料层;在材料层上涂布光刻胶层;曝光且显影所述光刻胶层以提供光刻胶图案;以及使用所述光刻胶图案作为掩模来蚀刻所述材料层。当今,根据即将形成的图案的较小尺寸,仅仅通过上述典型的光刻技术难以提供轮廓清晰的精细图案。因此,可在材料层与光刻胶层之间形成被称作硬掩模层的层来得到精细图案。硬掩模层起到中间层的作用,用于通过选择性蚀刻工艺将光刻胶的精细图案转移到材料层。因此,硬掩模层需要具有例如耐热性和耐蚀刻性等的特性以在多种蚀刻工艺期间耐受。另一方面,最近已经提出了通过旋涂式涂布法而不是化学气相沉积来形成硬掩模层。旋涂式涂布法易于进行且还可改良间隙填充特性和平坦化特性。当必需使用多个图案来获得精细图案时,需要在无空隙下用层填充图案的间隙填充特性。另外,当衬底具有凸块或作为衬底的晶片具有图案致密区和无图案区两者时,需要用较低层平坦化层表面的平坦化特性。然而,由于硬掩模层所需的以上特性彼此对立,故需要发展满足这些特性的硬掩模组成物。
发明内容
一个实施例提供确保溶剂的可溶性、间隙填充特性和平坦化特性并满足耐热性和耐蚀刻性的硬掩模组成物。
另一实施例提供一种使用硬掩模组成物形成图案的方法。
根据一个实施例,硬掩模组成物包含聚合物和溶剂,所述聚合物包含由以下化学式1表示的部分。
[化学式1]
*-A-B-*
在化学式1中,
A为包含经取代或未经取代的芳环的化合物,以及
B为以下族群1中所列的基团之一。
[族群1]
Figure GDA0002303932490000021
在族群1中,
R1和R2独立地是氢(-H)、羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合,
L为-O-、-S-、-SO2-或羰基,以及
x为1至30的整数。
A可为经取代或未经取代的C6到C50亚芳基。
A可为以下族群2中所列的基团之一。
[族群2]
Figure GDA0002303932490000031
在族群2中,
R3到R6独立地是氢(-H)、羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合。
聚合物可由以下化学式2表示。
[化学式2]
Figure GDA0002303932490000041
在化学式2中,
A1、A2以及A3独立地是包含经取代或未经取代的芳环的化合物,
B1、B2以及B3独立地是以上族群1中所列的基团之一,以及
l、m以及n独立地是0至200的整数。
l、m以及n的总和至少为1。
A3可为由以下化学式3表示的化合物。
[化学式3]
Figure GDA0002303932490000042
在化学式3中,
A4和A5独立地是族群2中所列的基团之一,以及
R7和R8独立地是氢(-H)、羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合。
在化学式2中,A1、A2以及A3可为族群2中所列的基团之一。
聚合物的重量平均分子量可以为约1,000到约200,000。
以有机层组成物的总量计,可以约0.1重量%到约30重量%的量包含聚合物。
根据另一实施例,形成图案的方法包含:在衬底上提供材料层,在材料层上涂覆硬掩模组成物,热处理硬掩模组成物以形成硬掩模层,在硬掩模层上形成含硅薄层,在含硅薄层上形成光刻胶层,曝光且显影光刻胶层以形成光刻胶图案,使用光刻胶图案选择性地去除含硅薄层和硬掩模层以暴露材料层的一部分,以及蚀刻材料层的暴露部分。
硬掩模组成物可以使用旋涂式涂布法涂覆。
形成硬掩模层的工艺可以包含在约100℃到约500℃下进行热处理。
所述方法可进一步包含在形成光刻胶层之前形成底部抗反射涂层(bottomantireflective coating,BARC)。
含硅薄层可包含氮氧化硅(silicon oxynitride,SiON)。
可提供满足对于溶剂的可溶性、间隙填充特性和平坦化特性并确保耐热性和耐蚀刻性的硬掩模组成物。
附图说明
图1表示用于评估根据实例和比较例的硬掩模层的平坦化特性的计算方程式1。
具体实施方式
本发明的例示性实施例将在下文中进行详细描述,并且可以容易由具有相关领域中常识的人员执行。然而,本发明可以多种不同形式实施,并且不解释为限于本文所阐述的例示性实施例。
如本文所用,当未另外提供定义时,术语“经取代的”可以指经由以下各项中选出的取代基取代而代替化合物的氢原子的一个:卤素原子(F、Br、Cl或I)、羟基、烷氧基、硝基、氰基、氨基、叠氮基、脒基、肼基、亚肼基、羰基、氨甲酰基、硫醇基、酯基、羧基或其盐、磺酸基或其盐、磷酸或其盐、C1到C20烷基、C2到C20烯基、C2到C20炔基、C6到C30芳基、C7到C30芳烷基、C1到C30烷氧基、C1到C20杂烷基、C3到C20杂芳基烷基、C3到C30环烷基、C3到C15环烯基、C6到C15环炔基、C3到C30杂环烷基和其组合。
未另外提供定义时,如本文所使用,术语“杂”是指包含1到3个选自B、N、O、S和P的杂原子者。
在下文中,描述了根据一个实施例的硬掩模组成物。
根据一个实施例的硬掩模组成物包含聚合物和溶剂,所述聚合物包含由以下化学式1表示的部分。
[化学式1]
*-A-B-*
在化学式1中,
A为包含经取代或未经取代的芳环的化合物,以及
B为以下族群1中所列的基团之一。
[族群1]
Figure GDA0002303932490000061
在族群1中,
R1和R2独立地是氢(-H)、羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合,
L为-O-、-S-、-SO2-或羰基
Figure GDA0002303932490000062
以及
x为1至30的整数。
聚合物在重复单元中包含由A表示的芳环部分和由B表示的连接基团部分。
首先,聚合物可由于芳环部分A而具有刚性特性。举例来说,芳环部分A可为经取代或未经取代的C6到C50亚芳基或C6到C40亚芳基。举例来说,芳环部分A可为以下族群2中所列的基团之一,但并不限于此。
[族群2]
Figure GDA0002303932490000071
在族群2中,
R3到R6独立地是氢(-H)、羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合。
另一个方面,聚合物的重复单元包含连接基团部分B。连接基团部分B可由族群1中选出,且族群1中所列的连接基团可为邻位或间位结构化合物或包含由-O-、-S-、-SO2-和羰基
Figure GDA0002303932490000081
中选出的连接基团的化合物。
根据一个实施例的硬掩模组成物中包含的化合物由于连接基团而增加聚合物的柔性。所述柔性结构不仅可以通过增加聚合物的自由体积(freevolume)而改进溶解度,而且可以通过降低玻璃转变温度(Tg)而改进间隙填充性能和平坦化,且因此,增加烘烤工艺期间的回流。
聚合物可以包含多个由化学式1表示的部分,并且多个部分可以具有相同结构或彼此不同的结构。
举例来说,聚合物可以由以下化学式2表示。
[化学式2]
Figure GDA0002303932490000082
在化学式2中,
A1、A2以及A3独立地是包含经取代或未经取代的芳环的化合物,
B1、B2以及B3独立地是以上族群1中所列的基团之一,
l、m以及n独立地是0至200的整数。
l、m以及n的总和至少为1。
在化学式2中,A1、A2以及A3可独立地为经取代或未经取代的C6到C50亚芳基或经取代或未经取代的C6到C40亚芳基。
在化学式2中,A3可例如由以下化学式3表示。
[化学式3]
Figure GDA0002303932490000083
在化学式3中,
A4和A5独立地是族群2中所列的基团之一,
R7和R8独立地是氢(-H)、羟基(-OH)、甲氧基(-OCH3)、乙氧基(-OC2H5)、卤素(-F、-Cl、-Br、-I)、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合。
在化学式2中,A1、A2以及A3可为族群2中所列的基团之一。
聚合物的重量平均分子量可以为约1,000到约200,000。当聚合物具有在所述范围内的重量平均分子量时,可以调节和优化包含聚合物的硬掩模组成物的碳含量和溶解度。
溶剂可以是足以溶解或分散聚合物的任一个,并且可以是例如由丙二醇、丙二醇二乙酸酯、甲氧基丙二醇、二甘醇、二甘醇丁基醚、三(乙二醇)单甲基醚、丙二醇单甲基醚、丙二醇单甲基醚乙酸酯、环己酮、乳酸乙酯、γ-丁内酯、甲基吡咯烷酮以及乙酰丙酮中选出的至少一个。
以有机层组成物的总量计,可以约0.1重量%到约30重量%的量包含聚合物。当聚合物在上述范围内被包含时,可以控制有机层的厚度、表面粗糙度和平坦化。
硬掩模组成物可进一步包含表面活性剂。
表面活性剂可包含例如烷基苯磺酸盐、烷基吡啶盐、聚乙二醇或季铵盐,但并不限于此。
以100重量份的硬掩模组成物计,可以约0.001重量份到约3重量份的量包含表面活性剂。当表面活性剂在所述范围内被包含时,可以在不改***掩模组成物的光学特性的情况下提高溶解度。
下文描述了用于通过使用硬掩模组成物形成图案的方法。
根据另一实施例形成图案的方法包含:在衬底上提供材料层,在材料层上涂覆包含所述化合物和所述溶剂的所述硬掩模组成物,热处理硬掩模组成物以形成硬掩模层,在硬掩模层上形成含硅薄层,在含硅薄层上形成光刻胶层,使光刻胶层曝光并且显影以形成光刻胶图案,使用光刻胶图案选择性地移除含硅薄层和硬掩模层以使材料层的一部分暴露,以及蚀刻材料层的暴露部分。
衬底可以是例如硅晶片、玻璃衬底或聚合物衬底。
材料层为最终将图案化的材料,例如,金属层,如铝层和铜层;半导体层,如硅层;或绝缘层,如氧化硅层和氮化硅层。材料层可以通过如化学气相沉积(chemical vapordeposition,CVD)工艺等方法形成。
硬掩模组成物与上文所述相同,且可以按溶液的形式通过旋涂式涂布法涂覆。在此,硬掩模组成物的厚度不受特定限制,但可以为例如约50埃到约10,000埃。
可以例如在约100℃到约500℃下对硬掩模组成物进行热处理约10秒到1小时。
含硅薄层可以由例如氮化硅或氧化硅形成。
所述方法可以进一步包含在形成所述光刻胶层之前,在所述含硅薄层上形成底部抗反射涂层(BARC)。
光刻胶层的曝光可以使用例如ArF、KrF或极紫外光(extreme ultra violet,EUV)进行。在曝光之后,可以在约100℃到约500℃下进行热处理。
可以通过干式蚀刻工艺使用蚀刻气体进行材料层的暴露部分的蚀刻工艺,并且蚀刻气体可以是例如CHF3、CF4、Cl2、BCl3或其混合气体。
经蚀刻的材料层可以多个图案形成,并且所述多个图案可以是金属图案、半导体图案、绝缘图案等,例如半导体集成电路装置的不同图案。
下文参考实例对本发明进行更详细的说明。然而,这些实例是例示性的,并且本发明并不限于此。
合成实例
合成实例1
将21.83克羟基芘、25.81克4,4'-双甲基甲氧基-二苯基醚、1.23克硫酸二乙酯以及32.58克丙二醇单甲基醚乙酸酯(propylene glycol monomethyl ether acetate,PGMEA)放置在烧瓶中,并且然后在100℃下搅拌2小时到6小时以进行聚合反应。当聚合物的重量平均分子量为2,000到3,500时,反应完成。当聚合反应终止时,将反应物缓慢冷却到室温,并且添加到40克蒸馏水和400克甲醇中,并且剧烈搅拌混合物并使其静置。在自其移除上清液之后,将其中产生的沉淀溶解于80克环己酮中,并且使用320克甲醇剧烈搅拌溶液并使其静置(第一工艺)。然后,在再次自其移除所产生的上清液之后,将其中的沉淀再次溶解于80克环己酮中(第二工艺)。第一工艺和第二工艺被视为一个纯化工艺,且此纯化工艺总计重复三次。将纯化的聚合物溶解于80克环己酮中,并且在减压下移除溶液中残留的甲醇和蒸馏水,获得由以下化学式4表示的化合物。
[化学式4]
Figure GDA0002303932490000111
合成实例2
将22.53克9,9-双(6-羟基-2-萘基)芴、12.91克4,4'-双甲基甲氧基-二苯基醚、0.77克硫酸二乙酯和59.07克丙二醇单甲基醚乙酸酯(PGMEA)放置在烧瓶中,并且然后在100℃下搅拌5小时到12小时以进行聚合反应。当聚合物的重量平均分子量为2,000到3,500时,反应完成。当聚合反应终止时,将反应物冷却到室温,并且然后添加到40克蒸馏水和400克甲醇中,并且剧烈搅拌混合物并使其静置。在自其移除上清液之后,将其中的沉淀溶解于80克环己酮中,并且通过使用320克甲醇搅拌溶液并使其静置(第一工艺)。在此,再次自其移除上清液,并且将其中的沉淀溶解于80克环己酮中(第二工艺)。第一工艺和第二工艺被视为一个纯化工艺,且此纯化工艺总计重复三次。将纯化的聚合物溶解于80克环己酮中,并且在减压下移除溶液中残留的甲醇和蒸馏水,获得由以下化学式5表示的化合物。
[化学式5]
Figure GDA0002303932490000112
合成实例3
将三颈烧瓶浸渍在100℃油恒温器中。将烧瓶维持在相同温度下,并且通过使用搅拌磁力棒来进行搅拌。随后,将43.65克(0.2摩尔)芘-4-醇和33.24克(0.2摩尔)1,3-双(甲氧基甲基)苯放置在反应器中并且溶解于52克丙二醇单甲基醚乙酸酯(PGMEA)中。然后,将1.23克(8毫摩尔)硫酸二乙酯添加到反应器中。
进行聚合,同时将反应器维持在100℃下,通过每隔一小时从其获取样品来测量聚合反应物的重量平均分子量,并且当重量平均分子量达到3,500到4,000时,完成反应。
当聚合反应终止时,将反应物缓慢冷却到室温,并且然后添加到30克蒸馏水和300克甲醇中,并且剧烈搅拌混合物并使其静置。在自其移除上清液之后,将其中的沉淀溶解于60克丙二醇单甲基醚乙酸酯(PGMEA)中,并且随后通过使用250克甲醇剧烈搅拌溶液并使其静置(第一工艺)。在此,再次移除自其获得的上清液,并且将其中的沉淀溶解于60克丙二醇单甲基醚乙酸酯(PGMEA)中(第二工艺)。第一工艺和第二工艺被视为一个纯化工艺,且此纯化工艺总计重复三次。将纯化的聚合物溶解于60克丙二醇单甲基醚乙酸酯(PGMEA)中,并且在减压下移除那里残留的甲醇和蒸馏水,获得由化学式6表示的化合物(Mw:4000)。
[化学式6]
Figure GDA0002303932490000121
合成实例4
由化学式7表示的化合物(Mw:4500)通过使用70克(0.2摩尔)4,4'-(9H-芴-9,9-二基)二苯酚、33.24克(0.2摩尔)1,3-双(甲氧基甲基)苯、70克丙二醇单甲基醚乙酸酯(PGMEA)以及1.23克(8毫摩尔)硫酸二乙酯经由与合成实例3相同的合成制备。
[化学式7]
Figure GDA0002303932490000131
合成实例5
由化学式8表示的化合物(Mw:4300)通过使用28.83克(0.2摩尔)萘-1-醇、48.46克(0.2摩尔)3,5-双(甲氧基甲基)联苯、53克丙二醇单甲基醚乙酸酯(PGMEA)以及1.23克(8毫摩尔)硫酸二乙酯经由与合成实例3相同的合成制备。
[化学式8]
Figure GDA0002303932490000132
合成实例6
由化学式9表示的化合物(Mw:4200)通过使用12.82克(0.1摩尔)萘、33.24克(0.2摩尔)1,3-双(甲氧基甲基)苯、45.00克(0.1摩尔)6,6'-(9H-芴-9,9-二基)二萘-2-醇、61克丙二醇单甲基醚乙酸酯(PGMEA)以及1.23克(8毫摩尔)硫酸二乙酯经由与合成实例3相同的合成制备。
[化学式9]
Figure GDA0002303932490000133
合成比较例1
将21.83克羟基芘、16.62克1,4-双甲基甲氧基苯、1.23克硫酸二乙酯以及26.45克丙二醇单甲基醚乙酸酯(PGMEA)放置在烧瓶中,并且在100℃下搅拌5小时到12小时以进行聚合反应。当聚合物的重量平均分子量为2,000到3,500时,终止反应。当聚合反应终止时,将反应物缓慢冷却到室温,并且添加到40克蒸馏水和400克甲醇中,并且剧烈搅拌混合物并使其静置。在自其移除上清液之后,将其中的沉淀溶解于80克环己酮中,并且通过使用320克甲醇剧烈搅拌溶液并使其静置(第一工艺)。在此,再次移除所获得的上清液,并且将其中的沉淀溶解于80克环己酮中(第二工艺)。第一工艺和第二工艺被视为一个纯化工艺,且此纯化工艺总计进行三次。将纯化的聚合物溶解于80克环己酮中,并且在减压下移除溶液中残留的甲醇和蒸馏水,获得由以下化学式10表示的化合物。
[化学式10]
Figure GDA0002303932490000141
合成比较例2
将21.83克羟基芘、24.23克4,4'-双甲基甲氧基-联苯、1.23克硫酸二乙酯以及31.53克丙二醇单甲基醚乙酸酯(PGMEA)放置在烧瓶中,并且在100℃下搅拌5小时到12小时以进行聚合反应。当聚合物的重量平均分子量为2,000到3,500时,结束反应。当聚合反应终止时,将反应物缓慢冷却到室温,并且添加到40克蒸馏水和400克甲醇中,并且剧烈搅拌混合物并使其静置。在自其移除上清液之后,将其中的沉淀溶解于80克环己酮中,并且通过使用320克甲醇剧烈搅拌溶液并使其静置(第一工艺)。在此,再次移除所获得的上清液,并且将其中的沉淀溶解于80克环己酮中(第二工艺)。第一工艺和第二工艺被视为一个纯化工艺,且此纯化工艺总计进行三次。将纯化的聚合物溶解于80克环己酮中,并且在减压下移除溶液中残留的甲醇和蒸馏水,获得由以下化学式11表示的化合物。
[化学式11]
Figure GDA0002303932490000142
合成比较例3
由化学式12表示的化合物(Mw:4100)通过使用70克(0.2摩尔)4,4'-(9H-芴-9,9-二基)二苯酚、48.46克(0.2摩尔)4,4'-双甲基甲氧基-联苯、80克丙二醇单甲基醚乙酸酯(PGMEA)以及1.23克(8毫摩尔)硫酸二乙酯经由与合成实例3相同的合成获得。
[化学式12]
Figure GDA0002303932490000151
合成比较例4
由化学式13表示的化合物(Mw:4200)通过使用55.67克(0.2摩尔)苝、33.24克(0.2摩尔)1,4-双(甲氧基甲基)苯、60克丙二醇单甲基醚乙酸酯(PGMEA)以及1.23克(8毫摩尔)硫酸二乙酯经由与合成实例3相同的合成获得。
[化学式13]
Figure GDA0002303932490000152
制备硬掩模组成物
实例1
硬掩模组成物通过将合成实例1的化合物溶解于丙二醇单甲基醚乙酸酯(PGMEA)与环己酮(7:3(体积/体积))的混合溶剂中并过滤溶液来制备。基于取决于所要厚度的硬掩模组成物的总重量,包含在4重量%到13重量%范围内的化合物。
实例2
根据与实例1相同的方法,改以使用合成实例2的化合物代替合成实例1的化合物,制备硬掩模组成物。
实例3
根据与实例1相同的方法,改以使用合成实例3的化合物代替合成实例1的化合物,制备硬掩模组成物。
实例4
根据与实例1相同的方法,改以使用合成实例4的化合物代替合成实例1的化合物,制备硬掩模组成物。
实例5
根据与实例1相同的方法,改以使用合成实例5的化合物代替合成实例1的化合物,制备硬掩模组成物。
实例6
根据与实例1相同的方法,改以使用合成实例6的化合物代替合成实例1的化合物,制备硬掩模组成物。
比较例1
根据与实例1相同的方法,改以使用合成比较例1的化合物代替合成实例1的化合物,制备硬掩模组成物。
比较例2
根据与实例1相同的方法,改以使用合成比较例2的化合物代替合成实例1的化合物,制备硬掩模组成物。
比较例3
根据与实例1相同的方法,改以使用合成比较例3的化合物代替合成实例1的化合物,制备硬掩模组成物。
比较例4
根据与实例1相同的方法,改以使用合成比较例4的化合物代替合成实例1的化合物,制备硬掩模组成物。
评估
评估1:间隙填充特性和平坦化特性
将根据实例1到实例6和比较例1到比较例4的各硬掩模组成物旋涂式涂布在图案化硅晶片上并烘烤以在其上形成膜,并且通过使用垂直扫瞄式电子显微镜(verticalscanning electron microscope,V-SEM)设备检查膜的横截面。调节硬掩模组成物中的化合物的量使得在裸晶片上形成1,100埃厚的组成物。
组成物的间隙填充特性通过观察图案横截面以查看是否存在空隙来评估。
结果提供在表1中。
[表1]
间隙填充特性
实例1 无空隙
实例2 无空隙
实例3 无空隙
实例4 无空隙
实例5 无空隙
实例6 无空隙
比较例1 无空隙
比较例2 无空隙
比较例3 产生空隙
比较例4 产生空隙
参看表1,分别由根据实例1到实例6的硬掩模组成物形成的各薄膜不具有空隙,并且因此展示极好的间隙填充特性。
另一方面,平坦化特性根据图1中表示的计算方程式1数字化,并且由于其中不存在单元的周围区域与单元区域之间的涂布厚度差较小,故平坦化特性(步差(stepdifference)特性)更优异。换句话说,由于(h1-h2)、(h1-h3)、(h1-h4)和(h1-h5)的总和较小,故步差特性在图1的计算方程式1中变得更优异。
结果提供在表2中。
[表2]
平坦化特性(总和(周围步高-单元步高))
实例1 59.2纳米
实例2 53.1纳米
实例3 49.2纳米
实例4 45.8纳米
实例5 48.3纳米
实例6 43.1纳米
比较例1 114.9纳米
比较例2 140.8纳米
比较例3 135.2纳米
比较例4 129.5纳米
参考表2,与分别由比较例1到比较例4的硬掩模组成物形成的薄膜相比,分别由实例1到实例6的硬掩模组成物形成的薄膜展示优异的平坦化特性。
评估2:厚度减小率
将根据实例1和实例2和比较例1和比较例2的硬掩模组成物分别旋涂式涂布在硅晶片上,并且在热板上在400℃下热处理2分钟,形成每个薄膜。调节硬掩模组成物中的化合物的量,使得组成物在裸晶片上的厚度为3,000埃。
随后,根据以下计算方程式2计算薄膜的厚度减小率。
[计算方程式2]
厚度减小率(%)=(旋涂式涂布后的薄膜厚度-在400℃下热处理2分钟后的薄膜厚度)/(旋涂式涂布后的薄膜厚度)×100(%)
结果提供在表3中。
[表3]
厚度减小率
实例1 28.6%
实例2 21.7%
比较例1 28.6%
比较例2 27.3%
参看表3,根据实例1和实例2的硬掩模薄膜维持小于或等于30%的厚度减小率。因此,当将根据实例1和实例2的硬掩模组成物分别涂布在图案化晶片上时,根据实例1和实例2的硬掩模组成物预期具有与根据比较例1和比较例2的硬掩模组成物类似的耐热性。
评估3:耐蚀刻性
将根据实例1和实例2和比较例1和比较例2的硬掩模组成物分别在硅晶片上旋涂式涂布为4,000埃厚,并且在热板上在400℃下热处理2分钟,形成每个薄膜。随后,测量薄膜的厚度。随后,薄膜通过分别使用CHF3/CF4混合气体和N2/O2混合气体干式蚀刻100秒和60秒,并且然后再次测量薄膜的厚度。在干式蚀刻之前和之后的薄膜厚度和蚀刻时间用于根据以下计算方程式3计算块体蚀刻速率(bulk etch rate,BER)。
[计算方程式3]
(初始薄膜厚度-蚀刻后的薄膜厚度)/蚀刻时间(埃/秒)
结果提供在表4中。
[表4]
Figure GDA0002303932490000191
Figure GDA0002303932490000201
参看表4,与分别由根据比较例1和比较例2的硬掩模组成物形成的薄膜相比,分别由根据实例1和实例2的硬掩模组成物形成的各薄膜显示类似的块体蚀刻特性。
虽然已经结合目前视为实用例示性实施例的内容来描述本发明,但应理解本发明不限于所公开的实施例,而是相反,本发明旨在涵盖包含在所附权利要求的精神和范围内的各种修改和等效配置。

Claims (14)

1.一种硬掩模组成物,包括:
聚合物,包含由以下化学式1表示的部分;以及
溶剂:
[化学式1]
*-A-B-*
其中,在所述化学式1中,
A为包含经取代或未经取代的芳环的化合物,以及
B为以下族群1中所列的基团之一,
[族群1]
Figure FDA0002303932480000011
其中,在所述族群1中,
R1和R2独立地是氢、羟基、甲氧基、乙氧基、卤素、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合,
L为-O-、-S-、-SO2-或羰基,以及
x为1至30的整数。
2.根据权利要求1所述的硬掩模组成物,其中所述A为经取代或未经取代的C6到C50亚芳基。
3.根据权利要求1所述的硬掩模组成物,其中所述A为以下族群2中所列的基团之一:
[族群2]
Figure FDA0002303932480000021
其中,在所述族群2中,
R3到R6独立地是氢、羟基、甲氧基、乙氧基、卤素、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合。
4.根据权利要求1所述的硬掩模组成物,其中所述聚合物由以下化学式2表示:
[化学式2]
Figure FDA0002303932480000031
其中,在所述化学式2中,
A1、A2以及A3独立地是包含经取代或未经取代的芳环的化合物,
B1、B2以及B3独立地是所述族群1中所列的基团之一,以及
l、m以及n独立地是0至200的整数,
其限制条件为所述l、m以及n的总和至少为1。
5.根据权利要求4所述的硬掩模组成物,其中所述A1、所述A2以及所述A3独立地是经取代或未经取代的C6到C50亚芳基。
6.根据权利要求4所述的硬掩模组成物,其中所述A3为由以下化学式3表示的化合物:
[化学式3]
Figure FDA0002303932480000032
其中,在所述化学式3中:
A4和A5独立地是以下族群2中所列的基团之一,
R7和R8独立地是氢、羟基、甲氧基、乙氧基、卤素、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合,
[族群2]
Figure FDA0002303932480000041
其中,在所述族群2中,
R3到R6独立地是氢、羟基、甲氧基、乙氧基、卤素、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合。
7.根据权利要求4所述的硬掩模组成物,其中在所述化学式2中,所述A1、所述A2以及所述A3为以下族群2中所列的基团之一:
[族群2]
Figure FDA0002303932480000051
其中,在所述族群2中,
R3到R6独立地是氢、羟基、甲氧基、乙氧基、卤素、经取代或未经取代的C3到C30环烯基、经取代或未经取代的C1到C20烷基胺基、经取代或未经取代的C7到C20芳烷基、经取代或未经取代的C1到C20杂烷基、经取代或未经取代的C2到C30杂环烷基、经取代或未经取代的C2到C30杂芳基、经取代或未经取代的C1到C4烷基醚基、经取代或未经取代的C7到C20芳基亚烷基醚基、经取代或未经取代的C1到C30卤烷基或其组合。
8.根据权利要求1所述的硬掩模组成物,其中所述聚合物的重量平均分子量为1,000到200,000。
9.根据权利要求1所述的硬掩模组成物,其中所述聚合物以有机层组成物的总量计以0.1重量%到30重量%的量包含在内。
10.一种形成图案的方法,包括:
在衬底上提供材料层;
在所述材料层上涂覆根据权利要求1到9中任一项所述的硬掩模组成物;
热处理所述硬掩模组成物以形成硬掩模层;
在所述硬掩模层上形成含硅薄层;
在所述含硅薄层上形成光刻胶层;
使所述光刻胶层曝光并且显影以形成光刻胶图案;
使用所述光刻胶图案选择性地移除所述含硅薄层和所述硬掩模层来暴露所述材料层的一部分;以及
蚀刻所述材料层的暴露部分。
11.根据权利要求10所述的形成图案的方法,其中所述硬掩模组成物使用旋涂式涂布法涂覆。
12.根据权利要求10所述的形成图案的方法,其中所述硬掩模层通过在100℃到500℃下热处理来形成。
13.根据权利要求10所述的形成图案的方法,其进一步包括在形成所述光刻胶层之前形成底部抗反射涂层。
14.根据权利要求10所述的形成图案的方法,其中所述含硅薄层包括氮氧化硅。
CN201510136947.XA 2014-05-16 2015-03-26 硬掩模组成物和使用所述硬掩模组成物形成图案的方法 Active CN105093834B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2014-0059252 2014-05-16
KR1020140059252A KR101754901B1 (ko) 2014-05-16 2014-05-16 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법

Publications (2)

Publication Number Publication Date
CN105093834A CN105093834A (zh) 2015-11-25
CN105093834B true CN105093834B (zh) 2020-03-13

Family

ID=54539114

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510136947.XA Active CN105093834B (zh) 2014-05-16 2015-03-26 硬掩模组成物和使用所述硬掩模组成物形成图案的方法

Country Status (4)

Country Link
US (1) US10018914B2 (zh)
KR (1) KR101754901B1 (zh)
CN (1) CN105093834B (zh)
TW (1) TWI567131B (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101852460B1 (ko) 2015-12-16 2018-04-26 삼성에스디아이 주식회사 중합체, 유기막 조성물, 및 패턴형성방법
KR102289697B1 (ko) * 2015-12-29 2021-08-13 삼성에스디아이 주식회사 유기막 조성물 및 패턴형성방법
WO2017115978A1 (ko) * 2015-12-29 2017-07-06 삼성에스디아이 주식회사 유기막 조성물 및 패턴형성방법
KR20170086972A (ko) * 2016-01-19 2017-07-27 삼성에스디아이 주식회사 유기막 조성물 및 패턴형성방법
KR102452810B1 (ko) * 2016-08-05 2022-10-07 동우 화인켐 주식회사 하드마스크용 조성물
KR102296794B1 (ko) * 2016-07-28 2021-08-31 삼성에스디아이 주식회사 유기막 조성물 및 패턴형성방법
KR101988997B1 (ko) * 2016-10-28 2019-06-13 삼성에스디아이 주식회사 유기막 조성물 및 패턴형성방법
KR102622129B1 (ko) * 2016-12-21 2024-01-09 동우 화인켐 주식회사 하드마스크용 조성물
KR102402747B1 (ko) * 2017-06-30 2022-05-26 동우 화인켐 주식회사 하드마스크용 조성물
KR102349966B1 (ko) * 2018-01-17 2022-01-10 동우 화인켐 주식회사 하드마스크용 조성물
KR102287507B1 (ko) * 2018-08-16 2021-08-09 삼성에스디아이 주식회사 하드마스크 조성물 및 패턴 형성 방법
KR102400603B1 (ko) * 2019-03-29 2022-05-19 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴 형성 방법
KR102431841B1 (ko) * 2019-10-14 2022-08-10 삼성에스디아이 주식회사 하드마스크 조성물, 하드마스크 층 및 패턴 형성 방법
KR102455023B1 (ko) * 2019-12-16 2022-10-13 삼성에스디아이 주식회사 화합물, 화합물의 합성 방법, 하드마스크 조성물 및 패턴 형성 방법
KR102350590B1 (ko) * 2021-05-17 2022-01-12 영창케미칼 주식회사 증발감량이 적은 스핀 온 카본 하드마스크 조성물 및 이를 이용한 패턴화 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7364835B2 (en) * 2003-10-15 2008-04-29 Brewer Science Inc. Developer-soluble materials and methods of using the same in via-first dual damascene applications
CN101470352A (zh) * 2007-12-24 2009-07-01 第一毛织株式会社 具有抗反射性能的硬掩模组合物及采用该组合物图案化材料的方法
CN101681096A (zh) * 2007-06-05 2010-03-24 第一毛织株式会社 具有抗反射特性的硬质掩模组合物及使用其在基材上将材料图案化的方法
CN102540729A (zh) * 2010-12-30 2012-07-04 第一毛织株式会社 硬掩模组合物和形成图案的方法、以及包括图案的半导体集成电路器件
TW201348884A (zh) * 2012-01-04 2013-12-01 Shinetsu Chemical Co 光阻下層膜材料、光阻下層膜材料用聚合物之製造方法、及使用該光阻下層膜材料之圖案形成方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3690982A (en) * 1965-06-08 1972-09-12 Rasmussen O B Filamentary or sheet-like material of polymeric substances and method and apparatus for producing said material
JPS54116097A (en) * 1978-03-01 1979-09-10 Mitsubishi Electric Corp Thermosetting resin composition
DE3323343A1 (de) * 1983-06-29 1985-01-10 Hoechst Ag, 6230 Frankfurt Lichtempfindliches gemisch und daraus hergestelltes kopiermaterial
DE3445276A1 (de) * 1984-12-12 1986-06-19 Hoechst Ag, 6230 Frankfurt Strahlungsempfindliches gemisch, daraus hergestelltes lichtempfindliches aufzeichnungsmaterial und verfahren zur herstellung einer flachdruckform
JP3806217B2 (ja) * 1997-03-24 2006-08-09 新日鐵化学株式会社 新規多価ヒドロキシ化合物、新規エポキシ樹脂、それらの製造方法、それらを用いたエポキシ樹脂組成物及びその硬化物
US6103443A (en) * 1997-11-21 2000-08-15 Clariant Finance Lmited Photoresist composition containing a novel polymer
JP4651774B2 (ja) * 2000-04-11 2011-03-16 新日鐵化学株式会社 芳香族オリゴマー、それを配合したフェノール樹脂組成物並びにエポキシ樹脂組成物およびその硬化物
US7303855B2 (en) * 2003-10-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Photoresist undercoat-forming material and patterning process
JP4252872B2 (ja) * 2003-10-06 2009-04-08 信越化学工業株式会社 レジスト下層膜材料およびパターン形成方法
KR100787352B1 (ko) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법
JP4575220B2 (ja) * 2005-04-14 2010-11-04 信越化学工業株式会社 レジスト下層膜材料およびパターン形成方法
US7829638B2 (en) * 2005-05-09 2010-11-09 Cheil Industries, Inc. Antireflective hardmask composition and methods for using same
KR100662542B1 (ko) 2005-06-17 2006-12-28 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용하여 기판 상에패턴화된 재료 형상을 형성시키는 방법
JP4720988B2 (ja) 2005-07-11 2011-07-13 日産化学工業株式会社 フルオレン構造を有する化合物を含むリソグラフィー用下層膜形成組成物
KR100671120B1 (ko) 2005-07-28 2007-01-17 제일모직주식회사 신규 플루오렌 중합체 및 이를 이용한 반사방지성을 갖는하드마스크 조성물
KR100665758B1 (ko) 2005-09-15 2007-01-09 제일모직주식회사 반사방지성을 갖는 하드마스크 조성물
KR100697979B1 (ko) 2005-09-26 2007-03-23 제일모직주식회사 반사방지 하드마스크 조성물
JP4910168B2 (ja) 2006-09-07 2012-04-04 Jsr株式会社 レジスト下層膜形成用組成物及びパターン形成方法
KR100866015B1 (ko) 2007-05-25 2008-10-30 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한 재료의 패턴화방법
CN101641390B (zh) * 2007-04-02 2013-05-01 第一毛织株式会社 具有抗反射性能的硬掩模组合物及用其图案化材料的方法
KR100874655B1 (ko) 2007-07-20 2008-12-17 금호석유화학 주식회사 스핀 온 카본 하드마스크용 축중합체 및 이의 제조방법과축중합체를 포함하는 스핀 온 카본 하드마스크 조성물 및이를 이용한 반도체 소자의 패턴 형성 방법
KR100938445B1 (ko) 2007-12-26 2010-01-25 제일모직주식회사 갭-필 조성물 및 이를 이용한 반도체 소자의 배선 형성방법
WO2010041626A1 (ja) 2008-10-10 2010-04-15 日産化学工業株式会社 フルオレンを含有する樹脂を含むリソグラフィー用レジスト下層膜形成組成物
JP5336306B2 (ja) 2008-10-20 2013-11-06 信越化学工業株式会社 レジスト下層膜形成方法、これを用いたパターン形成方法、及びレジスト下層膜材料
KR101212676B1 (ko) * 2008-12-31 2012-12-14 제일모직주식회사 고분자, 고분자 조성물, 이를 포함하는 레지스트 하층막 조성물 및 이를 이용하는 재료의 패턴화 방법
WO2010080147A1 (en) * 2009-01-07 2010-07-15 The University Of Tulsa Silicone free anti-foaming process and controlled foaming process for petroleum coking
US20120251943A1 (en) * 2011-03-30 2012-10-04 Rahman M Dalil Antireflective coating composition and process thereof
US8906590B2 (en) * 2011-03-30 2014-12-09 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
JP5744694B2 (ja) 2011-10-06 2015-07-08 富士フイルム株式会社 ポジ型感光性樹脂組成物、硬化物の製造方法、樹脂パターン製造方法、硬化物及び光学部材
CN103988127B (zh) * 2011-12-09 2019-04-19 旭化成株式会社 感光性树脂组合物、固化浮雕图案的制造方法、半导体装置及显示体装置
JP6020361B2 (ja) 2012-06-26 2016-11-02 信越化学工業株式会社 高分子化合物、ポジ型レジスト材料並びにこれを用いたパターン形成方法
US9152051B2 (en) * 2013-06-13 2015-10-06 Az Electronics Materials (Luxembourg) S.A.R.L. Antireflective coating composition and process thereof
KR102021484B1 (ko) * 2014-10-31 2019-09-16 삼성에스디아이 주식회사 막 구조물 제조 방법, 막 구조물, 및 패턴형성방법
US9908990B2 (en) * 2015-04-17 2018-03-06 Samsung Sdi Co., Ltd. Organic layer composition, organic layer, and method of forming patterns
KR101852460B1 (ko) * 2015-12-16 2018-04-26 삼성에스디아이 주식회사 중합체, 유기막 조성물, 및 패턴형성방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7364835B2 (en) * 2003-10-15 2008-04-29 Brewer Science Inc. Developer-soluble materials and methods of using the same in via-first dual damascene applications
CN101681096A (zh) * 2007-06-05 2010-03-24 第一毛织株式会社 具有抗反射特性的硬质掩模组合物及使用其在基材上将材料图案化的方法
CN101470352A (zh) * 2007-12-24 2009-07-01 第一毛织株式会社 具有抗反射性能的硬掩模组合物及采用该组合物图案化材料的方法
CN102540729A (zh) * 2010-12-30 2012-07-04 第一毛织株式会社 硬掩模组合物和形成图案的方法、以及包括图案的半导体集成电路器件
TW201348884A (zh) * 2012-01-04 2013-12-01 Shinetsu Chemical Co 光阻下層膜材料、光阻下層膜材料用聚合物之製造方法、及使用該光阻下層膜材料之圖案形成方法

Also Published As

Publication number Publication date
KR20150131867A (ko) 2015-11-25
US10018914B2 (en) 2018-07-10
CN105093834A (zh) 2015-11-25
KR101754901B1 (ko) 2017-07-06
TW201544540A (zh) 2015-12-01
TWI567131B (zh) 2017-01-21
US20150332931A1 (en) 2015-11-19

Similar Documents

Publication Publication Date Title
CN105093834B (zh) 硬掩模组成物和使用所述硬掩模组成物形成图案的方法
CN104749886B (zh) 硬掩模组合物、形成图案的方法以及集成电路装置
US9359276B2 (en) Monomer for hardmask composition, hardmask composition including monomer, and pattern forming method using hardmask composition
TWI553038B (zh) 聚合物、有機層組成物、有機層以及形成圖案的方法
JP6084986B2 (ja) ハードマスク組成物用モノマー、前記モノマーを含むハードマスク組成物および前記ハードマスク組成物を用いたパターン形成方法
CN108291013B (zh) 聚合物、有机层组成物及图案形成方法
CN104749880B (zh) 硬掩膜组合物和使用硬掩膜组合物形成图案的方法
TWI580702B (zh) 硬罩幕組成物及使用該硬罩幕組成物的圖案形成方法
KR101655394B1 (ko) 레지스트 하층막용 조성물, 이를 사용한 패턴 형성 방법 및 상기 패턴을 포함하는 반도체 집적회로 디바이스
US9568825B2 (en) Hardmask composition and method of forming patterns using the hardmask composition
US9158201B2 (en) Monomer for hardmask composition and hardmask composition including the monomer and method of forming patterns using the hardmask composition
CN106226997B (zh) 有机层组成物以及形成图案的方法
TWI535697B (zh) 硬罩幕組成物與其使用的單體及其圖案形成方法
JP6304726B2 (ja) レジスト下層膜用組成物、これを用いたパターン形成方法および前記パターンを含む半導体集積回路デバイス
TWI644999B (zh) 聚合物、有機層組成物與形成圖案之方法
CN109478015B (zh) 有机层组成物及图案形成方法
KR101976016B1 (ko) 중합체, 유기막 조성물 및 패턴형성방법
KR20140083844A (ko) 하드마스크 조성물용 모노머, 상기 모노머를 포함하는 하드마스크 조성물 및 상기 하드마스크 조성물을 사용하는 패턴형성방법
CN115808848A (zh) 硬掩模组成物、硬掩模层以及形成图案的方法
WO2018088658A1 (ko) 중합체, 유기막 조성물 및 패턴형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant