CN104364750B - 用于触摸数据和显示区域控制的分布式预处理的方法、装置和*** - Google Patents

用于触摸数据和显示区域控制的分布式预处理的方法、装置和*** Download PDF

Info

Publication number
CN104364750B
CN104364750B CN201380018727.6A CN201380018727A CN104364750B CN 104364750 B CN104364750 B CN 104364750B CN 201380018727 A CN201380018727 A CN 201380018727A CN 104364750 B CN104364750 B CN 104364750B
Authority
CN
China
Prior art keywords
touch
user
display
processor
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380018727.6A
Other languages
English (en)
Other versions
CN104364750A (zh
Inventor
J·伯
G·苏布拉马尼亚姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN104364750A publication Critical patent/CN104364750A/zh
Application granted granted Critical
Publication of CN104364750B publication Critical patent/CN104364750B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/048Interaction techniques based on graphical user interfaces [GUI]
    • G06F3/0487Interaction techniques based on graphical user interfaces [GUI] using specific features provided by the input device, e.g. functions controlled by the rotation of a mouse with dual sensing arrangements, or of the nature of the input device, e.g. tap gestures based on pressure sensed by a digitiser
    • G06F3/0488Interaction techniques based on graphical user interfaces [GUI] using specific features provided by the input device, e.g. functions controlled by the rotation of a mouse with dual sensing arrangements, or of the nature of the input device, e.g. tap gestures based on pressure sensed by a digitiser using a touch-screen or digitiser, e.g. input of commands through traced gestures
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/325Power saving in peripheral device
    • G06F1/3265Power saving in display device
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/016Input arrangements with force or tactile feedback as computer generated output to the user
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/03Arrangements for converting the position or the displacement of a member into a coded form
    • G06F3/041Digitisers, e.g. for touch screens or touch pads, characterised by the transducing means
    • G06F3/0412Digitisers structurally integrated in a display
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/03Arrangements for converting the position or the displacement of a member into a coded form
    • G06F3/041Digitisers, e.g. for touch screens or touch pads, characterised by the transducing means
    • G06F3/0416Control or interface arrangements specially adapted for digitisers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/03Arrangements for converting the position or the displacement of a member into a coded form
    • G06F3/041Digitisers, e.g. for touch screens or touch pads, characterised by the transducing means
    • G06F3/0416Control or interface arrangements specially adapted for digitisers
    • G06F3/04166Details of scanning methods, e.g. sampling time, grouping of sub areas or time sharing with display driving
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/03Arrangements for converting the position or the displacement of a member into a coded form
    • G06F3/041Digitisers, e.g. for touch screens or touch pads, characterised by the transducing means
    • G06F3/0416Control or interface arrangements specially adapted for digitisers
    • G06F3/0418Control or interface arrangements specially adapted for digitisers for error correction or compensation, e.g. based on parallax, calibration or alignment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/03Arrangements for converting the position or the displacement of a member into a coded form
    • G06F3/041Digitisers, e.g. for touch screens or touch pads, characterised by the transducing means
    • G06F3/0416Control or interface arrangements specially adapted for digitisers
    • G06F3/0418Control or interface arrangements specially adapted for digitisers for error correction or compensation, e.g. based on parallax, calibration or alignment
    • G06F3/04186Touch location disambiguation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/048Interaction techniques based on graphical user interfaces [GUI]
    • G06F3/0481Interaction techniques based on graphical user interfaces [GUI] based on specific properties of the displayed interaction object or a metaphor-based environment, e.g. interaction with desktop elements like windows or icons, or assisted by a cursor's changing behaviour or appearance
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/048Interaction techniques based on graphical user interfaces [GUI]
    • G06F3/0481Interaction techniques based on graphical user interfaces [GUI] based on specific properties of the displayed interaction object or a metaphor-based environment, e.g. interaction with desktop elements like windows or icons, or assisted by a cursor's changing behaviour or appearance
    • G06F3/0482Interaction with lists of selectable items, e.g. menus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/14Digital output to display device ; Cooperation and interconnection of the display device with other functional units
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G5/00Control arrangements or circuits for visual indicators common to cathode-ray tube indicators and other visual indicators
    • G09G5/003Details of a display terminal, the details relating to the control arrangement of the display terminal and to the interfaces thereto
    • G09G5/006Details of the interface to the display terminal
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G2320/00Control of display operating conditions
    • G09G2320/08Arrangements within a display terminal for setting, manually or automatically, display parameters of the display terminal

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Human Computer Interaction (AREA)
  • Computer Hardware Design (AREA)
  • User Interface Of Digital Computer (AREA)
  • Controls And Circuits For Display Device (AREA)
  • Position Input By Displaying (AREA)

Abstract

在一个实施例中,***包括外设控制器以与触摸控制器对接并且传送映射信息以识别显示器的主区域和副区域。该触摸控制器包括逻辑,当其针对副区域内的触摸时过滤触摸数据,且当其针对在主区域内的用户触摸时传送该触摸数据至外设控制器。在一个实施例中,显示逻辑可确定何时和如何经由准则组合来使用显示边框区域用于显示内容。决策向量(例如传感器、设备配置、内容类型、主显示活动)可启用/禁用用于呈现的显示区域,其中对边框的每侧进行独立控制。内容可基于主显示内容、环境、其他设备和用户偏好而被呈现。其他实施例被描述和主张。

Description

用于触摸数据和显示区域控制的分布式预处理的方法、装置 和***
本申请要求于2013年1月6日提交的美国临时申请第61/749,386号的权益。
技术领域
本公开涉及计算***,并且尤其涉及控制计算设备的显示内容和功率消耗。
背景技术
随着技术的进步,用户已经习惯了在更小的包装内具有更大量的特征和功能。这种趋势支配消费电子产品,用户在寻找用于计算和通信的最新的小、轻量级的形状因数,其中许多设备融合成具有计算和通信能力的单个***。然而当前***关于它们的能力、形状因数或两者具有缺陷或权衡。
许多当前***被配置为通过触摸机制接收用户输入。这些机制中的一些相对比较原始并且不能有效接收准确的触摸信息,从而需要大量的处理。典型地,用户触摸处理在***的处理器内执行,处理器例如中央处理器单元(CPU)。因此这种处理消耗原本可贡献给其他任务的资源。
与各种形状因数设备相关的另一个问题是显示配置通常是固定的,或如果可调整,该配置由用户来进行用户控制。当给定设备在各种不同形状因数模式和/或情境使用时,这种控制妨碍了灵活性。此外,需要用户针对各种不同情境重配置显示模式增加了复杂度和用户的挫折感。同样,当前翻盖和平板客户端形状因数中的边框区域不被用于显示器呈现。
附图说明
图1A说明了一种计算***的一个实施例。
图1B说明了一种计算***的另一个实施例。
图1C说明了一种计算***的另一个实施例。
图1D说明了一种计算***的另一个实施例。
图1E说明了一种计算***的另一个实施例。
图1F说明了一种计算***的另一个实施例。
图1G说明了一种计算***的另一个实施例。
图1H说明了一种计算***的另一个实施例。
图2说明了在一个底盘的基部内某些示例性组件的放置的顶视图的一个实施例。
图3说明了一种计算***的实施例的截面图的一个实施例。
图4说明了在计算***内存在的组件的方框图的一个实施例。
图5说明了在计算***内存在的组件的方框图的另一个实施例。
图6说明了在计算***内存在的组件的方框图的另一个实施例。
图7说明了在计算***内存在的组件的方框图的另一个实施例。
图8说明了在计算***内存在的组件的方框图的另一个实施例。
图9说明了在计算***内存在的组件的方框图的另一个实施例。
图10说明了在计算***内存在的组件的方框图的另一个实施例。
图11说明了在计算***内存在的组件的另一个实施例。
图12A说明了处理器的方框图的一个实施例。
图12B说明了处理器核的一个实施例。
图13说明了用于处理器的方框图的另一个实施例。
图14说明了用于处理器的方框图的另一个实施例。
图15A是根据本发明实施例的说明无效触摸区域处理的***的一部分的方框图。
图15B是根据本发明实施例的说明有效触摸区域处理的***的一部分的方框图。
图15C是根据本发明另一个实施例的触觉反馈控制的方框图。
图16是根据本发明实施例用于预处理触摸数据的方法的流程图。
图17是根据本发明实施例的显示器的说明,所述显示器包括活动显示区域和包括多个软按键的虚拟边框区域。
图18A-18D是根据各种实施例在显示器的不同区域中内容呈现的动态控制的图解说明。
图19是根据一个实施例在副显示区域和主显示区域之间无缝交互的图解说明。
具体实施方式
在以下说明书中,各种具体细节被阐述,例如具体类型处理器和***配置、具体硬件结构、具体架构和微架构细节、具体注册配置、具体UltrabookTM特性、具体指令类型、具体***组件、具体测量/高度、具体处理器管线级和操作等等的示例,以提供对本发明的透彻理解。然而,对本领域技术人员来说,并不需要这些具体细节以用于实施本发明。在其他实例中,公知的组件或方法,例如具体和可选处理器架构、用于描述算法的具体逻辑电路/代码、具体固件代码、具体互连操作、具体逻辑配置、具体制造技术和材料、具体的编译器实现、算法在代码上的具体表示、具体的功率下降和门控技术/逻辑以及计算机***的其他具体操作细节没有被具体描述以为了避免不必要地模糊本发明。
尽管以下实施例可参照具体集成电路中的能量节约和能量效率被描述,例如在计算平台或微处理器中,其他实施例可应用于其他类型的集成电路和逻辑设备。在此描述的实施例的类似技术和教导可被应用于其他类型的电路或半导体设备,其也可受益于更好的能量效率和能量节约。例如,所公开的实施例不限于桌面计算机***或UltrabookTM并且还可被用于其他设备,例如手持设备、平板、其他薄笔记本、片上***(SOC)设备以及嵌入应用。某些手持设备的示例包括手机、网际协议设备、数字摄像机、个人数字助手(PDA)以及手持PC。嵌入式应用典型地包括微控制器、数字信号处理器(DSP)、片上***、网络计算机(NetPC)、机顶盒、网络集线器、广域网(WAN)交换机或可执行在此教导的功能和操作的任意其他***。此外,在此描述的装置、方法和***不限于物理计算设备,并且还可涉及用于能量节约和效率的软件优化。正如将在以下描述中变得更明显的,在此描述的方法、装置和***的实施例(不论是参照硬件、固件、软件或其组合)对“绿色技术”与性能考虑的未来平衡是重要的。
此外,尽管以下实施例有时参照处理器描述,其他实施例可应用于其他类型的集成电路和逻辑设备。本发明实施例的类似技术和教导可应用于受益于更高管线吞吐量和改进的性能的其他类型的电路或半导体设备。本发明实施例的教导可应用于执行数据操作的任意处理器或机器。然而,本发明不限于执行512位、256位、128位、64位、32位或16位数据操作的处理器或机器并且可被应用于在其中执行数据操作或管理的任何处理器和机器。此外,以下描述提供了示例,并且附图为了说明的目的示出了各种示例。然而,这些示例不应当理解为限制的意义,这是因为它们仅意在提供本发明的实施例的示例,而不是提供本发明实施例的所有可能实施方式的穷举列表。
并且尽管以下一些示例图示了执行单元和逻辑电路的上下文中的指令处理和分配,本发明的其他实施例可通过在计算机可读、有形介质上存储的数据或指令来完成,其中当由计算机执行时使机器执行与本发明至少一个实施例一致的功能。在一个实施例中,与本发明实施例相关联的功能以机器可执行指令实施。所述指令可被用于使利用指令编程的通用目的或专用目的处理器执行本发明的方法。本发明的实施例可被提供作为一种计算机程序产品或软件,其可包括机器或计算机可读介质,具有在其上存储的指令,所述指令可被用于编程计算机(或其他电子设备)以根据本发明的实施例执行一个或多个操作。可选地,本发明的方法实施例可由具体硬件组件执行,硬件组件包含用于执行步骤的固定功能逻辑,或通过编程的计算机组件和固定功能硬件组件的任意组合来执行。
在此描述的方法和装置主要以下参照超薄和/或超便携笔记本/膝上型计算机讨论,例如UltrabookTM。然而正如以上所述,在此描述的装置和方法并不如此限制,正如它们可结合任何集成电路设备、电子设备或计算***被实施。
现在参照图1A,说明了计算设备/***的实施例的图示。可提供***10的各种商业实现。作为一个示例,***10对应于UltrabookTM HP Folio、 其他超轻和薄计算设备,或任何已知和/或可用超轻、超薄和/或超便携计算平台。作为第一个示例,超便携计算设备包括能够执行计算任务(例如,用户输入/输出、执行指令/代码、或网络连接等)的任意薄和/或轻设备,例如薄和/或轻笔记本、膝上笔记本、电子阅读器、平板和其混合(例如,可转换为平板、电子阅读器等的笔记本等)。然而,超便携计算设备或***不限于以上提供的示例。实际上,随着计算世界变得更紧凑和高效,当前被认为是薄、轻且便携式的可能之后被认为是大的或重的。因此,在一个实施例中,薄和轻被看作是从用于小型计算设备的当前市场或已知未来市场来看。可选地,薄和轻可被看作是本公开的任意解释正在进行的时刻。
例如,在一个实施例中,UltrabookTM包括一个或多个以下特性:(1)对于小于14英寸的显示器而言比18mm更薄,并且对于14英寸以及更大显示器而言比21mm更薄,以及对于混合或可转换版本而言比23mm更薄;(2)在少于特定时间内苏醒,例如从休眠状态后的1-7秒的任意范围,例如高级配置和电源接口(ACPI)规范(参见,高级配置和电源接口规范,版本3.0b,2006年10月10日)功率状态S4-S8;屏幕显示器在激活通电按键的3秒内开启;具有永远新鲜数据的至少7天备用电池寿命;如由通用工业工具测量的最小5-24小时内任意范围的电池寿命;一个或多个触摸屏显示器;包括具体硬件、软件和/或固件(例如,管理引擎、防盗技术、身份保护技术、智能连接技术等);包括具体类型的存储器或硬盘驱动器(例如,固态驱动器和/或具有80-1000MB/s范围内任意数量的最小传输速率和16GB至10TB范围内任意最小大小的驱动器)。
在一个实施例中,一个或多个所说明特性是UltrabookTM定义的一部分。注意这些特性是纯碎说明性的基于当前的市场角度。并且正如上述UltrabookTM的实施例可类似适于未来市场条件,其潜在地重定义UltrabookTM的定义(即,因此可以基于变化的计算生态***而减少(或根据度量增加)特性和范围)。
参照图1A,在一个实施例中,***10包括基部20,其可经由轻量级的底盘被配置。作为一个示例,基部本质上包括***的所有电子电路;然而,这不是必需的,因为其他组件可被放置在***10的不同部分(例如,在超薄、超轻计算设备的显示器40内、盖部30或其他已知部分)。对于用户接口,键盘25和触摸板28被提供在基部20。然而,可以利用任何已知的设备以用于提供输入至计算机***或计算设备。例如,在此描述的传感器可结合(或代替)键盘、鼠标等使用以从用户接收输入并执行计算任务。此外,用于接收***设备的各端口,例如通用串行总线(USB)端口(包括USB 3.0端口)、ThunderboltTM端口、视频端口(例如,其他之中的微高清晰度媒体接口(HDMI)或迷你视频图形适配器(VGA)、存储器卡端口例如SD卡端口、以及音频插孔、)可以存在,一般指示在底盘一侧位置22处(在其他实施例中用户可访问端口可存在于相对的底盘侧或***10的其他表面上)。此外,可提供电源端口以经由AC适配器(图1A中未示出)接收DC电源。注意这些端口纯粹是示意性的。随着超便携计算设备的尺寸变得更小,可提供更少的外部端口。而是,通信可通过类似于蓝牙、近场通信、Wi-Fi、传感器等的无线通信技术而执行。此外,电源可通过可替换的连接(或甚至在某些实施例中无线地)而接收。
正如进一步看到的,盖部30可耦合至基部20并且可包括一个或多个显示器40,其在不同实施例中可以是液晶显示器(LCD)或有机发光二极管(OLED)。然而,任何显示器技术,例如电子墨水屏幕,可被用作显示器40。此外,在显示器40的区域中,在一个实施例中,触摸功能被提供从而用户能够经由与显示器40共同定位的触摸板提供用户输入。在另一个实施例中,其未被示出,可提供多个显示器(例如,传统显示器和电子墨水屏幕,不同显示器类型,或相同类型的多个显示器)。盖部30可进一步包括各种捕获设备,包括摄像机设备50,其能够捕获视频和/或静态信息。此外,可以存在一个或多个麦克风,例如双麦克风55a和55b,以经由用户的语音来接收用户输入。尽管图1A中在这个位置示出,麦克风,其可以是一个或多个全向麦克风,在其他实施例中可以在其他位置。
正如将在以下进一步描述,在一个实施例中,***10被配置为具有特定的组件和电路以使经由平台的硬件和软件的组合实现高端用户体验。例如,使用可用的硬件和软件,感知计算可使用户能够经由语音、手势、触摸和以其他方式与***交互。这里,不同传感器潜在地被包括以检测、使用或提供感测信息(例如,视觉、听觉、嗅觉、动觉、味觉、三维感知、温度、压力、气体/液体/固态化学/分子构造传感器、湿度或任何其他已知的感测)。以下将更详细地讨论传感器和这种信息的处理。
此外,这种用户体验可以在非常轻和薄的形状因数***中发送,该***提供高性能和低功率能力,同时还实现高级特征例如即时接通和即时连接(也被称为永远开机、永不断线),从而该***能够被置于低功率状态(例如,睡眠模式、待机或其他公知的低功率模式)并且被直接唤醒以及可即时供用户使用(例如,退出睡眠模式的少于1、2、5或7秒之内)。此外在一个实施例中,当这样唤醒时,***被连接至网络例如局部网、Wi-Fi网络、因特网等;提供与在智能电话和平板计算机中可用的类似性能,其缺少如在图1A中全功能***的处理和用户体验。当然,尽管在图1A的图示中在这个高层次示出,了解到额外的组件可存在于该***内,例如扬声器、额外的显示器、捕获设备、环境传感器以及等等,其细节在下面进一步讨论。
现在参照图1B,所示出的是根据本发明的实施例的代表性计算***的图示。如在图1B所示,***10对应于UltrabookTM 另外的超轻和薄计算设备,或任何已知和/或可用的超轻、超薄和/或超便携计算平台。这个***可以是相对小尺寸和重量。例如,在一个实施例中,该***由超薄和超轻一体式铝(或碳)构造物组成并且可以重量少于3英镑、具有宽度尺寸约12.8英寸、深度8.9英寸和Z高度少于约0.7英寸,以及具有锥形设计从而在前缘、高度可少于约0.1英寸。在一个实施例中,***10包括基于的处理器,且可包括2/4/8/12/16/32/64GB的***内存,除此之外还有集成图形处理器。
如在图1B中所见,显示器可基本上占据盖部102的所有尺寸。正如所见,这个盖部102的边框包括集成摄像机108。作为一个示例,摄像机108包括集成视频聊天摄像机。正如在图1B在视图100A中进一步所见,基部104可包括键盘105(一般地)和触摸板106。在某些实现中,键盘105是背光并利用环境传感器,例如环境光传感器,以检测光照条件中的改变并相应调整显示器和键盘亮度。
如在图1B的侧视图100B中所见,基部具有从相对薄的前端边缘至较宽的后端边缘的锥形轮廓。正如所见,外部端口可适配于在该示出的侧部内。在该实施例中示出,端口112(一般地)包括ThunderboltTM端口、USB 2.0端口和读卡器端口(其可被用于接收,例如SD卡)。在一个实施例中,一个或多个端口是IO端口,其提供每通道10千兆比特每秒(Gbps)全双工带宽。这个端口在单个电缆上可同时支持数据(例如,经由PCIeTM)和显示连接(例如,显示端口连接)。周边产品典型地使用电或光缆连接至这个端口。使用这样一个端口,多个高性能PCIeTM和显示端口设备通过单个物理连接器附于平台。使用这样的互连,用户潜在地通过电缆将高性能特征添加至平台,菊花链的多个设备包括一个或多个显示器、存储设备、视频捕获设备以及等等。在其他侧部,附加的端口,包括另一个USB端口、耳机端口、麦克风和电源适配器也可被提供。
视图100C示出该平台的一个打开的视图。尽管在图1B中以这种高层次的图示而示出,可了解的是额外的特征可存在于其他实施例中。在某些实施例中,触摸板106包括多点触控板以接收各种用户输入(例如,不同数量的手指、移动类型、手势或等等),其转换至不同用户命令。在一个实施例中,触摸板被实现作为至少半透明窗口。然而,随着感觉用户输入的进步,触摸板106(以及甚至键盘105)可被代替或省略。
接下来转至图1C,描绘了根据本发明实施例的另一个超薄形状因数设备的其他图示。如在***120的各种视图中示出,在一个表示中对应于UltrabookTM 另外的超轻和薄计算设备,或任何已知和/或可用超轻、超薄、和/或超便携计算平台。正如所见,***120可包括盖部和基部,其中盖部包括显示器,并且基部包括键盘和触摸板。注意与图1A-1B相比较,其具有锥形基部设计,***120具有更均匀的基部高度。
在一个实施例中,***120包括一个或多个下列特征:对角线显示器尺寸在10和14英寸之间、背光希克莱键盘、高度在0.4和1英寸之间、长度在10和14尺寸之间、宽度在5和10英寸之间、USB端口、耳机/麦克风插孔、HDMI端口、AC电源端口、扩展槽、额定电池寿命超过7小时、在64GB-512GB之间的固态硬盘驱动器、集成图形芯片、SODIMM内存插槽且重量在1-4英镑之间。
现在参照图1D,示出的是根据本发明实施例的示例性可转换形状因数超薄***的图示。如在***130的各种视图中示出,在一个表示中对应于UltrabookTM 另外的超轻和薄计算设备,或任何已知和/或可用的超轻、超薄、和/或超便携计算平台。系列5和其他更新系列、是***的一些具体说明性示例,充当可转换形状因数以提供膝上型计算机和平板计算环境两者。它们中的某些包括可折叠设计,而其他的包括翻转、折叠、滑动或拆分设计以完成超薄笔记本至平板之间的转换。作为一个示例,该***是相对超薄尺寸和重量,例如具有厚度远低于一英寸,例如约0.67英寸且重量约3英镑。屏幕尺寸可在10-14英寸尺寸之间,并且一般可扩展***的整个宽度和长度。
为了进一步描述可转换特性,折叠设计被描绘在130A-130C之间转换。如在第一个视图130A中所见,该***包括盖部132和基部134,其中盖部包括显示器,且基部包括键盘和触摸板。除了这种传统视图和操作模式,该***还可以立式模式操作,在视图130B中示出,经由铰链组件旋转,为了更易于显示。可选地(未示出),具有触摸板和键盘的表面134可面向下,而显示器132被折叠朝向用户用于提供另外的站立模式。如所示,摄像机138存在于盖部132的后部。然而,当***130B处于站立模式时,摄像机可被提供在表面132上以提供视频和类摄像机的特征。还如在视图130C中所见,各种指示器LED 139可存在于基部134的前侧。各种按键、开关和端口(例如上面所描述的那些)可被提供在基部134的宽侧上。
在一个实施例中,***130A-130C包括一个或多个下列特征:12-14英寸显示器、电容式多触摸显示器、至少1600×900的分辨率、少于17mm的厚度、低于4英镑的重量、翻转铰链、***内存范围在4-16GB内、128-512GB的固态驱动器、高清(HD)网络摄像机、USB端口、无线LAN连接模块、蓝牙连接模块以及至少6个小时的额定电池寿命。
图1E示出了根据本发明实施例的另一个超薄***的图示。如在图1E中示出,***140,在一个表示中对应于UltrabookTM 另外的超轻和薄计算设备,或任何已知和/或可用超轻、超薄、和/或超便携计算平台。如在各种图示中所见,***140可具有非常薄的轮廓以及可在基部144的前端具有3mm的Z高度、在基部144的后部延伸至9mm的Z高度。以这种方式,提供了一种时尚的设计。
在一个实施例中,***140包括一个或多个下列特征:少于10mm的高度,4、6、8、或12GB的***内存,10-12英寸之间的屏幕尺寸,少于2秒的恢复,迷你VGA端口,USB端口,微HDMI端口,128、256或512GB固态硬盘驱动器,电池额定为超过5小时躁作,数字麦克风,发光键盘以及HD摄像机。
现在参照图1F,示出的是根据本发明实施例的桌面计算机150的图示。图1F的视图是后视图,其示出该***的各种端口和其他特征。正如所见,在电源部152,提供了电源线适配器,除此之外还有电源开关和风扇板。在连接部154,各种适配器提供外部连接至各种外设,包括显示器、打印机、网络,外设设备包括音频、视频等等也经由一个或多个并行端口、串行端口、USB端口、因特网/RJ45端口等等被提供。此外,还提供用于扩展卡的多个插槽。
现在参照图1G,示出的是根据本发明实施例的平板计算机的图示。在一个实施例中,平板计算机160可以是例如原始的iPadTM、iPad2TM平板、平板和 Barnes和 平板以及基于AndroidTM的平板、基于的平板或其他已知平板设备。如在前面的图示162中所见,可能存在一个或多个输入/界面按键。此外,扬声器165和摄像机169,在一个实施例中可以是iSightTM摄像机(例如,5百万像素的摄像机)、HD摄像机或其他已知摄像机可以存在。注意如在前视图162中所见,显示器可以是视网膜显示器以提供高分辨率或任何其他已知平板显示器。图1G还示出后视图164和侧视图166。注意后表面164还具有摄像机。这里,摄像机169和167可被提供用于获取图片、视频或实时视频反馈。
现在参照图1H,示出的是根据本发明实施例的智能电话170的图示。在图1H的图示中,智能电话170可以是(例如iPhone 3GS、iPhone 4、iPhone 4S、iPhone5、BlackberryTM智能电话(例如,)、以及HTC OneTM基于AndroidTM、基于或其他已知智能电话。如在前视图的图示172中所见,智能电话170包括扬声器173、前摄像机模块174以及一个或多个输入按键171。类似地,后摄像机176可被提供,如在后视图175中所示。各种控件等可以存在,尽管这种控件按键(例如,电源、音量、静音)在侧视图178中未示出。
现在参照图2,示出的是根据本发明实施例在底盘的基部内特定组件的示例性放置的顶视图。如在图2中所示,在一个实施例中,基部20包括***中的大部分电子器件,除了那些与显示器面板和任何触摸屏相关联的的电子器件。当然,在图2中示出的视图纯粹是说明性示例;因而,了解到组件的不同布置,包括不同组件、不同尺寸和组件的位置以及其他的放置问题可能在其他实施例中发生。
总的来说,图2中的视图是底盘内的组件的视图,而不是一般将被适配或放置在图2示出的组件之上的键盘和触摸板(键盘位于图2视图的上部之上,并且小键盘一般在图2的较低和中央部分)。
主板60包括各种集成电路(IC)和/或电路。这里,主板60电子地、无线地和/或通信耦合处理器,例如中央处理单元(CPU),***内存和其他IC。在一个实施例中,附加的IC和其他电路在子板70上实现,其类似地可电气地或通信耦合至主板60。子板70,在一个场景中,包括至各种端口和其他外设连接器的接口,包括端口81、82和83,其潜在地对应于示例性端口:USB、以太网、Firewire、Thunderbolt或任何其他类型的用户可访问连接。还被描绘的是耦合至子板70的插卡68(例如,经由下一代的形状因数(NGFF)连接器)。根据NGFF设计的这种连接器可提供单个连接类型,其被用于具有潜在地不同键控结构的不同尺寸的插卡以确保仅仅适当的插卡被***至这种连接器。在所示实施例中,这种插卡68包括无线连接电路,例如用于3G/4G/LTE电路。
类似地,在某些实施例中,主板60提供至特定其他用户可访问端口的互连;即在图示中的端口84和85。此外,若干个插卡65和66也可被耦合至主板60。在示出的实施例中,插卡65包括固态驱动器(SSD),其经由连接器被耦合至主板60,例如NGFF连接器59。插卡66包括任何已知的计算***组件,例如无线局域网(WLAN)、音频设备、视频设备、网络控制器等。
注意图2,如以上所述,描绘了具有主板60的配置,其中主板60将多个IC、电路和/或设备耦合在一起。然而,随着半导体制造的发展,在单个管芯和/或封装上放置更多晶体管的能力也增加了。结果,在某些实施例中,一些这些设备(以及潜在地甚至是它们所有)可被集成在单个IC、管芯、芯片、封装、等上。例如,内存控制器中枢之前是通过驻留在主板60上的前端总线耦合至中央处理器的分离的集成电路控制器。然而,随着制造的进步,内存控制器中枢现在已经开始被集成在CPU封装和/或管芯上。此外,其他***甚至已变得更为集成,从而提供如以上描述的在单个集成电路上的多数“***”电路以形成片上***(SOC)。结果,在此描述的实施例可被类似地应用于包括SOC的超便携计算设备。
转至讨论在图2中描述的实施例,为了提供冷却,某些实现方式可包括一个或多个风扇。在示出的实施例中,提供了两个这种风扇47。这里,风扇47经由散热片88a和88b执行从CPU和其他电子器件的散热。作为一个例子,热量被送至底盘内的通风口或直接至底座。然而其他实施例可提供无风扇***,其中冷却是通过减少CPU和其他组件的功率消耗、其他已知散热元件、其他已知通风元件、或任何其他将热量从一个空间/元件送至另一个的已知或可用机制而实现。
为了提供高级音频特征,在一个实施例中,提供了多个扬声器78a和78b。在一个场景中,扬声器78a、78b经由网格或其他通风模式从底盘的顶部辐射以提供增强的声音体验。为了使基部20和盖部(为了简易在图2中未示出)之间的互连,提供了一对铰链95a和95b。除了提供铰链能力,在一个实施例中这些铰链进一步包括通道以提供盖部和基部20内部电路之间的连接。例如,无线天线、触摸屏电路、显示面板电路以及诸如此类全部可通过经由这些铰链适配的连接器进行通信。此外,铰链,在一个混合环境下,能够帮助或支持形状因数之间的转换。作为一个示例,铰链使***能够从笔记本/笔记本转换至平板形式。正如显而易见的,铰链不是用于将显示器耦合至底盘的唯一机制。结果是,任何已知的物理耦合可被利用以将显示器连接至计算***10的底盘或电子器件,不论计算***10是否在形状因数间可转换。
正如进一步示出,存在电池45。在一个实施例中,电池45包括锂离子或其他已知/可用高容量电池。尽管以图2中组件的这种特定实施方式和电路的放置示出,本发明的范围不限于在这方面。也就是说,在给定***设计中,可权衡以更高效地消耗在底盘中可用的X-Y-Z空间。
现在参照图3,示出的是根据发明实施例的计算机***的截面图。如在图3中示出,***10对应于基于翻盖的超薄膝上型计算机,其具有低轮廓和轻便的设计。图3中的视图是通过***的实质中点的截面图并且旨在示出底盘内组件的垂直堆叠或布局的高层次视图。
总的来说,底盘被划分成盖部30和基部20。这里,盖部30包括显示器、相关电路和组件,而基部20包括主要处理元件,连同电池和键盘。然而,注意在翻盖设计的其他实现方式中,实质上除了键盘的所有组件都被适配在盖部内以实现可分离、可移除或可转换盖部,其还可作为基于平板的形状因数的计算机。
在一个实施例中,盖部30包括显示面板40。在一个实施例中,显示面板40包括LCD或其他类型的薄显示器,例如OLED。作为一个示例,显示面板40被耦合至显示电路板33。此外,在一个实施例中,触摸屏34被适配(或被放置)在显示面板40上、下、或与显示面板40集成。在一个实施例中,触摸屏34经由沿着衬底配置的电容感应触摸阵列被实现。作为说明性示例,该衬底包括玻璃、塑料或其他已知或其他可用透明衬底。继而,触摸屏34可操作地耦合至触摸面板电路板35。注意任何已知触摸显示器技术可被用作显示器或与显示器结合使用。
正如进一步描述,盖部30还包括摄像机模块50。在一个实施例中,摄像机模块50包括能够捕获图像数据的高清摄像机;图像数据包括静态和动态视频类型两者。在某些实现方式中,摄像机模块50被耦合至电路板38。在其他实施例中,注意盖部30的所有这些组件,可被配置、放置或驻留在包括覆盖组件的底盘内。该覆盖组件可以利用适于提供底盘功能的任何已知或可用材料来制造,例如塑料或金属材料。作为特定说明性示例,这样的覆盖组件由镁铝(Mg-Al)复合物制造或包括镁铝复合物。
仍然参照图3,***10的处理电路的大部分被描绘为存在于基部20内。然而,正如以上所讨论在提供可拆卸的盖部的实施例中,这些组件可替代地被在盖部实现。
从基部20的顶部向下的视图,包括的是键盘25,其可以是任意类型以实现薄轮廓设备并且可包括姑娘型键或其他薄形状因数键。此外,触摸板28被提供作为另一个用户接口。
大部分组件被配置在电路板60上,电路板60可以是一个主板例如Type IV主板,包括各种集成电路被以各种方式耦合/适配至电路板,包括焊接、表面安装以及诸如此类。随着具体参照图3,CPU 55,例如超低电压多核处理器,可被适配至电路板60,例如经由插座或其他类型的连接。正如所见,为了提供热解决方案,在一个示例中,散热片56被放置相对靠近CPU 55并继而至热管57,其将热量从处理器和/或其他组件传送,例如至各种冷却位置例如通风口、风扇或诸如此类。还被示出配置至电路板60的是电感器58和NGFF边缘连接器59。尽管为了易于描述未示出,可了解的是在某些实施例中插卡被耦合至连接器59以提供额外的组件。作为示例,除了其他类型的外设设备,这些组件尤其可包括无线解决方案和固态设备(SSD)。
正如在图3中进一步所见,电池45被包括在基部20内或与基部20相关联。这里,电池45位于紧密连接至冷却解决方案的一部分,例如风扇47。尽管在图3的示例中用这种特定实施方式示出,这些组件的放置和包含不受限制,如在其他实施例中额外和不同组件中可以存在。例如,通过SSD替代提供大容量存储器,硬盘驱动器可在基部40内实现。为此,迷你串行高级技术接口规范(SATA)连接器被进一步耦合至电路板60以使该硬盘驱动器连接至处理器和被适配在电路板60上的其他组件。此外,这些组件可被放置在不同位置以更高效地使用(或减少)Z轴空间。
在一个实施例中,UltrabookTM是指基于屏幕40尺寸的最大高度(即,屏幕40的对角线尺寸)。作为一个示例,对于13.3英寸及更小的显示器40,UltrabookTM包括用于基部20和盖部30的组合的18mm的最大高度。作为第二示例,对于14英寸及更大的显示器40,UltrabookTM包括用于基部20和盖部30的组合的21mm的最大高度。此外,仍然作为另一个示例,对于可转换或混合显示器(即,在笔记本/膝上型和平板之间转换),UltrabookTM包括用于基部20和盖部30的组合的23mm的最大高度。然而,随着所有市场细分(桌面、笔记本、UltrabookTM、平板以及手机)的尺寸超级共同收缩,用于UltrabookTM的高度范围也可减少尺寸。因此,在一个实施例中,用于UltrabookTM的最大高度可基于市场条件在平板和笔记本之间变化。
现在参照图4,根据本发明实施例的计算机***中存在的组件的方框图。正如在图4中所示,***400可包括组件的任意组合。这些组件可被实现作为IC、其部分、离散的电子设备或其他模块、逻辑、硬件、软件、固件或其组合,被适配在计算机***中,或否则作为组件被结合在计算机***的底盘内。还需注意的是图4的方框图是为了示出该计算机***的许多组件的高层次视图。然而,要了解的是一些示出的组件可以被省略,额外的组件可以存在,以及示出组件的不同布置可在其他实现方式中发生。
正如在图4中所见,在一个实施例中,处理器410包括微处理器、多核处理器、多线程处理器、超低电压处理器、嵌入式处理器或其他已知处理元件。在所说明的实现方式中,处理器410充当主处理单元和中枢用于与***400的许多各种组件进行通信。作为一个示例,处理器400被实现作为片上***(SoC)。作为一具体说明性示例,处理器410可以是基于的处理器,例如i3、i5、i7或其他的这种可由Intel公司、SantaClara CA提供的处理器。然而,其他低功率处理器例如可由Sunnyvale、CA的高级微设备公司(Advanced Micro Devices,AMD)提供、由ARM控股公司设计的基于ARM或其顾客或由Sunnyvale CA的MIPS技术公司基于MIPS设计或他们的被许可人或采用者可替代地在其他实施例中存在,例如Apple A5处理器、Qualcomm Snapdragon处理器或TI OMAP处理器。与在一个实现中处理器410的架构和操作相关的特定细节将在下面进一步讨论。
在一个实施例中,处理器410与***内存415进行通信。作为一个说明性示例,***内存415经由多个内存设备或模块实现以提供给定量的***内存。在一个实施例中,该内存可根据联合电子设备工程委员会(Joint Electron Devices Engineering Council,JEDEC)基于低功率双速率(LPDDR)的设计例如根据JEDEC JESD 209-2E(2009年4月发布)的当前LPDDR2标准,或被称作LPDDR3或LPDDR4的下一代LPDDR标准(其将提供对LPDDR 2的扩展以增加带宽)进行操作。作为示例,2/4/8/12/16千兆字节(GB)的***内存可存在并且可经由一个或多个内存互连而耦合至处理器410。在各种实现方式中,各个内存设备可以是不同封装类型例如单芯片封装(SDP)、双芯片封装(DDP)或四芯片封装(QDP)。在某些实施例中,这些设备被直接焊接至主板以提供低轮廓解决方案,而在其他实施例中这些设备被配置作为一个或多个内存模块,其继而由给定连接器耦合至主板。可能有其他内存实现方式例如其他类型内存模块,如不同品种的双内联内存模块(DIMM)包括但不限于microDIMM、MiniDIMM。在特定说明性实施例中,内存的大小在2GB和16GB之间,并且可被配置为DDR3LM封装或LPDDR2或LPDDR3内存,其经由球栅阵列(BGA)被焊接至主板。
为了提供信息例如数据、应用、一个或多个操作***诸如此类的永久存储,大容量存储器420也可耦合至处理器410。在各种实施例中,为了促进更薄和更轻***设计,也为了改进***的响应性,这个大容量存储器可经由SSD实现。然而在其他实施例中,该大容量存储器可主要使用硬盘驱动器(HDD)实现,其具有较小量的SSD存储器以充当SSD缓存从而实现在断电事件期间非易失性存储上下文状态和其他这种信息,因此快速加电可在***活动重新启动时发生。在图4中还示出,闪存设备422可耦合至处理器410,例如经由串行外设接口(SPI)。这个闪存设备可提供***软件的非易失性存储,包括基本输入/输出软件(BIOS)和***的其他固件。
在各种实施例中,***的大容量存储器由SSD单独或作为磁盘、光盘或其他具有SSD缓存的设备实现。在某些实施例中,大容量存储器被实现为SSD或实现为HDD连同恢复(RST)缓存模块。在各种实现方式中,HDD提供320GB-4太字节(TB)之间及以上的存储量,而RST缓存用具有24GB-256GB容量的SSD实现。注意这种SSD缓存可被配置作为单级缓存(SLC)或多级缓存(MLC)选项以提供一个适当级别的响应性。在仅有SSD的选项中,该模块可被容纳在各种位置例如在mSATA或NGFF插槽中。作为一个示例,SSD具有从120GB-1TB的容量范围。
各种输入/输出(IO)设备可存在于***400内。在图4的实施例中特别示出的是显示器424,其可以是被配置在底盘的盖部内的高清LCD或LED面板。这个显示面板还可提供触摸屏425,例如在显示面板上外部适配从而经由与这个触摸屏的用户交互,用户输入可被提供给***以实现期望的操作,例如与信息的显示、信息的访问诸如此类相关。在一个实施例中,显示器424可经由显示器互连被耦合至处理器410,该互连可被实现为高性能图像互连。触摸屏425可经由另一个互连耦合至处理器410,其在一个实施例中可以是I2C互连。正如在图4中进一步示出,除了触摸屏425,通过触摸的用户输入还可经由触摸板430发生,其可被配置在底盘内并且也可与触摸屏425一样耦合至相同的I2C互连。
显示面板可以多种模式操作。在第一模式中,显示面板可以透明状态布置,其中显示面板对可视光是透明的。在各种实施例中,除了周围边框,显示面板的大部分可以是显示器。当***以笔记本模式操作且显示面板以透明状态操作时,用户可观看在显示面板上展示的信息,同时也能够观看在显示器后面的对象。此外,在显示面板上显示的信息可由位于显示器后面的用户观看。或显示而板的操作状态可以是不透明的状态,其中可视光不能穿过显示面板传输。
在平板模式中该***是折叠关闭的从而显示面板的背面显示表面静止在一个位置,这样当该基座面板的底面静止在表面或由用户持有时它向外面朝用户。在平板操作模式中,背面显示表面执行显示器和用户界面的角色,因为这个表面可具有触摸屏功能且可执行传统触摸屏设备例如平板设备的其它已知功能。为此,显示面板可包括透明度调整层,其被放置在触摸屏层和前显示表面之间。在某些实施例中该透明度调整层可以是电致变色层(EC)、LCD层或EC和LCD层的组合。
在各种实施例中,显示器可以是不同的尺寸,例如11.6”或13.3”的屏幕,并且可具有16∶9的纵横比,并且至少300尼特亮度。显示器还可以是全高清(HD)分辨率(至少1920×1080p),与嵌入式显示端口(eDP)兼容,并且是具有面板自刷新的低功率面板。
至于触摸屏能力,该***可提供显示器多触摸面板,其是多点触摸电容且是至少5指能力。并且在某些实施例中,显示器可以是10指能力。在一个实施例中,该触摸屏被容纳在防损坏和防刮玻璃和涂层内(例如,Gorilla GlassTM或Gorilla Glass 2TM)用于低摩擦以减少“手指烧伤”和避免“手指跳跃”。为了提供增强的触摸体验和响应性,在某些实施例中,触摸面板具有多点触摸功能,例如在双指缩放期间每个静态视图少于2帧(30HZ)和具有200ms(手指指针时的滞后)的每帧少于1cm的单点触摸功能。在某些实现方式中,该显示器支持具有最小屏幕边框的边缘-边缘玻璃,其也充满面板表面,并当使用多点触摸时限制IO干扰。
为了感知计算和其他目的,各种传感器可存在于***内并可以不同方式耦合至处理器410。一定惯性和环境传感器可通过传感器中枢440,例如经由I2C互连而耦合至处理器410。在图4示出的实施例中,这些传感器可包括加速计441、环境光传感器(ALS)442、罗盘443和陀螺仪444。其他环境传感器可包括一个或多个热传感器446,其中在某些实施例中经由***管理总线(SMBus)总线耦合至处理器410。
使用在平台中存在的各种惯性和环境传感器,可实现许多不同的用例。这些用例使得高级计算操作包括感知计算并且也考虑与功率管理/电池寿命/安全和***响应性相关的增强。
例如与功率管理/电池寿命问题相关,至少部分基于来自环境光传感器的信息,平台位置内的环境光条件被确定并且显示器的强度被相应地控制。因此,在操作显示器时所消耗的功率在特定光照条件下被减少。
关于安全操作,基于从传感器获取的情境信息例如位置信息,可确定用户是否被允许访问特定安全文档。例如,用户可被允许在工作地点或家庭位置访问这种文档。然而,当平台在公共位置出现时用户被阻止访问这种文档。在一个实施例中,这种确定是基于位置信息,例如经由GPS传感器或地标的摄像机识别而确定。其他安全操作可包括在彼此邻近范围内提供设备的配对,例如如在此描述的便携式平台和用户桌面计算机、移动电话或诸如此类。在某些实施方式中,当这些设备这样配对时,特定共享经由近场通信实现。然而,当所述设备超过特定范围时,这种共享可被禁用。此外,当如在此描述的那样配对平台和智能电话时,可以配置警报以当在公共位置时设备移动超过彼此间的预定距离时被触发。相反,当这些配对设备位于安全位置时,例如工作地点或家庭位置时,所述设备可超出这个预定限制而不触发这种警报。
使用传感器信息也可以提高响应性。例如,即使当平台处于低功率状态时,传感器仍然可被启用以相对低的频率运行。相应地,平台位置中的任何改变,例如如由惯性传感器、GPS传感器或诸如此类所确定的那样被确定。如果尚未登记这种改变,则发生至先前无线中枢例如Wi-FiTM接入点或类似无线使能器的更快连接,这是因为在这种情况下不需要去扫描可用的无线网络资源。因此,当从低功率状态唤醒时实现更高级别的反应性。
要了解的是使用经由如在此描述的平台内的集成传感器获得的传感器信息可实现许多其他用例,并且以上示例仅仅是说明的目的。使用如在此描述的***,感知计算***可允许增加可选的输入方式,包括手势识别,并使***能够感测用户操作和意图。
在某些实施例中一个或多个红外或其他热感测元件,或任何其他用于感测用户出现或运动的元件可以出现。这种感测元件可包括多个不同元件一起工作、按序工作或两者一起。例如,感测元件包括提供初始感测的元件,例如光或声投射,之后跟着例如由超声波飞行时间摄像机或图案化光摄像机针对手势检测的感测。
而且在某些实施例中,***包括光生成器以生成照明线。在某些实施例中,这种线提供与虚拟边界相关的视觉线索,即空间中的假想或虚拟位置,其中用户的动作传至或突破虚拟边界或平面被解释为与计算***互动的意图。在某些实施例中,照明线可随着计算***与用户相关过渡至不同状态而改变颜色。照明线可被用于为用户在空间中的虚拟边界提供视觉线索,且可由***使用以确定与用户相关的计算机状态的转换,包括确定用户何时希望与计算机互动。
在某些实施例中,计算机感测用户位置并用户的手通过虚拟边界的运动解释为指示用户意图与计算机互动的手势。在某些实施例中,当用户通过虚拟线或平面时,光生成器生成的光可改变,从而提供视觉反馈至用户:该用户已经进入用于提供手势以提供输入至计算机的区域。
显示器屏幕可提供与用户相关的计算***的状态转换的视觉指示。在某些实施例中,第一屏幕被提供处于第一状态,其中用户的出现被***感测,例如通过使用一个或多个感测元件。
在某些实施方式中,***用于感测用户身份,例如通过面部识别。这里,转换至第二屏幕可在第二状态中提供,其中计算***已经识别该用户的身份,其中在这个第二状态,该屏幕提供视觉反馈至用户,该用户已经转换至新的状态。可在第三状态发生至第三屏幕的转换,其中用户已经确定该用户的识别。
在某些实施例中,计算***可使用转换机制以确定用于用户的虚拟边界的位置,其中虚拟边界的位置可随着用户和情境而变化。计算***可生成光,例如照明线,以指示用于与***互动的虚拟边界。在某些实施例中,计算***可处于等待状态,并且光可以第一颜色生成。该计算***可检测用户是否已到达穿过虚拟边界,例如通过使用感测元件感测用户的出现和移动。
在某些实施例中,如果用户已被检测为已经跨过虚拟边界(例如用户的手比虚拟边界线更靠近计算***),该计算***可转换至用于从用户接收手势输入的状态,其中用以指示转换的机制可包括指示虚拟边界的光变至第二颜色。
在某些实施例中,计算***接着可确定是否检测到手势移动。如果检测到手势移动,则计算***可继续手势识别过程,该过程可包括使用来自手势数据库的数据,该手势数据库可驻留在计算设备的内存中或可以其他方式由计算设备访问。
如果用户的手势被识别,则计算***可响应于该输入而执行功能,并且如果用户在虚拟边界内则返回以接收附加的手势。在某些实施例中,如果手势没有被识别,该计算***可转换至错误状态,其中指示该错误状态的机制可包括指示虚拟边界的光变至第三颜色,其中如果用户在虚拟边界内用于与计算***互动,则***返回以接收附加的手势。
如以上提及,在其他实施例中该***可被配置为可转换平板***,其可被用于至少两种不同模式,平板模式和笔记本模式。该可转换***可具有两个面板,即显示面板和基面板,从而在平板模式该两个面板被一个叠堆在另一个顶部放置。在平板模式中,显示面板面朝外并且可提供如在传统平板中发现的触摸屏功能。在笔记本模式中,该两个面板可被布置在打开的翻盖结构中。
在各种实施例中,加速计可以是3轴加速计,具有至少50Hz的数据速率。陀螺仪也可被包括,其可以是3轴陀螺仪。此外,电子罗盘磁力计可以存在。同样,一个或多个接近传感器可被提供(例如,用于盖子打开以感测何时人正(或没有)接近***并调整功率/性能以扩展电池寿命)。对于某些OS,包括加速计、陀螺仪和罗盘的传感器融合能力可提供增强的特征。此外,经由具有实时时钟(RTC)的传感器中枢,从传感器唤醒的机制可被实现以当***的剩余部分处于低功率状态时接收传感器输入。
在某些实施例中,内部盖/显示器打开开关或传感器以指示何时盖被关闭/打开,并且可被用于将***置于连通待机或自动从连通待机状态唤醒。其他***传感器可包括ACPI传感器用于内部处理器、内存和皮肤温度监视以实现基于感测的参数改变处理器和***操作状态。
在一个实施例中,该OS可以是实现连通待机的OS(在此也被称作Win8 CS)。Windows 8连通待机或具有类似状态的另外的OS可经由如在此描述的平台提供超低超闲功率以使应用能够以非常低的功率消耗保持连通,例如至基于云的位置。该平台可支持三种功率状态,即屏幕打开(正常);连通待机(作为默认“关闭”状态);以及关机(功率消耗为0瓦特)。因此在连通待机状态,即使屏幕是关闭的,该平台在逻辑上是打开(在最小的功率水平)。在这样的平台中,功率管理可使得对应用透明并维持恒定的连接性,部分归功于卸载技术以使最低供电组件能够执行操作。
在图4中还可见,各种外设设备可经由低引脚数(LPC)互连而耦合至处理器410。在示出的实施例中,各种组件可通过嵌入式控制器435耦合。这种组件可包括键盘436(例如经由PS2接口耦合)、风扇437和热传感器439。在某些实施例中,触摸板430也可经由PS2接口耦合至EC 435。此外,安全处理器例如根据2003年10月2日记载的可信计算组(TrustedComputing Group,TCG)TPM规范版本1.2的可信平台模块(TPM)438,也可经由这个LPC互连而耦合至处理器410。然而,本发明的范围不限于这方面并且安全处理和安全信息的存储可在另一个受保护的位置,例如安全协处理器中的静态随机访问存储器(SRAM),或作为加密的数据块仅当由安全区域(SE)处理器模式保护时被加密。
在特定实现方式中,外设端口可包括高清媒体接口(HDMI)连接器(其可是不同形状因数例如全尺寸、迷你或微型);一个或多个USB端口,例如根据通用串行总线修订版3.0规范(2008年11月)的全尺寸外部端口,其中当***处于连通待机状态并被***AC墙壁电源时至少一个被供电以为USB设备(例如智能电话)充电。此外,可提供一个或多个ThunderboltTM端口。其他端口可包括外部可访问读卡器例如全尺寸SD-XC读卡器和/或用于WWAN的SIM读卡器(例如,8引脚读卡器)。对于音频,具有立体声音和麦克风能力的3.5mm插孔(例如,组合功能)可以存在,支持插孔检测(例如,仅支持使用盖中的麦克风的耳机或在电缆中带麦克风的耳机)。在某些实施例中,这个插孔可在立体声耳机和立体声麦克风输入之间任务重分配。同样,电源插孔可被提供用于耦合至AC砖。
***400可以各种方式与外部设备进行通信,包括无线地。在图4示出的实施例中,存在各种无线模块,其每个可对应于被配置用于特定无线通信协议的无线电设备。一种在短距离内进行无线通信的方式例如近场可以是经由近场通信(NFC)单元445,其在一个实施例中经由SMBus与处理器410进行通信。注意,经由这个NFC单元445,彼此靠近的设备可进行通信。例如,用户可使***400能够通过适配两个设备紧密结合在一起并实现传输诸如识别信息、付款信息之类的信息、诸如图像数据或诸如此类之类的数据来与另一个(例如)便携式设备例如用户的智能电话进行通信。无线功率传输也可使用NFC***而被执行。
使用在此描述的NFC单元,用户可通过利用一个或多个这种设备的线圈之间的耦合来边对边地碰撞设备并将其并排放置以用于近场耦合功能(例如近场通信和无线功率传输(WPT))。更具体地,实施例提供具有特殊成形和放置的、铁氧材料的设备以提供线圈的更佳耦合。每个线圈具有与它相关联的电感,其可结合***的电阻、电容和其他特征而被选择以实现用于***的公共谐振频率。
如在图4中进一步所见,附加的无线单元可包括其他短距离无线引擎,包括WLAN单元450和蓝牙单元452。使用WLAN单元450,根据给定电气和电子工程师协会(IEEE)802.11标准的Wi-FiTM通信可被实现,而经由蓝牙单元452,经由蓝牙协议的短距离通信可发生。这些单元可经由例如USB链路或通用异步接收器发射器(UART)链路与处理器410进行通信。或这些单元可经由根据总线和接口标准(Peripheral Component Interconnect ExpressTM,PCIeTM)协议的互连耦合至处理器410,该协议例如根据PCI ExpressTM规范基本规范版本3.0(2007年1月17日发布)或另外的这种协议例如串行数据输入/输出(SDIO)标准。当然,(可被配置在一个或多个***卡上的)这些外设设备间的实际物理连接可以是通过适配至主板的NGFF连接器。
此外,无线广域通信,例如根据蜂窝或其他无线广域协议,可经由WWAN单元456发生,其继而可耦合至用户身份模块(SIM)457。此外,为了实现位置信息的接收和使用,GPS模块455也可存在。注意在图4示出的实施例中,WWAN单元456和集成捕获设备例如摄像机模块454可经由给定的USB协议例如USB2.0或3.0链路或UART或I2C协议进行通信。再次这些单元的实际物理连接可经由NGFF***卡适配至NGFF连接器而被配置在主板上。
在特定实施例中,无线功能可被模块化地提供,例如利用支持Windows 8CS的WiFiTM802.11ac解决方案(例如,***卡其向后兼容IEEE 802.11abgn)。该卡可被配置在内部插槽中(例如,经由NGFF适配器)。附加模块可提供蓝牙能力(例如,具有向后兼容性的蓝牙4.0)以及无线显示功能。此外NFC支持可经由单独设备或多功能设备提供,并且作为示例可被定位在底盘的右前部以便轻松访问。另一个附加模块可以是WWAN设备,其可提供对3G/4G/LTE和GPS的支持。该模块可在内部(例如,NGFF)插槽中实现。集成天线支持可被提供用于WiFiTM、蓝牙、WWAN、NFC和GPS,实现从WiFiTM至WWAN无线电、根据无线千兆比特规范(2010年7月)的无线千兆比特(WiGig)的无缝传输,并且反之亦然。
如上所述,集成摄像机可被结合在盖中。作为一个示例,这个摄像机可是高清摄像机,例如具有至少2.0百万像素(MP)并扩展至6.0MP以及更高的分辨率。
为了提供音频输入和输出,音频处理器可经由数字信号处理器(DSP)460实现,其可经由高清音频(HDA)链路而耦合至处理器410。类似地,DSP460可与集成编码器/解码器(CODEC)和放大器462进行通信,其继而可耦合至可在底盘内实现的输出扬声器463。类似地,放大器和CODEC 462可耦合以从麦克风465接收音频输入,该麦克风在一个实施例中可经由双阵列麦克风(例如数字麦克风阵列)实现以提供高质量音频输入以实现***内的各种操作的语音激活控制。还注意的是音频输出可被从放大器/CODEC 462提供至耳机插孔464。尽管在图4的实施例中以这些特定组件示出,要了解本发明的范围不限于这个方面。
在特定实施例中,数字音频编解码器和放大器能够驱动立体声耳机插孔、立体声麦克风插孔、内部麦克风阵列和立体声扬声器。在不同实现方式中,编解码器可被集成至音频DSP或经由HD音频路径耦合至外设控制器中枢(PCH)。在某些实施方式中,除了集成的立体声扬声器,一个或多个低音扬声器可被提供,并且扬声器解决方案可支持DTS音频。
在某些实施例中,处理器410可由外部电压调节器(VR)和被集成在处理器芯片内的多个内部电压调节器供电,被称为完全集成电压调节器(FIVR)。在处理器中使用多个FIVR实现将组件分组至单独的电源平面,这样功率被调整并由FIVR仅提供至在该组中的那些组件。在功率管理过程中,当处理器被置于特定低功率状态时一个FIVR的给定电源平面可能会被断电或掉电,而另一个FIVR的另一个电源平面保持活动,或完全供电。
在一个实施例中,维持电源平面可在某些深度睡眠状态至通电用于若干个I/O信号的I/O引脚的过程中使用,例如处理器和PCH之间的接口、带外部VR的接口和带EC435的接口。这个维持电源平面也为片上电压调节器供电,该电压调节器支持机载SRAM或其他缓存内存,其中在睡眠状态中存储处理器上下文。这个维持电源平面也被用于对处理器的唤醒逻辑加电,该唤醒逻辑监视和处理各种唤醒源信号。
在功率管理过程中,尽管当处理器进入特定深度睡眠状态时其他电源平面被断电或关闭,该维持电源平面保持电源接通以支持以上提到的组件。然而,当这些组件不被需要时这可导致不必要的功率消耗或耗散。为此,实施例可提供连通待机休眠状态以使用专用的电源平面来维持处理器上下文。在一个实施例中,该连通待机休眠状态使用PCH的资源来促进处理器唤醒,PCH其自身可存在于具有处理器的封装中。在一个实施例中,该连通待机休眠状态在PCH中促进维持处理器架构功能直到处理器唤醒,这实现关闭之前在深度睡眠状态过程中被保持通电的所有不必要的处理器组件,包括关闭所有的时钟。在一个实施例中,PCH包含时间戳计数器(TSC)和连通待机逻辑用于在连通待机状态过程中控制该***。用于该保持电源平面的集成电压调节器同样也可驻留在PCH上。
在一个实施例中,在连通待机状态过程中,集成电压调节器可用作专用电源平面,其保持电源接通以支持专用缓存内存,当处理器进入深度睡眠状态和连通待机状态时在该专用缓存内存中存储该处理器的上下文例如临界状态变显。这个临界状态可包括与架构、微架构、调试状态和/或与处理器相关联的类似状态变量相关联的状态变量。
来自EC 435的唤醒源信号可在连通待机状态过程中被送至PCH而不是处理器,从而PCH可管理唤醒处理而不是处理器。此外,TSC被保持在PCH中以促进保持处理器架构功能。尽管在图4的实施例中以这些特定组件示出,本发明的范围不限于这个方面。
在处理器中的功率控制可导致增加的功率节省。例如,功率可在各核之间被动态地分配,单独核可改变频率/电压,并且多个深度低功率状态可被提供以实现非常低的功率消耗。此外,当它们没有被使用时,多个核或独立核部分的动态控制能够通过对组件断电来提供减少的功率消耗。
某些实现方式可提供具体的功率管理IC(PMIC)以控制平台功率。使用这种解决方案,当处于给定待机状态时,例如当处于Win8连通待机状态时,***在一个延长的时期(例如,16个小时)内可看到非常低的(例如,少于5%)电池降低。在Win8空闲状态时可实现电池寿命超过例如9个小时(例如,以150尼特)。至于视频回放,可实现长电池寿命,例如全HD视频回放可发生最小的6个小时。在一个实现方式中平台对使用SSD的Win8 CS具有例如35瓦特小时(Whr)的能量容量以及对使用带RST缓存配置的HDD的Win8 CS具有(例如)40-44Whr。
特定实现方式可提供支持15W额定CPU热设计功率(TDP);具有可配置CPU TDP多达约25W TDP的设计要点。由于如上描述的热特征,该平台可包括最少的通风口。此外,该平台是枕头友好地(pillow-friendly)(其中没有热空气吹向用户)。不同的最高温度点可依赖于底盘材料而实现。在塑料底盘(至少具有塑料盖或基部)的一个实现方式中,最高操作温度可以是52摄氏度(C)。并且对于一个金属底盘的实现方式中,最高操作温度可以是46℃。
在不同实现方式中,安全模块例如TPM可被集成至处理器中或可以是一个离散设备例如TPM 2.0设备。利用集成的安全模块,也被称作为平台信任技术(Platform TrustTechnology,PTT),可以使BIOS/固件能够暴露用于特定安全特征的特定硬件特征,包括安全指令、安全启动、防盗技术、身份保护技术、可信执行技术(TXT)和可管理性引擎技术连同安全用户接口例如安全键盘和显示器。
尽管本发明已经参照有限数目的实施例进行描述,本领域技术人员将意识到对其的各种修改和改变。目的是所附权利要求覆盖所有落在本发明的实际精神和范围内的这些修改和改变。
现在参照图5,示出的是根据本发明的实施例在第二计算机***中存在的组件的方框图。如在图5中示出,***500可包括组件的任意组合。这些组件可被实现为被适配在计算机***中的IC、及其部分、离散电子设备或其他模块、逻辑、硬件、软件、固件或其组合,或实现为以其他方式被结合在计算机***的底盘内的组件。还注意的是图5的方框图是为了示出计算机***的许多组件的高层次视图。然而,需要理解在其他实现方式中某些示出的组件可以省略,附加组件可以存在,且可发生示出的组件的不同布置。
如在图5中所见,在一个实施例中,处理器510包括微处理器、多核处理器、多线程处理器、超低电压处理器、嵌入式处理器或其他已知处理元件。在所示实现方式中,处理器510充当***500的主要处理单元。作为具体说明性示例,处理器510可以是以上描述的可从Intel公司、AMD、基于ARM设计、基于MIPS设计、Qualcomm、TI或其他这种制造商获得的任意处理器。与在一个实现方式中的处理器510的架构和操作相关的特定细节以下将进一步讨论。
在一个实施例中,处理器510与***内存551和552进行通信。作为一个说明性示例,多个内存通信路径可经由内存互连550a和550b提供。作为一个这样的示例,每个内存设备(以及互连)可以是不同的速度,以及可由基于LPDDR2或下一代LPDDR标准的给定功率消耗目标来可控地供电。作为示例,2/4/8千兆字节(GB)***内存可经由这些内存设备提供,这些内存设备可以是SDP、DDP或QDP形状因数,并且可以给定方式连接至主板。
如在图5中所见,处理器510可经由包括直接媒体接口(DMI)512和灵活显示接口(FDI)511的多个接口耦合至芯片组515。尽管在图5的实施例中以这些至芯片组515的特定互连示出,至芯片组的连接在不同实施例中可以不同方式实现。在某些实施例中,芯片组515也可被称作外设控制器中枢(PCH),因为其提供至***的各种外设的接口。
为了提供信息例如数据、应用、一个或多个操作***以及诸如此类的永久存储,SSD 531可经由串行高级技术附件(SATA)互连530耦合至芯片组515。尽管示出的是经由SSD实现,在其他实施例中,大容量存储器可主要使用具有充当SSD缓存的较小量SSD存储器的HDD实现以在断电事件过程中实现上下文状态和其他这种信息的非易失性存储,从而在***活动的重新启动时可发生快速加电。
如在图5中示出,闪存设备541可耦合至处理器510,例如经由串行外设接口(SPI)555。这种闪存设备可提供***软件的非易失性存储,包括BIOS连同***的其他固件。
各种IO设备可存在于***500内。特别地在图5的实施例中示出的是显示器521,其可以是被配置在底盘盖部内的高清LCD。这个显示面板可经由低电压差分信号(LVDS)互连520耦合至芯片组515。尽管以这种特定类型的互连和LCD类型显示器示出,可以理解的是可提供其他类型的显示器(例如LED)或其他类型显示器和不同的互连布置。
正如进一步所见,附加视频接口可以经由显示端口互连525,其耦合至在一个实施例中可以是一种迷你显示端口适配器(miniDP)526的显示适配器526。继而,该适配器可经由例如HDMI设备527提供互连至外部视频输出设备,该HDMI设备其在一个实施例中可以是各种类型例如等离子设备、LED设备、LCD设备等的平板电视显示器。
仍然参照图5,触摸屏574可在显示面板上被外部适配,使得经由用户与这个触摸屏的交互,用户输入可被提供给***以实现关于显示信息、访问信息等的期望操作。触摸屏574可以经由USB2互连570C被耦合至处理器510。
仍如在图5中所见,各种外设设备可以通过低引脚数(LPC)互连545耦合至芯片组515。在所示的实施例中,各种组件可经由嵌入式控制器551被耦合。这种组件可包括键盘552(例如,通过PS2接口耦合)。在某些实施例中,触摸板553可以通过USB2互连550耦合至芯片组515,并且也通过PS2接口耦合至EC 551。此外,安全处理器例如TPM 546也可以通过这个LPC互连545耦合至芯片组515(以及嵌入式控制器551)。
如在图5中进一步所见,串行输入/输出(SIO)模块547也可以耦合至LPC互连545以提供串行数据的通信。其他可以给定实现方式耦合至芯片组515的互连可包括经由GPIO互连的一个或多个通用IO设备和可经由***管理(SM)总线耦合的一个或多个***管理设备。
***500可以各种方式与外部设备进行通信,包括无线。在图5所示的实施例中,无线模块561可包括一个或多个被配置用于特定无线传输协议的无线电设备,被展示。在所示的实施例中,模块561的这些无线电设备可包括短距离无线引擎,其包括WLAN单元和蓝牙单元552。使用该WLAN单元,依照给定IEEE 802.11标准的Wi-FiTM通信可被实现,而通过该蓝牙单元,通过蓝牙协议的短距离通信可以发生。这些单元可以通过PCIeTM协议或USB2协议沿着互连560与芯片组515进行通信。当然,在这些外设设备之间的实际物理连接(其可以配置在一个或多个***卡上)可通过NGFF连接器的方式被适配至主板。
为提供音频输入和输出,CODEC(编解码器)536可通过HD音频互连535耦合至芯片组515。如所见,CODEC 536可以在输入和输出方向都为音频信息提供编码和解码。为了输出在CODEC 536中解码的音频数据,其可通过扬声器537被提供作为输出。继而,传入的音频信息可以通过麦克风阵列538接收,麦克风阵列538继而也可以耦合至CODEC 536。
为提供视频数据的输入,如上所述其可以是静态或视频图像,立体声摄像机模块581可通过LVDS互连580耦合至立体声卡566,其继而可通过PCIeTM互连565耦合至芯片组515。当然,互连摄像机模块的不同方式可在不同实施例中发生。摄像机模块581可包括多个捕获设备以提供立体声效果并且能够通过***盖部内配置的一个或多个摄像机实现并且其在某些实施例中可以是2.0-8.0MP摄像机。
为提供增强手势和认证操作,可以提供视线跟踪模块571。如所见,这种模块可以通过互连570a耦合至芯片组515,其在一个实施例中可以是USB2以互连。视线跟踪模块571可被用于跟踪用户的眼睛移动,其能被用于手势输入目的因此提供给用户一种动态显示。来自这个模块的信息也可被用于功率管理目的以当用户不与***互动时减少功率消耗。
附加的手势信息可通过手传感器573被接收,手传感器可耦合至微控制器572,微控制器572继而通过在一个实施例中可以是USB2互连的互连570b耦合至芯片组515。在所示的实施例中,微控制器572可以是一种基于8051的微控制器以通过手传感器573接收手信息。这种手势信息可类似地由***使用以响应于用户手势而执行各种操作。
如进一步所示,一个或多个通知LED 591可以通过一个或多个GPIO互连590耦合至微控制器572。
为了提供至各种外设设备的互连,多个外部USB端口576可以被提供,其能够使得用户能够通过物理互连将各种外部设备例如存储器设备、多媒体设备、回放设备、捕获设备等耦合以通过互连575(其在一个实施例中可是USB3互连)耦合至芯片组515。尽管在图5的实施例中以这种高层次示出,要理解在其他的实施例中***可包括许多其他替换和选项。
图6是依照本发明一个实施例由包括执行单元以执行指令的与处理器形成的示例计算机***的方框图。依照本发明,***600包括组件(例如处理器602)以采用包括逻辑以执行用于处理数据的算法的执行单元,例如在此所述的实施例中。***600是基于由SantaClara、California的Intel集团研发的 和/或Intel 微处理器的处理***的代表,尽管其他***(包括具有其他微处理器、工程工作站、机顶盒和类似物的PC)也可以被使用。在一个实施例中,示例***600可以执行由Redmond、Washington的公司研发的一种操作***版本,尽管其他操作***(例如UNIX和Linux)、嵌入式软件、和/或图形用户界面也可以被使用。因此,本发明的实施例不限于任何具体硬件电路和软件的组合。
实施例不限制于计算机***。本发明可选的实施例可被用在其他设备例如手持式设备和嵌入式应用。手持式设备的某些示例包括手机、网际协议设备、数字相机、个人数字助手(PDA)、以及手持PC。嵌入式应用可包括微控制器、数字信号处理器(DSP)、片上***、网络计算机(NetPC)、机顶盒、网络集线器、广域网(WAN)交换机、或其他可执行依照至少一个实施例的一个或多个指令的任何其他***。
图6是依照本发明的一个实施例利用包括一个或多个执行单元608以执行用于执行至少一条指令的算法的处理器602形成的计算机***600的方框图。一个实施例可以在单处理器桌面或服务器***的上下文中描述,但是可选的实施例可被包括在多处理器***中。***600是一种“中枢”***架构的示例。该计算机***600包括处理数据信号的处理器602。处理器602可以是复杂指令集计算机(CISC)微处理器、精简指令集计算(RISC)微处理器、超长指令字(VLIW)微处理器、执行指令集组合的处理器、或任何其他处理器设备,例如数字信号处理器,例如。处理器602被耦合至可在处理器602和***600中的其他组件之间传输数据信号的处理器总线610。***600的元件执行业内人员熟知的它们的常规功能。
在一个实施例中,处理器602包括1级(L1)内部缓存内存604。依赖该架构,处理器602可具有单内部缓存或多级内部缓存。可选地,在另一个实施例中,该缓存内存可驻留在处理器602外部。其他实施例依赖于特定的实施方式和需求也可包括内部和外部缓存两者的组合。寄存器文件606可在包括整数寄存器、浮点寄存器、状态寄存器和指令指针寄存器的各种寄存器中存储不同类型的数据。
执行单元608(包括逻辑以执行整数型和浮点操作)也驻留在处理器602中。处理器602还包括为特定宏指令存储微代码的微代码(ucode)ROM。对于一个实施例,执行单元608包括逻辑以处理封装指令集609。通过将该封装指令集609包括在通用处理器602的指令集中,连同用于执行指令的相关联电路,由许多多媒体应用使用的操作可使用通用处理器602中的封装数据而被执行。因此,通过使用处理器的数据总线的全带宽用于在封装数据上执行操作,许多多媒体应用可被加速并且被更高效地执行。这能够消除跨越处理器数据总线转移较小数据单元以同时对一个数据单元执行一个或多个操作的需求。
执行单元608的可选实施例也可被用在微控制器、嵌入式处理器、图形设备、DSP、和其他类型的逻辑电路中。***600包括内存620。内存620可以是动态随机访问存储器(DRAM)设备、静态随机访问存储器(SRAM)设备、闪存设备、或其他存储器设备。内存620可存储能由处理器602执行的指令和/或由数据信号代表的数据。
***逻辑芯片616被耦合至处理器总线610和内存620。在所述实施例中***逻辑芯片616是内存控制器中枢(MCH)。处理器602可通过处理器总线610通信至MCH 616。MCH616提供高带宽内存路径618至内存620用于指令和数据存储并且用于图形命令、数据和纹理的存储。MCH 616将在处理器602、内存620、和***600的其他组件之间引导数据信号并且在处理器总线610、内存620、和***I/O之间桥接数据信号。在某些实施例中,***逻辑芯片616可提供图形端口用于耦合至图形控制器612。MCH 616通过内存接口618耦合至内存620。图形卡612通过加速图形端口(AGP)互连614耦合至MCH 616。
***600使用专用中枢接口总线622以耦合MCH 616至I/O控制器中枢(ICH)630。ICH 630经由本地I/O总线提供至某些I/O设备的直接连接。本地I/O总线是一种用于连接外设至内存620、芯片组和处理器602的高速I/O总线。某些示例是音频控制器636、固件中枢(闪速BIOS)628、无线收发器626、数据存储器624、包含用户输入和键盘接口642的传统I/O控制器610、串行扩展端口638例如通用串行总线(USB)和网络控制器634。数据存储器设备624可包括硬盘驱动器、软盘驱动器、CD-ROM设备、闪存设备、或其他大容量存储器设备。
对于***的另一个实施例,依照一个实施例的指令可与片上***一起使用。片上***的一个实施例包括处理器和内存。用于一种这种***的内存是闪存。该闪存可位于与处理器和其他***组件相同的芯片上。此外,其他逻辑块例如内存控制器或图形控制器也可位于片上***。
图7是依照本发明实施例的具有集成内存控制器和图形的单核处理器和多核处理器700的方框图。图7中实线框说明了处理器700具有单个核702A、***代理710、一组一个或多个总线控制器单元716,而可选额外的虚线框说明了带多个核702A-N的可选处理器700、***代理单元710中的一组一个或多个集成内存控制器单元714、以及集成图形逻辑708。
内存层级结构包括核内的一级或多级缓存单元704A-704N、一组一个或多个共享缓存单元706、以及耦合至该组集成内存控制器单元714的外部存储器(未示出)。该组共享缓存单元706可包括一个或多个中级缓存,例如2级(L2)、3级(L3)、4级(L4)、或其他级的缓存、终级缓存(LLC)、和/或其组合。尽管在一个实施例中基于环的互连单元712互连该集成图形逻辑708、该组共享缓存单元706以及***代理单元710,可选的实施例可使用任何数目的用于互连这些单元的已知技术。
在某些实施例中,一个或多个核702A-N能够多线程。
***代理710包括协调和操作核702A-N的那些组件。***代理单元710可包括例如功率控制单元(PCU)和显示单元。该PCU可以是或包括用于调节核702A-N和集成图形逻辑708的功率状态所需的逻辑和组件。显示单元用于驱动一个或多个外部连接的显示器。
核702A-N就架构和/或指令集而言可以是同构或异构的。例如,一些核702A-N可以是有序的而其他的是无序的。作为另一个示例,两个或更多个核702A-N能够执行相同的指令集,而其他的能够仅仅执行该指令集的子集或不同的指令集。
该处理器可以是通用处理器,例如, 处理器,其可从Intel公司、Santa Clara、Calif得到。可选地,处理器可以由其他公司提供,例如ARM Holdings有限公司、MIPS、等等。处理器可以是专用处理器,例如,像网络或通信处理器、压缩引擎、图形处理器、协处理器、嵌入式处理器、或类似。处理器可以在一个或多个芯片上实现。处理器700可以是一个或多个衬底的一部分和/或可以在一个或多个衬底上使用任意数目处理技术实现,例如,像BiCMOS、CMOS、或NMOS。
现在参照图8,示出的是依照本发明一个实施例的第二***800的方框图。如在图8中所示,多处理器***800是一种点对点互连***,并且包括通过点对点互连850耦合的第一处理器870和第二处理器880。处理器870和880中的每个可以是某版本的处理器700。
尽管用仅仅两个处理器870、880示出,要理解本发明的范围不限于此。在其他实施例中,一个或多个附加处理器可以存在于给定处理器中。
处理器870和880被示出分别包括集成内存控制器单元872和882。处理器870还包括点对点(P-P)接口876和878作为其总线控制器单元的部分;类似地,第二处理器880包括P-P接口886和888。处理器870、880可通过点对点(P-P)接口850使用P-P接口电路878、888交换信息。如在图8中所示,IMC872和882耦合处理器至相应的内存,即内存832和内存834,其可以是被本地附于相应处理器的主内存部分。
处理器870、880可以各自通过单独的P-P接口852、854使用点对点接口电路876、894、886、898与芯片组890交换信息。芯片组890也可以通过接口电路892沿着高性能图形互连839与高性能图形电路838交换信息。
共享缓存(未示出)可以被包括在处理器内或两个处理器之外,然而通过P-P互连与处理器连接,如果处理器被置于低功率模式则一个或两个处理器的本地缓存信息可以被存储在该共享缓存中。
芯片组890可以通过接口896耦合至第一总线816。在一个实施例中,第一总线816可以是外设组件互连(PCI)总线,或者例如PCI快速总线或其他第三代I/O互连总线的总线,不过本发明的范围未如此限制。
如在图8中所示,各种I/O设备814可以被耦合至第一总线816,连同将第一总线816耦合至第二总线820的总线桥818。在一个实施例中,第二总线820可以是一种低引脚数(LPC)总线。各种设备可以被耦合至第二总线820,在一个实施例中包括,例如,键盘和/或鼠标822、通信设备827和存储单元828例如可以包括指令/代码以及数据830的磁盘驱动器或其他大容量存储设备。此外,音频I/O 824可被耦合至第二总线820。注意其他架构也是可能的。例如,替代图8的点对点架构,***可实现多点分支总线或其他这种架构。
现在参照图9,示出的是依照本发明一个实施例的SoC 900的方框图。同样,虚线框是在更高级SoC上的可选特征。在图9中,互连单元(一个或多个)902被耦合至:包括一组一个或多个核902A-N和共享缓存单元(一个或多个)906的应用处理器910;***代理单元910;总线控制器单元(一个或多个)916;集成内存控制器单元(一个或多个)914;一组或一个或多个媒体处理器920,其可以包括集成图形逻辑908、用于提供静态和/或视频摄像机功能的图像处理器924、用于提供硬件音频加速的音频处理器926、以及用于提供视频编码/解码加速的视频处理器928;静态随机访问存储器(SRAM)单元930;直接存储器访问(DMA)单元932;以及用于耦合至一个或多个外部显示器的显示单元940。
至少一个实施例的一个或多个方面可由存储在机器可读介质上代表处理器内各种逻辑的代表性的数据实现,其在由机器读取时使该机器产生逻辑以执行在此描述的技术。这种代表,已知为“IP核”可被存储在有形的、机器可读介质(“磁带”)上并且被提供给各种顾客或生产设备以加载至实际产生逻辑或处理器的生产机器。例如,IP核,例如由ARM有限控股公司研发的CortexTM处理器家族和由中国科学院的计算技术(ICT)研究所研发的龙芯IP核可以被许可或卖至各种顾客或被许可人,例如Texas且在这些顾客或被许可人生产的处理器中实现。
图6-8是适于包括处理器700的示例***,而图9是可包括一个或多个核702的示例片上***(SoC)。用于膝上型计算机、台式计算机、手持PC、个人数字助手、工程工作站、服务器、网络设备、网络集线器、交换机、嵌入式处理器、数字信号处理器(DSP)、图形设备、视频游戏设备、机顶盒、微控制器、手机、便携式媒体播放器、手持设备、以及各种其他电子设备的其他业内已知的***设计和配置也适合。总的来说,能够结合如在此公开的处理器和/或其他执行逻辑的大量***或电子设备通常也适合。
图10说明了包含中央处理单元(CPU)和图形处理单元(GPU)的处理器,其可依照一个实施例执行至少一个指令。在一个实施例中,依照至少一个实施例用以执行操作的指令可由CPU执行。在另一个实施例中,该指令可由GPU执行。在又一个实施例中,该指令可以通过由GPU和CPU执行的操作的组合而被执行。例如,在一个实施例中,依照一个实施例的指令可以被接收并且被解码用于在GPU上执行。然而,该解码的指令内的一个或多个操作可由CPU执行并且该结果被返至GPU以最终废除该指令。相反地,在某些实施例中,CPU可以充当主处理器而GPU作为协处理器。
在某些实施例中,受益于遍及处理器高度并行的指令可由GPU执行,而受益于处理器性能的指令可由CPU执行,处理器性能受益于深度管线架构。例如,图形、科学应用、金融应用和其他并行负载可受益于GPU性能并且相应地被执行,而更有序的应用,例如操作***内核或应用代码可更适于CPU。
在图10中,处理器1000包括CPU 1005、GPU 1010、图像处理器1015、视频处理器1020、USB控制器1025、UART控制器1030、SPI/SDIO控制器1035、显示设备1040、内存接口控制器1045、MIPI控制器1050、闪存控制器1055、双数率(DDR)控制器1060、安全引擎1065、和I2S/I2C控制器1070。其他逻辑和电路可以被包含在图10的处理器中,包括更多的CPU或GPU以及其他外设接口控制器。
至少一个实施例的一个或多个方面可由存储在机器可读介质上代表处理器内各种逻辑的代表性的数据实现,其在由机器读取时使该机器产生逻辑以执行在此描述的技术。这种代表,已知为“IP核”可被存储在有形的、机器可读介质(“磁带”)上并且被提供给各种顾客或生产设备以加载至实际产生逻辑或处理器的生产机器。例如,IP核,例如由ARM有限控股公司研发的CortexTM处理器家族和由中国科学院的计算技术(ICT)研究所研发的龙芯IP核可以被许可或卖至各种顾客或被许可人,例如Texas且在这些顾客或被许可人生产的处理器中实现。
参照图11,说明了一种包括多个核的处理器的实施例。处理器1100包括任何处理器或处理设备,例如微处理器、嵌入式处理器、数字信号处理器(DSP)、网络处理器、手持处理器、应用处理器、协处理器、片上***(SOC)、或其他设备以执行代码。在一个实施例中,处理器1100包括至少两个核-核1101和1102,其可以包括不对称核或对称核(所说明的实施例)。然而,处理器1100可包括任何数目可以是对称或不对称的处理元件。
在一个实施例中,处理元件指支持软件线程的硬件或逻辑。硬件处理元件的示例包括:线程单元、线程槽、线程、处理单元、上下文、上下文单元、逻辑处理器、硬件线程、核和/或任何其他元件,其能够为处理器保持一种状态,例如执行状态或架构状态。换句话说,在一个实施例中,处理元件指任何能够独立与例如软件线程、操作***、应用、或其他代码的代码相关联的硬件。物理处理器典型地指集成电路,其潜在地包括任何数量的其他处理元件,例如核或硬件线程。
核通常指位于集成电路上能够维持独立架构状态的逻辑,其中每个被独立维持的架构状态与至少某些专用执行资源相关联。相比于核,硬件线程典型地指位于集成电路内能够维持独立架构状态的任何逻辑,其中该被独立维持的架构状态共享对执行资源的访问。如能够看到的,当特定的资源被共享并且其他的专用于一种架构状态时,在硬件线程和核之间命名的线重叠。但往往,核和硬件线程***作***当作单独逻辑处理器,其中操作***能够在每个逻辑处理器上单独调度操作。
物理处理器1100,如在图11中所说明,包括两个核,核1101和1102。在此,核1101和1102被视为对称核,即,核有相同配置、功能单元、和/或逻辑。在另一个实施例中,核1101包括无序处理器核,而核1102包括有序处理器核。然而,核1101和1102可以单独地选自任何类型的核,例如原生核、软件管理核、适于执行本机指令集架构(ISA)的核、适于执行翻译指令集架构(ISA)的核、联合设计的核、或其他已知核。然而还要讨论,在核1101中描述的功能单元在下面被进一步详细描述,如核1102中的单元以类似的方式操作。
如所描述的,核1101包括两个硬件线程1101a和1101b,其也可被称作硬件线程槽1101a和1101b。因此,软件实体,例如操作***,在一个实施例中潜在地视处理器1100为四个独立的处理器,即,能够同时执行四个软件线程的四个逻辑处理器或处理元件。如上面提到的,第一线程与架构状态寄存器1101a相关联,第二线程与架构状态寄存器1101b相关联,第三线程可以与架构状态寄存器1102a相关联,以及第四线程可以与架构状态寄存器1102b相关联。在此,每个架构状态寄存器(1101a、1101b、1102a、和1102b)可以指处理元件、线程槽、或线程单元,如上所述。如所说明的,架构状态寄存器1101a是架构状态寄存器1101b的复制,因此单独架构状态/上下文能够被存储用于逻辑处理器1101a和逻辑处理器1101b。在核1101中,其他较小的资源,例如在分配器和重命名块1130中的指令指针和重命名逻辑也可以针对线程1101a和1101b被复制。某些资源,例如在重排序/废除单元1135、ILTB 1120、加载/存储缓冲器和队列中的重排序缓冲器可以通过分区来共享。其他资源,例如通用内部寄存器、页表基址寄存器(一个或多个)、低级数据缓存和数据TLB 1115、执行单元(一个或多个)1140、以及部分无序单元1135有可能被全部共享。
处理器1100通常包括其他资源,其可以被全部共享,通过分区共享、或由处理元件专用传用于处理元件。在图11中,说明了一种带处理器的说明性逻辑单元/资源的纯粹示例性处理器的实施例。注意处理器可以包括或省略任何这些功能单元,以及包括任何其他已知功能单元、逻辑、或未描述的固件。如所述,核1101包括一种简化的、代表性的无序(OOO)处理器核。但是有序处理器可以被利用在不同实施例中。该OOO核包括分支目标缓冲器1120以预测要被执行/使用的分支并且指令翻译缓冲器(I-TLB)1120以为指令存储地址翻译条目。
核1101还包括耦合至提取单元1120以解码提取的元素的解码模块1125。提取逻辑,在一个实施例中,包括分别与线程槽1101a、1101b相关联的单独定序器。通常核1101与第一ISA相关联,其定义/指定在处理器1100上可执行的指令。通常是第一ISA的一部分的机器代码指令包括指令的一部分(被称作操作码),其引用/指定要被执行的指令或操作。解码逻辑1125包括电路,该电路从它们的操作码识别这些指令并且在管线上传送解码的指令以如第一ISA所定义的那样进行处理。例如,如在下面会更详细讨论的解码器1125,在一个实施例中,包括被设计或被适配以识别具体指令例如事务指令的逻辑。作为由解码器1125识别的结果,架构或核1101采取特定、预定义动作以执行与适当指令相关联的任务。很重要地要注意在此所述的任何任务、块、操作、和方法可以响应于单个或多个指令而被执行;其中一些可以是新的或旧的指令。
在一个实施例中,分配器和重命名块1130包括分配器以保留资源,例如寄存器文件以存储指令处理结果。然而,线程1101a和1101b潜在地能够无序执行,其中分配器和重命名块1130也保留其他资源,例如重排序缓冲器以用于跟踪指令结果。单元1130还可以包括寄存器重命名器以重命名程序/指令寄引用存器至处理器1100内部的其他寄存器。重排序/废除单元1135包括组件,例如以上提及的重排序缓冲器、加载缓冲器、以及存储缓冲器,以支持无序执行和以后对无序执行的指令的有序废除。
调度器和执行单元(一个或多个)块1140,在一个实施例中,包括调度器单元用以在执行单元上调度指令/操作。例如,浮点指令被调度于具有可用浮点执行单元的执行单元的端口上。与执行单元相关联的寄存器文件也可以被包括以存储信息指令处理结果。示例性执行单元包括浮点执行单元、整数执行单元、跳转执行单元、加载执行单元、存储执行单元、和其他已知执行单元。
低级数据缓存和数据翻译缓冲器(D-TLB)1150被耦合至执行单元(一个或多个)1140。数据缓存将存储最近使用/操作的元素,例如数据操作数,其被潜在地保持在内存相干状态中。该D-TLB将存储最近虚拟/线性至物理地址翻译。作为一个具体的示例,处理器可包括一种页表结构以将物理内存分为多个虚拟页面。
在此,核1101和1102共享对高级或更远缓存1110的访问,其是为了缓存最近提取的元素。注意高级或更远指缓存级别增加或更进一步远离执行单元(一个或多个)。在一个实施例中,高级缓存1110是最后级数据缓存-在处理器1100上内存等级中的最后缓存-例如第二或第三级数据缓存。然而,高级缓存1110不被如此限制,因为其可以与指令缓存相关联或包含指令缓存。跟踪缓存-一种指令缓存类型-替代地可以是在解码1125后被耦合以存储最近解码的踪迹。
在所描绘的配置中,处理器1100还包括总线接口模块1105。历史上,控制器1170,其会在下面更详细描述,已经被包括在处理器1100外部的计算***中。在这种情况下,总线接口1105将与处理器1100外部的设备进行通信,例如***内存1175、芯片组(通常包括内存控制器中枢以连接至内存1175和I/O控制器中枢以连接外设设备)、内存控制器中枢、北桥、或其他集成电路。并且在这种情况下,总线1105可以包括任何已知的互连,例如多点分支总线、点对点互连、串行互连、并行总线、相干(例如,缓存相干)总线、分层协议架构、差分总线、和GTL总线。
内存1175可以被专用于处理器1100或被与***中的其他设备共享。常见示例类型的内存1175包括DRAM、SRAM、非易失存储器(NV存储器)、以及其他已知存储设备。注意设备1180可包括图形加速器、耦合至内存控制器中枢的处理器或卡、耦合至I/O控制器中枢的数据存储器、无线收发器、闪存设备、音频控制器、网络控制器、或其他已知设备。
然而要注意,在所述的实施例中,控制器1170被描述为处理器1100的部分。最近,由于更多逻辑和设备被集成在单个芯片上,例如SOC,每个这些设备可以被结合在处理器1100上。例如在一个实施例中,内存控制器中枢1170与处理器1100在同一封装和/或芯片上。在此,核的一部分(核心部分)包括一个或多个控制器1170以与其他设备例如内存1175或图形设备1180对接。包括互连和控制器用于与这种设备对接的配置通常被称作为核心(或非核配置)。作为一个示例,总线接口1105包括和用于与内存1175对接的内存控制器以及用于与图形处理器1180对接的图形控制器的环互连。然而,在SOC环境中,甚至更多的设备,例如网络接口、协处理器、内存1175、图形处理器1180、以及任何其他已知的计算机设备/接口可以被集成在单个芯片或集成电路上以提供具有高功能和低功率消耗的小形状因数。
在一个实施例中,处理器1100能够执行编译器、优化、和/或翻译器代码1177以编译、翻译、和/或优化应用代码1176以支持在此所述的装置和方法或与其对接。编译器通常包括用于将源文本代码翻译至目标文本/代码的程序或程序集。通常,用编译器编译程序/应用代码被以多段和多遍完成以将高级别编程语言代码变换至低级别机器或汇编语言代码。但是,单遍编译器仍可以被用于简单编译。编译器可以利用任何已知的编译技术并且执行任何已知的编译操作,例如词法分析、预处理、解析、语义分析、代码生成、代码转换、和代码优化。
较大编译器通常包括多个阶段,但是最经常的是这些阶段被包含在两个一般阶段中:(1)前端,即,通常其中语法处理、语义处理、以及某些转换/优化可以发生,以及(2)后端,即,通常其中分析、转换、优化、以及代码生成发生。某些编译器涉及一个中端,其说明在编译器前端和后端之间划界的模糊。作为结果,编译器的所提到的***、关联、生成、或其他操作可以在上述任意阶段或过程发生,以及以编译器的任何其他已知阶段或遍中发生。作为一个说明性示例,编译器潜在地在编译的一个或多个阶段***操作、调用、函数等,例如在编译前端阶段调用/操作的***以及随后在转换阶段过程中调用/操作转换至低级别代码。注意在动态编译过程中,编译器代码或动态优化代码可以***这种操作/调用,也就是在运行时间过程中优化该代码用于执行。作为一个具体说明性示例,二进制代码(已经被编译的代码)可以在运行时间过程中被动态优化。在此,程序代码可以包括动态优化代码、二进制代码、或其组合。
类似于编译器,翻译器,例如二进制翻译器,静态或动态地翻译代码以优化和/或翻译代码。因此,所提到的执行代码、应用代码、程序代码、或其他软件环境可以指:(1)编译器程序(一个或多个)的执行、优化代码优化器、或动态或静态地翻译器、以编译程序代码、以维持软件结构、以执行其他操作、以优化代码、或以翻译代码;(2)主程序代码的执行包括操作/调用,例如已经被优化/编译的应用代码;(3)与主程序代码相关的其他程序代码(例如库)的执行以维持软件结构,以执行其他软件相关操作,或以优化代码;或(4)其组合。
现在参照图12A,示出的是多核处理器实施例的方框图。如在图12A的实施例中示出,处理器1200包括多个域。具体地,核域1230可包括多个核1230A-1230N,图形域1260可包括一个或多个包括媒体引擎1265的图形引擎,以及也可以存在***代理域1210。
在各种实施例中,***代理域1210可处理功率控制事件和功率管理,从而域1230和1260的单独单元(例如核和/或图形引擎)能够被控制以考虑给定单元中发生的活动(非活动)以恰当的功率模式(包括低功率状态或活动,以及可能的涡轮模式状态)独立地动态操作。每个域1230和1260可以在不同电压和/或功率下操作,并且此外在域内的单独单元中的每个可以以独立的频率和电压操作。注意尽管仅仅示出三个域,要理解的是本发明的范围不局限于此并且附加的域可以存在于其他实施例中。
一般来说,除了各种执行单元和附加处理元件,每个核1230可进一步包括低级缓存。继而,各种核可以被互相耦合并且被耦合至(由最后级缓存(LLC)1240A-1240N的多个单元或片形成的)共享缓存内存,其可以包括存储器和缓存控制器功能。在各种实施例中,LLC1240可以在核和图形引擎以及各种媒体处理电路之间被共享。
如所看到的,环形互连1250将核耦合至一起,并且通过多个环形停止1252A-1252N(每个在核和LLC片之间的耦合处)在核域1230、图形域1260和***代理电路1210之间提供互连。如在图12A中所见,互连1250可被用于载送各种信息,包括地址信息、数据信息、确认信息、和探测/无效信息。
如进一步所见,***代理域1210可包括显示引擎1212,其可提供对相关显示器的控制以及至相关显示器的接口。***代理域1210可进一步包括集成内存控制器1220,其可提供至***内存例如DRAM(例如,由多个DIMM实现)的接口,并且其可以被耦合至相干逻辑1222以执行内存相干操作。多个接口可以存在以实现处理器和其他电路之间的互连。例如,在一个实施例中至少一个直接媒体接口(DMI)1216接口可以被提供以及一个或多个PCIeTM接口1214。如所看到的,显示引擎和这些接口可通过PCIeTM桥1218耦合至内存。另外进一步,为了在其他代理(例如附加处理器或其他电路)之间提供通信,一个或多个例如依照Quick Path互连(QPI)协议的其他接口也可被提供。
现在参照图12B,示出的是代表性核(例如图12A的核1230之一)的方框图。一般来说,图12B中所示的结构可以是包括前端单元1270的无序处理器,前端单元一般地被用于提取输入指令、执行各种处理(包括解码)并且传送它们至无序引擎1280,该无序引擎1280能在这些解码的指令上执行进一步的处理,包括获取要被处理的数据、处理该数据、并且随后重排序该处理的数据。
具体地在图12B的实施例中,无序引擎1280可以包括分配单元1282以从前端单元1270接收解码的指令(其可以是一个或多个微指令或uop的形式),并且分配它们至合适的资源例如寄存器等等。接下来,该指令可被提供至保留站1284,其可调度它们用于在多个执行单元1286A-1286N的其中一个上执行。各种类型的执行单元可以存在,除其他之外尤其包括,例如,算术逻辑单元(ALU)、向量处理单元(VPU)、浮点执行单元。来自这些不同执行单元的结果可被提供给重排序缓冲器(ROB)1288,其能够采用这些无序结果并且将它们返回至正确的程序次序。
仍参照图12B,注意前端单元1270和无序引擎1280都可被耦合至内存层级结构的不同级。具体示出的是一种指令级缓存1272,指令级缓存1272继而可耦合至中级缓存1276,中级缓存1276继而可耦合至最后级缓存1295,在一个实施例中最后级缓存1295可在非核单元1290中实现,其一般地响应于***代理电路,例如图12B中的***代理域1210。继而,最后级缓存1295可与内存层级结构中的更高级进行通信,包括在一个实施例中可通过ED RAM实现的***内存1299。还要注意在无序引擎1280内的各种执行单元1286可以与第一级缓存1274进行通信,第一级缓存也与中级缓存1276进行通信。还要注意附加核1230N-2-1230N可耦合至LLC 1295。尽管在图12B的实施例中以高级别示出,要理解可以存在各种变化和附加组件。
图13是依照本发明一个实施例用于包括用以执行指令的逻辑电路的处理器1300的微架构的方框图。在某些实施例中,依照一个实施例的指令可被实现以在具有字节、字、双字、四字等大小、以及数据类型,例如单和双精度整数和浮点数据类型的数据元素上操作。在一个实施例中有序前端1301是提取要被执行的指令并且准备它们之后在处理器管线中使用的处理器1300的一部分。前端1301可以包括几个单元。在一个实施例中,指令预取器1326从内存取指令并且传递它们至指令解码器1328,其继而解码或解释它们。例如,在一个实施例中,解码器解码所接收的指令至机器能够执行的一个或多个被称作“微指令”或“微操作”(也称作微op或uop)的操作。在其他的实施例中,解码器将指令解析成操作数以及由微架构使用以依照一个实施例执行操作的相应数据和控制字段。在一个实施例中,跟踪缓存1330采用解码的uop并且把它们组装成在uop队列1334中的程序有序序列或踪迹用以执行。当跟踪缓存1330遇到复杂的指令时,微代码ROM 1332提供完成操作所需的uop。
某些指令被转换成单个微-op,而其他的需要若干微-op来完成整个操作。在一个实施例中,如果需要多于四个微-op以完成一条指令,则解码器1328访问微代码ROM 1332以执行该指令。对于一个实施例,指令可被解码成少量微op以在指令解码器1328处处理。在另一个实施例中,如果需要多个微-op来完成操作,则指令可被存储在微代码ROM 1332内。跟踪缓存1330指入口点可编程逻辑阵列(PLA)以确定用于依照一个实施例从微代码ROM 1332中读取微代码序列以完成一个或多个指令的正确微指令指针。在微代码ROM 1332为一条指令完成定序微-op后,机器的前端1301恢复从跟踪缓存1330提取微-op。
无序执行引擎1303是指令被准备用于执行的地方。无序执行逻辑具有多个缓冲器以理顺并且重排序指令流以在它们沿管线行进并且获得调度用于执行时优化性能。分配器/寄存器重命名器1305包括分配器逻辑和寄存器重命名逻辑。分配器逻辑分配每个uop为了执行而需要的机器缓冲器和资源。寄存器重命名逻辑将逻辑寄存器重命名至寄存器文件中的条目。在指令调度器:内存调度器1309、快速调度器1302、慢/通用浮点调度器1304、以及简单浮点调度器1306之前,分配器在两个uop队列的一个中也为每个uop分配条目,用于内存操作的内存uop队列1307a和用于非内存操作的整数/浮点uop队列1307b。该uop调度器1302、1304、1306、1309,基于它们的相关输入寄存器操作数资源的准备和uop完成它们操作所需要的执行资源的可用性来确定何时uop准备好执行。一个实施例中的快速调度器1302可在每半个主时钟周期上调度而其他调度器可仅在每个主处理器时钟周期调度一次。调度器仲裁分派端口以调度用于执行的uop。
寄存器文件1308、1310,位于调度器1302、1304、1306、1309以及执行块1311中的执行单元1312、1314、1316、1318、1320、1322、1324之间。存在分别用于整数和浮点操作的单独寄存文件1308、1310。每个寄存器文件1308、1310,在一个实施例中也包括旁路网络,其能够旁路或转发尚未被写入寄存器文件中的刚完成的结果至新的相关uop。该整数寄存器文件1308和浮点寄存器文件1310也能与其他的传输数据。对于一个实施例,整数寄存器文件1308被分成两个单独的寄存器文件,一个寄存器文件用于数据的低阶32位而第二寄存器文件用于数据的高阶32位。因为浮点指令典型地具有从64至128位宽的操作数,一个实施例的浮点寄存器文件1310具有128位宽的条目。
执行块1311包括执行单元1312、1314、1316、1318、1320、1322、1324,其中指令被实际执行。这部分包括寄存器文件1308、1310,其存储微指令需要执行的整数和浮点数据操作数值。一个实施例的处理器1300包括多个执行单元:地址生成单元(AGU)1312、AGU 1314、快速ALU 1316、快速ALU 1318、慢速ALU 1320、浮点ALU 1322、浮点移动单元1324。对于一个实施例,浮点执行块1322、1324执行浮点、MMX、SIMD、和SSE,或其他操作。一个实施例的浮点ALU 1322包括64位×64位浮点除法器以执行除法、平方根、和剩余微-op。对于本发明的实施例,涉及浮点值的指令可以用浮点硬件处理。在一个实施例中,ALU操作转到高速ALU执行单元1316、1318。一个实施例的快速ALU 1316、1318能够以半个时钟周期的有效等待时间来执行快速操作。对于一个实施例,最复杂的整数操作转到慢速ALU 1320,因为该慢速ALU1320包括用于长等待时间类型的操作的整数执行硬件,例如乘法器、移位、标志逻辑、和分支处理。内存加载/存储操作由AGU 1312、1314执行。对于一个实施例,整数ALU 1316、1318、1320,以在64位数据操作数上执行整数操作的上下文中被描述。在可选的实施例中,ALU1316、1318、1320,可被实现以支持各种数据位(包括16、32、128、256等)。类似地,浮点单元1322、1324,可被实现以支持一定范围的具有各种位宽的操作数。对于一个实施例,浮点单元1322、1324,可结合SIMD和多媒体指令在128位宽的封装数据操作数上操作。
在一个实施例中,uop调度器1302、1304、1306、1309,在亲代加载已经完成执行前调度相关操作。由于uop是被推测地在处理器1300中调度并执行,所以处理器1300还包括处理内存未命中的逻辑。如果数据加载在数据缓存中未命中,则在管线中可能存在带着临时非正确数据离开调度器的飞行中的操作。一种重放机制跟踪和重新执行使用非正确数据的指令。仅仅相关操作需要被重放并且独立操作被允许完成。一个处理器实施例的调度器和重放机制也可以被设计用来捕获用于文本串比较操作的指令序列。
术语“寄存器”可以指机载处理器存储位置,其被用作指令的一部分以识别操作数。换句话说,寄存器可以是那些从处理器外部(从程序员的视角)可用的寄存器。然而,一个实施例的寄存器在含义上不应当被限制于特定类型的电路。而是,一个实施例的寄存器能够存储和提供数据,并且执行在此描述的功能。在此所述的寄存器可使用任何数目的不同技术由处理器内的电路实现,例如专用物理寄存器、使用寄存器重命名的动态分配的物理寄存器、专用和动态分配的物理寄存器的组合,等。在一个实施例中,整数寄存器存储32位整数数据。一个实施例的寄存器文件也包括用于封装数据的8个多媒体SIMD寄存器。对于下面的讨论,寄存器被理解为被设计用来保存封装数据的数据寄存器,例如从SantaClara、California的Intel公司用MMXTM技术实现的在微处理器中的64位宽MMXTM寄存器(在某些实例中也称为“mm”寄存器)。这些MMX寄存器,整数和浮点形式二者都可用,能与伴随SIMD和SSE指令的封装数据元素一起操作。类似地,涉及SSE2、SSE3、SSE4、或更高的(一般地称为“SSEx”)的技术的128位宽XMM寄存器也能被用于保存这种封装数据操作数。在一个实施例中,在存储封装数据和整数数据中,寄存器不必在该两种数据类型之间进行区分。在一个实施例中,整数和浮点可以被包含在相同的寄存器文件或不同的寄存器文件中。此外,在一个实施例中,浮点和整数数据可以被存储在不同寄存器或相同的寄存器中。
图14是说明依照本发明至少一个实施例的有序管线和寄存器重命名阶段、无序发布/执行管线的方框图。图14是说明依照本发明至少一个实施例被包含在处理器中的有序架构核和寄存器重命名逻辑、无序发布/执行逻辑的方框图。图14中的实线框说明了有序管线,而虚线框说明了寄存器重命名、无序发布/执行管线。类似地,图14中的实线框说明了有序架构逻辑,而虚线框说明了寄存器重命名逻辑和无序发布/执行逻辑。
在图14中,处理器管线1400包括提取阶段1402、长度解码阶段1404、解码阶段1406、分配阶段1408、重命名阶段1410、调度(也称为分派或发布)阶段1412、寄存器读取/内存读取阶段1414、执行阶段1416、写回/内存写入阶段1418、异常处理阶段1422、以及提交阶段1424。
在图14中,箭头表示在两个或多个单元之间的耦合并且箭头的方向指示在那些单元之间数据流的方向。图14示出了包括耦合至执行引擎单元1450的前端单元1430的处理器核1490,并且两者都耦合至内存单元1470。
核1490可以是一种精简指令集计算(RISC)核、复杂指令集计算(CISC)核、超长指令字(VLIW)核、或混合或可选核类型。然而作为另一种选项,核1490可以是一种专用核,例如,像网络或通信核、压缩引擎、图像核、或类似。
前端单元1430包括耦合至指令缓存单元1434的分支预测单元1432,指令缓存单元1434被耦合至指令翻译旁视缓冲器(TLB)1436,指令翻译旁视缓冲器(TLB)1436被耦合至指令提取单元1438,指令提取单元1438被耦合至解码单元1440。解码单元或解码器可以解码指令,并且生成一个或多个微操作、微代码入口点、微指令、其他指令、或其他控制信号(其从原始指令中解码,或以其他方式反映原始指令、或从原始指令获得)作为输出。该解码器可以使用各种不同机制实现。合适机制的示例包括但不限于,查找表、硬件实现方式、可编程逻辑阵列(PLA)、微代码只读存储器(ROM)、等。指令缓存单元1434还耦合至内存单元1470中的2级(L2)缓存单元1476。解码单元1440耦合至执行引擎单元1450中的重命名/分配器单元1452。
执行引擎单元1450包括耦合至废除单元1454以及一组一个或多个调度器单元1456的重命名/分配器单元1452。调度器单元(一个或多个)1456代表任何数量的不同调度器,包括保留站、中央指令窗口等。调度器单元(一个或多个)1456耦合至物理寄存器文件单元(一个或多个)1458。每个物理寄存器文件单元1458代表一个或多个物理寄存器文件、其中的不同物理寄存器文件存储一个或多个不同数据类型,例如标量整数、标量浮点、封装整数、封装浮点、向量整数、向量浮点等,状态(例如是要被执行的下一条指令的地址的指令指针)等。物理寄存器文件单元(一个或多个)1458被废除单元1454重叠以说明寄存器重命名以及无序执行可以实现的各种方式(例如,使用重排序缓冲器(一个或多个)和废除寄存器文件(一个或多个),使用未来文件(一个或多个)、历史缓冲器(一个或多个)、以及废除寄存器文件(一个或多个);使用寄存器映射和寄存器池;等)。一般地,架构寄存器从处理器外部或程序员角度是可见的。寄存器不限于任何已知特定类型的电路。各种不同类型的寄存器都适合只要它们能够如在此描述的那样存储和提供数据。合适寄存器的示例包括但不限于专用物理寄存器、使用寄存器重命名的动态分配的物理寄存器、专用和动态分配的物理寄存器的组合等。废除单元1454和物理寄存器文件单元(一个或多个)1458耦合至执行集群(一个或多个)1460。执行集群(一个或多个)1460包括一组一个或多个执行单元1462以及一组一个或多个内存访问单元1464。执行单元1462可以在各种数据类型(例如,标量浮点、封装整数、封装浮点、向量整数、向量浮点)上执行各种操作(例如,移位、加、减、乘)。尽管某些实施例可包括致力于特定功能或功能集的多个执行单元,其他实施例可仅包括一个执行单元或全都执行全部功能的多个执行单元。调度器单元(一个或多个)1456、物理寄存器文件单元(一个或多个)1458和执行集群(一个或多个)1460被示出作为可能是复数,因为特定实施例为特定类型的数据/操作创建单独管线(例如,标量整数管线、标量浮点/封装整数/封装浮点/向量整数/向量浮点管线,和/或其中每个有其自己的调度器单元、物理寄存器文件(一个或多个)单元、和/或执行集群的内存访问管线-并且在单独内存访问管线的情况下,特定的实施例被实现为其中仅该管线的执行集群具有内存访问单元(一个或多个)1464。还要理解在使用单独管线的情况下,一个或多个这些管线可能是无序发布/执行并且其余的是有序的。
该组内存访问单元1464被耦合至内存单元1470,其包括耦合至数据缓存单元1474的数据TLB单元1472,该数据缓存单元1474耦合至2级(L2)缓存单元1476。在一个示例性实施例中,内存访问单元1464可以包括加载单元、存储地址单元、以及存储数据单元,其中每个都耦合至内存单元470中的数据TLB单元1472。该L2缓存单元1476耦合至一个或多个其他级的缓存并且最终耦合至主内存。
通过举例,示例寄存器重命名、无序发布/执行核架构可以实现管线400如下:1)指令提取1438执行提取和长度解码阶段1402和1404;2)解码单元1440执行解码阶段1406;3)重命名/分配器单元1452执行分配阶段1408和重命名阶段1410;4)调度器单元(一个或多个)1456执行调度阶段1412;5)物理寄存器文件(一个或多个)单元(一个或多个)1458和内存单元1470执行寄存器读/内存读阶段1414;执行集群1460执行该执行阶段1416;6)内存单元1470和物理寄存器文件(一个或多个)单元(一个或多个)1458执行写回/内存写阶段1418;7)各种单元可涉及在异常处理阶段1422中;以及8)废除单元1454和物理寄存器文件(一个或多个)单元(一个或多个)1458执行提交阶段1424。
核1490可以支持一个或多个指令集(例如,x86指令集(具有已经添加较新版本的某些扩展);CA Sunnyvale MIPS科技的MIPS指令集;CA Sunnyvale ARM控股的ARM指令集(具有例如NEON的可选附加扩展))。
要理解的是该核可支持多线程(执行两个或多个并行的操作或线程集),并且可以以多种方式实行,包括时间分片多线程、同步多线程(其中单个物理核为物理核同步多线程执行的每个线程提供逻辑核)、或其组合(例如,此后的时间分片提取和解码以及同步多线程例如在超线程技术中)。
尽管寄存器重命名在无序执行的上下文中描述,要理解的是寄存器重命名可以被用于有序架构。而所说明的处理器的实施例也包括单独指令和数据缓存单元1434/1474以及共享L2缓存单元1476,可选的实施例可以具有用于指令和数据两者的单个内部缓存,例如,像1级(L1)内部缓存、或多级内部缓存。在某些实施例中,该***可以包括内部缓存和在该核和/或处理器外部的外部缓存的组合。可选地,所有的缓存可以在核和/或处理器外部。
在一个实施例中,显示器包括触摸屏或其他触摸敏感显示器。该触摸敏感显示器(或触摸屏)可以包括活动区域和非活动区域。该活动区域可以是接收触摸输入的区域并且平台的组件响应该触摸输入(例如通过显示器)。该非活动区域可以是不响应触摸输入的触摸敏感显示器(或触摸屏)的区域。换句话说,即使该触摸输入被提供给非活动区域,平台可能不改变显示器或执行任何其他动作。该平台可以看起来好像(对非活动区域的)触摸输入没有被平台识别一样。
实施例还可应用于可转换计算设备,其可以在翻盖模式和平板模式之间转换。在可转换计算设备中,盖或显示器可以被称为平板或平板显示器(其包括触摸敏感显示器)。然而,在可转换计算设备中该平板显示器(或盖)可以不从基座分离。
实施例可以基于平板的操作模式或基于用户输入来控制触摸敏感显示器(或触摸屏)的活动区域(或活动显示区域)。例如,在翻盖模式中,触摸敏感显示器(或触摸屏)可具有大的活动区域(或大的显示区域),对比当处于平板模式时触摸敏感显示器(或触摸屏)可具有小的活动区域(或小的显示区域)。触摸敏感显示器(或触摸屏)的非活动区域(或虚拟边框)的尺寸可以基于活动区域的尺寸的改变而改变。当意外触摸活动区域时这可以允许用户更容易地握住平板。非活动区域可以被称为虚拟边框,其是通过改变显示器的活动显示区域而减少或增加尺寸的边框区域。
用户可把平板握在他们的手中。这样,当使用触摸启用的平板时,可能需要大的边框区域使得用户不会阻挡显示区域(或活动显示区域)或当握住平板时导致意外触摸事件。然而,当处于翻盖模式时,可能不再需要边框并且可能期望边框越小越好以最大化活动显示区域。在平板模式和翻盖模式两者操作的电子设备中(例如,可拆卸的平板或可转换的膝上型计算机),虚拟边框可以依赖于该电子设备如何被使用来提供最佳显示区域。
该虚拟边框可以是沿着显示器外边缘的可调整颜色边界。通过增加或减少显示器周围的边界,该显示器可以看起来改变尺寸。像素尺寸的改变可以通过显示硬件和/或OS驱动器来执行,因此该OS可能不受显示区域尺寸的物理改变的影响。
实施例通过经由为具有触摸屏能力的显示器动态实时决定在边框区域呈现内容来显示内容来实现边框的有效使用。内容可被动态呈现的边框区域被称作为虚拟边框,以及边框区域被动态打开或关闭以呈现内容的***被称为基于虚拟边框的***。除了主显示器外,虚拟边框实现在边框区域中的显示器呈现。
实施例使用智能以确定何时以及如何使用边框区域显示内容,其中该智能启用边框进行显示器呈现可以通过标准的组合。决策向量(例如传感器、设备配置、内容类型、主显示器活动)被以智能的方式使用以启用/禁用用于呈现的显示区域。边框的每一边(左、右、上、下)可以相对于启用/禁用它们以及被呈现的内容的类型而被独立地控制。内容可基于在显示器上显示什么(主显示内容)、显示器周围(环境、其他设备)以及用户偏好而被呈现。在主显示器和边框区域显示器之间的无缝内容移动和交互可以被实现。
实施例可以提供一种计算设备,其包括触摸敏感显示器和至少一部分是硬件的显示逻辑。这个显示逻辑可基于设备的配置、要被显示的内容等等来控制触摸敏感显示器的活动区域的尺寸和触摸敏感显示器的非活动区域的尺寸。如在此所述,显示逻辑在不同实施例中可以执行另外的操作。
在各种实施例中,平台可以实现人机接口设备(HID)数据的分布式预处理,通过这样的方式使得该平台例如片上***(SOC)的处理器或其他处理器能够进入和保持在低功率状态。更具体地,当信息被呈现在HID的非活动部分时实施例执行从用户接收的HID信息的分布式过滤。尽管在此描述的实施例是关于触摸屏HID上下文中的分布式预处理,要理解本发明的范围不限于这个方面并且其他实现方式可结合其他包括触摸板或其他触摸输入设备、鼠标、其他于势输入设备等的HID使用。
与HID相关联的控制器例如触摸屏控制器可包括逻辑以执行该分布式预处理从而过滤掉任何在非活动区内接收的触摸输入。正如在此描述的示例,这些非活动区可以对应于覆盖显示器非活动部分(例如虚拟边框)的触摸屏部分。其他示例包括对应于活动软按键外部的位置或其他启用的用户输入特征的非活动区。
在某些实现方式中,不是控制将被配置在显示器***且围绕主显示区域的副显示区域,而是多个任意形状的窗口可被提供以实现用户输入的接收。例如,一部电影或其他视频内容可以显示在主显示区域和一组活动***示区域上,例如,显示器的底部可以被提供作为电影和/或音频控制按键,例如,下个场景、上个场景、暂停、停止、播放、音量增/减、浏览、等。在这个示例中,尽管处于虚拟边框模式并且在最小显示区域中运行该电影(例如,720像素版本),任何在对应于软按键的电影图像或预定子区域或像素内的触摸可以被传送给主机处理器。其他触摸事件例如大拇指在虚拟边框周围可以被触摸屏控制器过滤而不会被传送。
很多显示器使用各种特征追求低功率能力。例如,发光二极管(LED)显示器可有选择地照亮显示器内的像素而使其他像素关闭(其可被用于关闭大部分主显示器但是使少量状态图像可视)。类似地,电子墨水(E-ink)或双稳态显示器在呈现静态图像给用户的同时消耗零功率。并且带面板自刷新技术的显示器在显示静态图像给用户时消耗功率,但是允许平台的其余部分进入低功率状态。当它们仅仅显示静态图像时这些低功率状态特别地有效,例如当阅读E-book,或便携式文档格式(PDF)文档时。
使用一个实施例,在触摸屏的无效区域的触摸接触例如围着显示器的***部分可被触摸屏控制器过滤。因此这种过滤避免产生会保持主机处理器运行以分析和丢弃无效触摸数据的中断,因此防止处理器/平台转变至低功率状态(或强制从低功率状态退出)。
总的来说,触摸屏控制器被配置用来分析模拟触摸屏输入并且映射它们至与触摸屏人机交互的数字表示,并且传送该信息至处理器。在其他实现方式中,触摸控制器功能可以被集成至触摸屏本身,或者触摸屏和触摸屏控制器二者可以都被集成至显示面板本身中。
触摸屏数据的分布式预处理可以减少平台功率,其中预处理组件分析(原始)触摸屏数据或触摸屏数据的子集,以确定在这个触摸屏数据内的相关性。在不同实施例中,这些预处理组件可以以硬件实现例如寄存器传输级(RTL)或微代码。该预处理组件可以接近或在触摸屏内。这种触摸屏可以接***台的主(或副,等)显示器或外部显示器(或多个显示器)。例如,该分布式处理可以在触摸屏和处理器之间的数据通道内的任何位置实现。
现在参照图15A,示出的是依照本发明一个实施例的***的一部分的方框图。如在图15A中所示,***1500可以是通过触摸数据提供用于用户输入能力的任何类型的平台。作为示例,平台1500可以是移动低功率设备例如智能电话、平板计算机、UltrabookTM计算机、或其他膝上型或笔记本设备。如在图15A中所见,平台1500包括处理器1510,其在一个实施例中是中央处理单元(CPU)。处理器1510可以是多核处理器并且通过互连1515耦合至外设控制器中枢(PCH)1520。在某些实施例中,处理器1510和PCH 1520可以集成在单个集成电路(IC)中例如SoC,其在某些实施例中可被实现在单个半导体芯片中。在其他实现方式中处理器1510和PCH 1520可以是单独的集成电路。
平台1500还包括显示器1530,其在一个实施例中可以是给定类型的显示器例如液晶显示器(LCD)、LED显示器、有机LED(OLED)显示器等等。如所见,处理器1510通过视频接口1535提供显示信息至显示器1530。在示出的实施例中,显示器1530在主显示区域1534中是活动的,其被非活动或虚拟边框区域1532所包围。注意术语“虚拟边框”和“副显示区域’在此可被互换使用以指示主显示区域外部的显示面板的区域。
覆盖显示器1530是触摸屏1540,其包括输入电路例如感测来自用户触摸输入的网格。在某些实施例中,该触摸屏控制器显示器和触摸屏是一集成模块。作为一个示例,显示器可以由使显示器被印刷在一侧并且使触摸屏被层压在另一侧的单片玻璃组成,并且还包括一集成触摸屏控制器。继而,触摸屏1540被耦合至触摸屏控制器1550,其包括被配置以如在此描述的那样执行分布式预处理的逻辑1555。在一个实施例中,触摸屏控制器1550可以被实现为包括微代码和/或被配置用来执行触摸固件的微控制器。为了提供输入触摸数据以用于在处理器1510中处理,触摸控制器1550通过可以被配置作为通用串行总线(USB)接口、内部集成电路(I2C)接口或通用异步收发器(UART)传输路径的互连1545耦合至PCH1520。尽管在图15A中示出为由有线互连通信,要理解通信可以通过无线或有线通信,例如WiFiTM或以太网连接至带触摸屏(用于数字标牌应用)的远程/外部多合一(All-In-One,AIO)显示器。
为了使处理器1510能够处理有效触摸数据,处理器和PCH处于活动状态。当处于活动状态时,这种组件不能处于低功率状态,或至少所述组件被阻止置于更深度的低功率状态。使用本发明的实施例,为了实现降低的功率消耗,触摸屏控制器1550可以执行分布式预处理以阻止或过滤无效触摸数据被传送至处理器1510,使该处理器能够进入和保持在低功率状态(和/或更深度的低功率状态)。注意如此所述,无效触摸数据对应于在没有用户输入被预期的触摸屏区域中接收的用户触摸数据。例如在以上描述的虚拟边框的情境中,当用户沿着边缘握住平板计算机或其他计算设备使得手指触摸到不包括活动显示器和/或不包括活动软按键的该虚拟边框时,这种触摸数据是无效的。类似地,当显示器的该相同部分或其他部分包括软按键以允许用户选择时,这些活动软按键外部的用户触摸类似地可对应于无效触摸数据。使用本发明的实施例,所有这些从这种非活动区域接收的触摸数据可被过滤从而被阻止传送至处理器/PCH、启动进入和保持在低功率和/或更深度低功率状态。
还要注意尽管在图15A中,仅示出了单个活动显示区域1534和单个非活动显示区域1532,要理解在其他的实现方式中多个这两种不同区域也是可能的。例如,低功率显示器可以包括第一活动显示区域以显示内容例如视频内容或一页E-book,而第二活动区域可以显示被配置用来接收用户输入以执行各种功能以控制要被显示的信息或其他将由***执行操作的控制面板。在这种情况下,触摸屏控制器1550可以被配置用来阻止无效触摸中断从这个控制面板区域外部传送至处理器1510。
仍然在其他的示例中,一个或多个活动显示区域可以被启用而该显示器的其他部分被断电。以这种方式,仅仅在这些活动显示区域内的有效触摸中断被从触摸屏控制器1550传送至处理器1510,而该显示器的剩余部分未供电。作为一个这种示例,仅仅控制面板被显示作为活动显示区域而显示器的剩余部分被断电。同样,该平台可以被配置在外壳内例如保护罩内,其包括具有允许接近控制而板区域的窗口的物理开口从而当该显示器(和***)的剩余部分处于低功率状态时允许输入。
因此图15A中的图示示出了通过触摸屏1540接收的输入触摸数据处于非活动区域并且其通过在触摸屏控制器1550上执行的逻辑1555被过滤的情况。作为对比,图15B示出了当针对在活动触摸区域中的用户触摸输入接收到有效触摸数据时的进一步操作。在此,在逻辑1555中预处理后,触摸数据通过互连1545被传送并且通过PCH 1520至处理器1510以进行适当处理,例如传递用户输入至适当的OS、固件、或在处理器1510上执行的应用。尽管在图15A和15B以这种高层次示出,要理解本发明的范围不局限于这个方面。
现在参照图16,示出的是依照本发明一个实施例的用于预处理触摸数据的方法的流程图。如在图16中所示,方法1600可以使用例如图15A中逻辑1555的触摸控制器的过滤逻辑而被执行。
如所见,方法1600在菱形1610开始,其中确定新区域坐标是否是从主机接收。尽管本发明的范围不限制于实施例的这个方面,这种区域坐标可以为触摸屏提供活动和非活动触摸输入区域的指示并且可以从在平台的处理器上执行的触摸屏固件接收。如果确定这种输入被接收,则控制传至块1620,其中有效区域坐标可以被更新。更具体地,这种信息,其对应于映射信息,可以被用于更新存储在可由逻辑访问的适当的内存内的坐标,例如微控制器的内存储器或耦合至其的内存。在不同的实施例中,这种坐标信息可以是X-Y轴***从而识别有效触摸输入区域和无效触摸输入区域。注意触摸屏固件可以定义可生成有效触摸屏数据的触摸屏内的多个区域,并且这些区域可具有不同的尺寸和形状。
用于触摸屏输入的相关性可以被定义为触摸屏内的特定区域。在某些实施例中触摸屏内可以有多个特定区域,其可具有类似或不同的尺寸和形状。这些区域可以如单个触摸屏像素一样小或如整个触摸屏像素阵列一样大。此外,用于触摸屏输入的相关性可以由触摸屏固件或高级固件或软件操作确定。这个相关性可以由相同的控制逻辑动态改变。同样,由触摸屏固件传送至预处理组件的用于触摸屏输入的相关性可以包括安全/隐私策略。
仍然参照图16,控制传至菱形1630,其中确定是否检测到触摸输入。如果是,控制传至块1640,其中触摸输入可以被分析以确定触摸输入是在有效触摸区域还是无效触摸区域内。预处理可以包括分析人机交互的分组或组合,例如同时按下按键组合。如果在菱形1650确定该触摸输入是来自有效触摸区域,则控制传至块1660,其中触摸输入可能被报告给主机。更具体地在图15A的实施例中,这个触摸数据可以通过PCH 1520传送至处理器1510,在其上触摸固件运行以处理该触摸数据以提供位置的指示及用户触摸的类型至适当代理例如***软件或应用。
在另一个实施例中,预处理组件可以被触摸屏固件询问,例如通过读取寄存器。触摸屏控制器可以报告该触摸屏信息作为HID类设备,例如触摸屏设备或作为扩展HID类设备。类似地,该触摸屏固件或高级固件或软件操作可以动态加载和卸载HID类设备或扩展HID类设备的(一个或多个)实例以报告从单个触摸屏获得的触摸屏信息,例如当用户暂时地通过其中每个菜单显示具有不同人机交互需求的菜单层次结构。在此,触摸屏区域可以重叠(类似韦恩图),因此单个触摸屏交互可以被理解为被同时报告给多HID设备的触摸屏信息。
注意这种在块1660的通信在该处理器(以及PCH)处于活动状态时发生。或者这种通信导致这些组件从低功率状态进入活动状态。作为对比,当由在触摸屏控制器中执行的预处理确定触摸输入是无效触摸区域(如在1640中描述所确定并且因此这种输入被忽略,例如,在块1670被丢弃),没有发生与这些上游组件的通信。相应地,这些组件可以仍处于低功率状态或可以被允许进入这种低功率状态。也就是,当中断(例如触摸数据中断)没有在给定的时间帧内被接收时,这些组件可以被配置以进入低功率状态。尽管在图16的实施例中以这种高层次示出,要理解本发明的范围不限制于这个方面。
通过结合如在此所述的对触摸屏输入的基于区域报告和低功率显示技术,用户将在低功率(更长电池寿命)具有相同的视觉体验。一般来说,更高级别软件动态传输要被显示至图形(GFX)驱动器的图形图像,并且也传送它们各自的窗口或像素区域。该GFX驱动器发送视频像素数据和控制信号至显示面板以显示所期望的图像。这个更高级别的软件也将映射至它们各自图形窗口的有效触摸屏窗口动态传送至触摸屏固件,其继而传送关于有效触摸屏区域的信息至触摸屏控制器。
在最好的情况下,对于有效触摸输入而言功率可被供应给显示器/触摸屏模块以显示所期望的图像并且等待,而该***剩余部分(包括CPU、PCH)的平台电源可被关闭,或者该***的剩余部分可被置于非常低的功率管理状态。
因此触摸控制器在E-book示例中阻止来自触摸区域外的无效触摸中断并且将来自触摸区域内的有效触摸中断传至主机固件。因而,触摸控制器仅将来自控制面板触摸区域内的有效触摸中断传至主机固件,而显示器的剩余部分是未供电的。
因此在触摸屏上具有有效触摸输入区域的显示面板上像素的所显示区域的映射可以分别通过报告该信息至GFX驱动器和触摸屏固件而被控制,其继而传送该信息至它们各自的显示器和触摸屏控制器子***,连同被用于对这些设备进行功率管理的任何控制信号。
许多平台包括触觉反馈以使得用户能够感觉在触摸屏内的特定区域的局部反馈。通过将触摸屏输入的基于虚拟边框区域的报告与触觉反馈结合,用户可具有更好的体验。也就是,当触摸有效显示器/触摸屏区域内的显示器/触摸屏模块时用户将仅接收触觉反馈。
在某些实施例中,一种组合的微控制器传用集成电路(ASIC)可被实现,其执行触摸屏输入预处理(利用虚拟边框无效区域屏蔽)和触觉反馈两者。以这种方式,可以消耗显著较低的功率而不是在CPU上运行的主机固件中执行这些功能。在一个实施例中,触觉生成器可以是被控制为响应于用户输入而移动的偏心凸轮。这种移动引起对用户的震动作为反馈。或者触觉生成器可以使用在显示器周围的电环实施以通过控制在环周围的静电荷引起触觉反馈。在其他的又一实施例中,触觉生成器可以被集成至触摸屏并且由触摸屏控制器控制。
像这样,当用户的触摸屏数据是来自有效触摸屏区域时触觉反馈可以被提供给用户(并且否则,这种触觉反馈不被传送)。在某些实施例中,该触觉反馈被局部化至特定区域。例如当使用多点触摸触摸屏用1+个手指接触触摸屏时,来自放置另一个手指在触摸屏的触觉响应仅被提供给新近触摸的手指(而不是任何其他已经触摸的手指)。注意当用于触摸屏输入的相关性改变时,定义触觉反馈是否给予用户的区域也是如此。
现在参照图15C,示出的是依照本发明另一个实施例的***的一部分的方框图。如在图15C中所示,***1500被配置为基本上与图15A的***1500相同。然而在此注意,触觉生成器1560的存在。在图15C所示的实施例中,触觉生成器1560被实施为一种电场生成器例如电容触觉生成器以提供触觉反馈至用户。还要注意在这个实现方式中触摸屏控制器1550还包括被配置用来接收在逻辑1555中处理的有效触摸数据的触觉控制逻辑1565,并且响应于此而生成控制信号以提供给触觉生成器1560从而引起局部触觉反馈例如在用户触觉事件的特定局部区域中在显示器1530上的局部区域的激发,例如在显示器上放置额外的手指触摸。
在某些实施例中,光学扫描显示器可以被提供,其中光学扫描仪被集成至每个像素中,使得屏幕能够扫描用户输入例如手指触摸、名片和其他放置在其面上的视觉信息。在此描述的软触摸屏按键,连同触摸屏数据的分布式预处理,不论是在主显示区域内还是主显示区域外,可被用于触发显示器以激活光学扫描仪(如位于所选择像素内)并且捕获图像。以这种方式,因为其占空比这么低,所以扫描仪的功率消耗降低。作为不同的示例,这种扫描仪可被用于安保目的,例如,扫描指纹、消费目的例如扫描条形码、二维码(QR码),等等。同样,显示器可进一步仅照亮一个或多个所选局部触摸屏区域而不是整个显示器,着甚至进一步降低功率。这样,用户输入(例如,指纹)被用作一种安全机制。因此,当用户的手指位于所指示区域的上方时,指纹的局部扫描发生,其可包括照亮用于该局部区域的闪光。
同样通过局部照亮所选区域,至用户的对闪亮/照亮整个显示器而不是仅照亮手指或QR码被置于的局部区域的中断被避免。如果照亮破坏在该显示器其他部分运行的视频信息的观看体验,这是特别的情况。另一个示例用例是使用便携式计算设备例如智能电话或平板计算机、使用通过局部照亮以及扫描显示器的所选择输入区域进行的指纹授权来授权***、借记卡或其他金融交易。
此外,由用户输入、触摸预处理和光学扫描控制引起的中断可以在显示器/触摸屏/扫描仪模块组装内被局部地服务,从而避免由主机处理器执行的***级中断服务。并且进一步,这种接近显示器模块组装的分布式预处理与唤醒平台以用CPU上运行的主机固件服务该中断相比以更低功率消耗水平被完成。
作为一个这种示例,触摸控制器登记有效按键区域(对应于特定导航按键)内的触摸输入,并且触发用户指纹的局部光学扫描以实现指纹识别从而通过安全检查。由于此光学扫描是被联合映射至按键的触摸屏区域的局部事件,对主显示区域内指示用户的视频没有视觉影响(例如,亮度、激发、闪光)。在无效区域中其他地方的触摸输入可被触摸屏控制器遮蔽并且忽略。
现在参照图17,示出的是显示器1700的图示,其包括活动显示区域1710和包括多个软按键1715的虚拟边框区域1720,多个软按键1715中的每个被配置用来接收用户输入。如图17中进一步示出,活动显示区域1710为用户提供指令以通过第一软按键1715a输入指纹授权。当响应于用户放置手指在适当按键上而被激活时,执行扫描,其中仅该局部区域被照亮并且显示器1700的集成扫描仪执行用户指纹的局部扫描,使得能够例如在允许用户执行安全金融或其他交易之前实现安全/隐私策略。
因此显示器的或与显示器相关联的光学扫描仪的激活可以基于从联合映射的触摸屏区域接收的有效触摸屏输入而被控制以在显示器的局部区域内扫描,以减少平台功率。继而,一种光学扫描成像仪可以将从联合映射的区域捕获的图像报告给主机处理器。这种捕获的图像可能具有相关联的安全/隐私策略,其可能限制在所捕获图像上执行的特定活动,例如存储、传输、分析、或公开传播所捕获的图像。在某些实施例中,光学扫描仪可以基于分析人机交互的分组或组合来执行扫描,例如同时按下按键的组合。
实施例可进一步智能地确定何时适宜在副显示区域(如边框区域)中呈现内容。尽管本发明的范围不限于此方面,关于在这些区域中呈现内容的动态实时决策可以基于一个或多个下列准则:设备配置(例如,翻盖模式对平板模式);传感器信息例如触摸、压力、环境光和/或接近传感器或其组合以识别用户的存在以确定允许呈现的副显示区域的一个或多个部分;内容类型例如视频模式或游戏模式,其中全屏显示器使用副显示区域;以及主显示区域的状态(例如,活动、非活动或给定其他这种低功率状态)。
在某些实施例中,副显示区域可以包括多个独立区域(例如,左、右、顶和底),其中每个能够关于在这些区域内启用和/或禁用内容呈现,以及要在其中呈现的内容的类型而被独立地控制。
因此实施例基于如上述的一个或多个准则使内容在副显示区域的一个或多个部分中被呈现。要理解在其他的实施例中也可以考虑额外的准则。
用于智能地确定是否在边框或其他副显示区域上启用显示器呈现(并且假设是如此以确定用于呈现的适当内容)的逻辑可以位于***内的各种位置。作为一个这样的示例,位于处理器的一个或多个核内或在处理器的一个或多个核上执行的显示逻辑可以响应于各种不同的输入作出这样的决策。这些输入可以接收自各种传感器、输入设备和来自***其他位置的信息,包括在主显示区域呈现的内容。
在某些实施例中,显示逻辑可以包括登记实体以使该逻辑能够登记在这些传感器上发生的事件和包括设备配置、内容类型和主显示区域活动模式的其他信息。在这种登记后,显示逻辑的这个登记实体可以从这些源接收事件的指示。响应于事件的接收,决策向量可以被生成并且可由决策逻辑使用,决策逻辑可以是显示逻辑的一部分或位于处理器的其他部分中以生成关于就边框区域或其他副显示区域而言是否将启用显示器呈现的决策,并且如果是这样,合适的内容被显示在这样的位置。
在某些实施例中这个显示逻辑可以至少部分地在OS上下文内实施作为动态边框框架,其结合控制对针对主显示区域的内容呈现的管理的显示管理器而操作。在其他的实施例中,软件开发包(SDK)可被提供以使得应用能够向这种动态边框框架登记。例如,不同的应用可以向这个框架登记以指示针对使内容被呈现至副显示区域中的请求。也就是,各种应用例如用户级应用可例如通过SDK或其他机制向动态边框框架登记以使与给定应用相关联的适当内容能够在应用执行并且这样的应用的主要内容在主显示区域内的对应显示期间酌情被推入一个或多个副显示区域。
现在参照图18A,示出的是依照各种实施例动态控制在显示器不同区域中的内容呈现的图解说明。如在示例1810中所示,当基于翻盖的设备被在翻盖模式使用时,主显示区域1815呈现内容而副显示区域1817不呈现内容并且替代地充当边框。
在另一种模式中,例如,其中全屏视频被呈现,这个相同显示器可以被配置为没有边框区域,从而主显示区域和副显示区域二者作为单个用户界面以显示如图18B的示例1820中在显示区域1825处示出的全屏视频。
在图18C描述的又一个示例1830中,副显示区域1837可不呈现内容并且替代地作为边框同时主显示区域1835显示被呈现的内容。当设备用于平板模式而边框作为在两边上的触摸传感器(识别基于用户的触摸)时或在其中这个边框基于设备处于平板模式而被启用的情况下,这个示例可能发生。在其他实施例中,过滤可以包括关闭或禁用触摸屏的非活动区域中的触摸传感器。在这样的情况下,过滤仍然在外设控制器外部被执行,例如,通过触摸控制器。
在图18D中描述的又一个示例1840中,当被呈现的内容是全屏视频时,平板模式可能发生而没有边框。因此主显示区域和副显示区域两者被组合以提供单个用户界面1845。甚至在触摸输入被识别在平板的***部分的情况下这可能如此。
在图18E描述的又一个示例1850中,仅副显示区域可以被启用而主显示区域1855被控制处于低功率模式。因此在示例1850的说明中,独立副显示区域1856-1859可以被独立地控制以呈现内容,例如,用户可选的软按键以使能各种用户输入。
为了基于准则的组合实现可控的副显示区域呈现,唯一帧缓冲器可以被提供给不同的显示区域。在某些实施例中,例如可以在显示控制器中实施的动态边框框架层可以登记不同的决策向量(例如,设备配置、传感器、内容类型和显示状态)并且采取合适的动作为显示器呈现而启用/禁用副显示区域。此外,在某些示例中,多于两个的独立显示面板可以通过单个显示器被提供。例如,一个主显示区域和四个独立副显示器区域(每个对应于一个边框侧)可以提供独立驱动内容至这些不同的区域。同时,通过不同的控制,这五个独立显示面板可以被无缝地集成为单个主显示区域以实现全屏视频或其他单一内容呈现。
实施例可进一步提供能力以访问一个或多个副显示区域中的内容以使合适相关内容能够在主显示区域中被呈现以用于更大的观察。例如,边框显示区域中的应用快捷方式,当由用户触摸选择时,可使得相应应用能够利用主显示区域中的用户界面被启动。并且响应于这样的用户选择而使多个独立用户界面能够被显示在主显示区域内是可能的。
现在参照图19,示出的是依照一个实施例在副显示区域和主显示区域之间的无缝交互的图解说明。如在图19中所示,显示器1900包括主显示区域1910和副显示区域1920,其在示出的实施例中对应于边框区域。在这个副显示区域1920中,提供第一和第二应用快捷方式显示元素1922和1924,例如图标或其他应用标识符。当被用户选择时,例如,通过触摸,对应的应用可被启动并且用于相应应用的用户界面被显示在主显示区域1920的至少一部分中。因此如图19中所示,用于第一应用的第一用户界面1912被显示在主显示区域1920中,旁边是用于第二应用的第二用户界面1914。当然要理解,例如,在程序或其他控制下,仅单个应用用户界面被显示在主显示区域中是可能的。因此通过在边框区域显示区域中提供启用的应用快捷方式,可发生在主显示区域中的应用启动。
此外,将在副显示区域呈现的内容可以基于在主显示区域显示的内容的感知和/或设备情境的感知。例如,当浏览器应用正在主显示区域中执行时,副显示区域可以显示示出基于浏览器内容的数据的内容。当公司电子邮件/日历应用正在主显示区域执行时,副显示区域可以显示个人电子邮件通知。作为另一个示例,当E-reader应用在主显示区域内执行时,词典应用可以被显示在副显示区域。或者副显示区域可被用于显示定时、提供娱乐信息、或等等,而多媒体内容被显示在主显示区域内。此外,个人设置可被用于改变将在副显示区域中显示什么内容。在某些实施例中,不同的用户可以通过可控制的用户登录来提供该显示控制。
实施例可进一步提供在副显示区域中显示位置感知内容。例如,当***正在办公室位置被使用时,办公室新闻速递可被显示在副显示区域中。替代地,***正在家里被使用,副显示区域可以显示FacebookTM更新、电视节目定时等。当在另一个环境例如购物环境中时,例如在商场,副显示区域可以显示交易和/或商店信息。
实施例可进一步提供基于接近设备的感知在副显示区域中显示内容。例如,当***很接近移动站例如智能电话时,至该站的呼叫信息可以被显示在副显示区域中,例如,如由用户控制的会议或其他时间期间。以类似的方式,有关传入的短消息服务(SMS)消息、未接来电等的信息也可以被显示。
实施例可进一步提供在副显示区域中显示用户感知内容。例如,基于个人设置的内容可以在副显示区域内显示。例如,父亲使用***可以使该***被控制在副显示区域中显示新闻、股票行情、体育信息、至办公应用的快捷方式或等等。而母亲使用***可使时尚新闻、食谱、书评及其他东西被显示在副显示区域中。以及对于孩子使用***,可以使副显示区域显示动画人物、游戏快捷方式等等。
实施例进一步提供基于设备功率状态的感知显示内容。例如,当主显示器在低功率模式下处于非活动时,副显示区域可以被用于显示通知例如电子邮件通知、快捷方式例如应用快捷方式、或提供背光。
因此实施例提供框架以使得各种情境(例如,位置、用户、主要显示内容、设备情境等等)能够被用于确定关于如何在副显示区域呈现内容和什么内容要在副显示区域呈现的适当情境。该情境数据可被用于在内容决策逻辑中生成内容决策以确定适当内容,该适当内容继而可被传送至内容引擎以生成用于呈现的适当内容。使用实施例,对于给定用户,设备可被个性化。此外,用户可实现更长的电池寿命,因为显示器的主要部分可以被关闭同时仍使得能够通过副显示区域显示实时内容。
实施例可以被用于很多不同类型的***中。例如,在一个实施例中通信设备可被安排执行在此描述的各种方法和技术。当然,本发明的范围不限于通信设备,并且替代地,其他实施例可指向用于处理指令的其他类型的装置,或一个或多个机器可读介质包括指令,其响应于被在计算设备上执行而使该设备运行一个或多个在此描述的方法和技术。
以下示例涉及进一步的实施例。
在一个实施例中,一种***包括:外设控制器,用于与触摸控制器对接,并且传送映射信息至触摸控制器,其中该映射信息包括***的显示器的主区域和显示器的副区域的识别,该触摸控制器耦合至外设控制器并包括第一逻辑,当触摸数据对应于在副区域内的用户触摸时,过滤从触摸设备接收的触摸数据,并且当触摸数据对应于在主区域内的用户触摸时,传送该触摸数据至外设控制器;该触摸设备耦合至触摸控制器以接收用户触摸并且传送该触摸数据至触摸控制器;耦合至显示器的显示逻辑,用于基于***的配置、来自一个或多个环境传感器的信息、要被呈现的内容类型、以及主区域的模式中的一个或多个来控制显示器在副区域中呈现内容;以及耦合至显示逻辑的显示器,其中主区域中的显示内容独立于副区域中的显示内容。
在一个示例中,当触摸控制器执行触摸数据过滤时,外设控制器将处于低功率状态。当用户触摸处于副区域内时,外设控制器可以处于低功率状态。
在一个示例中,处理器包括至少一个核和该外设控制器。当触摸控制器执行触摸数据过滤时该处理器将处于低功率状态。该外设控制器将从在至少一个核上执行的***软件接收映射信息。
注意以上处理器可以使用各种方式实现。
在一个示例中,处理器包括被结合在用户装备触摸使能设备中的SoC。
在另一个示例中,***包括显示器和内存,并且包括一个或多个以上示例的处理器。
在一个示例中,显示器包括触摸屏,其包括耦合至触摸控制器的的触摸设备,外设控制器将无线传送映射信息至触摸控制器,其中该触摸控制器被包含在外部显示器中。
在一个示例中,被耦合至触摸控制器的内存将存储该映射信息,其中触摸控制器将访问内存中的映射信息以确定是否过滤该触摸数据。
在一个示例中,外设控制器将传送显示器的控制面板区域的识别至触摸控制器,并且当触摸数据在控制面板区域内时触摸控制器将传送触摸数据至外设控制器,并且否则过滤该触摸数据。***可以响应于触摸数据传送的接收而从低功率状态唤醒。
在一个示例中,副区域包括至少一个软按键,并且在外设控制器处于低功率状态的同时,当触摸数据在至少一个软按键内被接收时触摸控制器将使触觉反馈被提供给用户。触摸控制器将响应于在第一扫描区域内触摸数据的接收而启用在触摸设备的第一扫描区域内的光学扫描仪,并且响应于在第一扫描区域内触摸数据的接收而使第一扫描区域照亮。该触摸控制器可进一步在触摸设备的剩余部分处于低功率状态的同时启用在第一扫描区域内的光学扫描仪以及第一扫描区域照亮。
在一个实施例中,至少一个传感器用以感测用户的存在并且显示逻辑将接收至少一个传感器的输出并且至少部分基于该输出来控制主区域的第一尺寸和副区域的第二尺寸。显示逻辑可至少部分基于要在显示器上呈现的内容来控制第一尺寸和第二尺寸。当至少一个传感器感测第一用户的存在时,显示逻辑可使显示器在副区域中为该第一用户显示用户选择的内容。显示逻辑将控制副区域以显示至少一个应用快捷方式,并且响应于至少一个应用快捷方式的用户选择,控制主区域的至少一部分以显示用户所选择应用的用户界面。显示逻辑可以至少部分基于在主区域中呈现的第一内容来使显示器在副区域中呈现第二内容。显示逻辑可以基于与接近于该***的第二***的交互来使显示器呈现内容。当第二***接收呼叫时,显示逻辑可以使显示器在副区域中呈现呼叫信息,其少第二***包括智能电话。当该***处于全屏视频模式时,显示逻辑可使主区域和副区域显示单一用户界面。当主区域处于低功率状态时显示逻辑可以启动副区域。当主区域处于低功率状态时显示逻辑可以在副区域呈现通知内容。
在一个示例中,位置传感器被耦合至显示逻辑,其中当***处于第一位置时显示逻辑将使显示器在副区域中呈现第一内容,并且当***处于第二位置时使显示器在副区域中呈现第二内容,第一和第二位置由位置传感器检测。
在另一个示例中,耦合至触摸输入设备的控制器,该控制器包括过滤逻辑以:为触摸输入设备接收有效区域信息和无效区域信息中至少一个;在存储器中存储有效区域信息和无效区域信息中至少一个;以及从触摸输入设备接收触摸数据并且当触摸数据在触摸输入设备的无效区域内时过滤该触摸数据以防其被传送给耦合至控制器的主机处理器。
在一个示例中,当触摸数据在触摸输入设备的有效区域内时过滤逻辑将报告触摸数据至主机处理器。过滤逻辑可以访问在存储器中的无效区域信息以确定该触摸输入设备是否在无效区域内。有效区域包括至少一个在显示器上存在的软按键。
在另一个示例中,一种***,包括:SoC,其包括至少一个核、耦合至该至少一个核的外设控制器用以控制与耦合至SoC的至少一个外设设备的通信、以及功率控制器用以使该SoC能够进入或从低功率状态退出;HID用以从用户接收输入;以及HID控制器,耦合至HID以接收与用户输入相关联的数据并且包括第一逻辑,用以当用户输入处于HID的无效区域内时过滤该数据,并且当用户输入处于HID的有效区域内时传送数据至SoC,其中当用户输入在无效区域内时SoC将保持在低功率状态。
在一个示例中,触觉生成器将提供触觉反馈给用户,其中当用户输入在HID的有效区域内时HID控制器将使触觉生成器提供触觉反馈。光学扫描仪可以扫描用户的第二用户输入,其中HID控制器将响应于在HID的有效区域内的用户输入的接收而启用光学扫描仪。HID控制器可以响应于在HID的有效区域内的用户输入的接收而使有效区域照亮。
在另一个示例中,一种***,包括:触摸屏以显示用户界面,其包括在其中用户触摸信息将被处理的有效区域和在其中用户触摸信息将被丢弃的无效区域;以及触摸屏控制器,耦合至触摸屏以接收用户触摸信息并且包括逻辑以当用户触摸信息处于无效区域内时丢弃从触摸屏接收的该用户触摸信息,并且当用户触摸信息处于有效区域内时传送从触摸屏接收的该用户触摸信息。
该***可进一步包括外设控制器,其耦合至触摸屏控制器以从触摸屏控制器接收所传送的用户触摸信息,以及处理器,其耦合至外设控制器以从外设控制器接收所传送的用户触摸信息,并且处理所传送的用户触摸信息以确定由用户请求的动作,其中当该用户触摸信息被触摸屏控制器丢弃时处理器将保持在低功率状态。
在另一个示例中,一种***,包括:触摸屏,用以在主区域中显示第一用户界面以及在副区域中显示第二用户界面或不显示用户界面;触摸屏控制器,耦合至触摸屏并且包括第一逻辑,用以当触摸数据对应于在副区域内的用户触摸时过滤从触摸屏接收的触摸数据,并且当触摸数据对应于在主区域内的用户触摸时传送该触摸数据至耦合至触摸屏控制器的外设控制器。
该***可进一步包括多个传感器,其中每个感测一种环境参数并且生成与***在其中操作的环境相关的环境信息,耦合至多个传感器以接收环境信息的传感器控制器,其中该外设控制器被耦合至传感器控制器和触摸屏控制器以当触摸数据对应于在主区域内的用户触摸时从触摸屏控制器接收触摸数据,以及处理器,耦合至外设控制器以从外设控制器接收触摸数据并且处理该触摸数据以确定由用户请求的动作,其中当触摸数据由第一逻辑过滤时处理器保持在低功率状态。
在又一个示例中,一种***包括:触摸屏,用以在其中用户触摸将被处理的主区域中显示第一用户界面以及在其中用户触摸将被忽略的副区域中不显示用户界面,触摸屏控制器,耦合至触摸屏并且包括第一逻辑,该第一逻辑用以接收和存储包括主区域和副区域的识别的映射信息、至少部分基于该映射信息当触摸数据对应于在副区域内的用户触摸时过滤从触摸屏接收的触摸数据、以及至少部分基于该映射信息当触摸数据对应于在主区域内的用户触摸时传送该触摸数据至耦合至触摸屏控制器的SoC的外设控制器。
该***可进一步包括环境光传感器,用以检测***在其中操作的环境中环境光级别;传感器控制器,耦合至环境光传感器并且用以接收所检测的环境光级别,其中SoC被耦合至传感器控制器和触摸屏控制器。
在一个示例中,SoC包括多个核、耦合至多个核的外设控制器以控制与耦合至包括传感器控制器和触摸屏控制器的SoC的多个外设设备的通信,其中外设控制器将从传感器控制器接收所检测的环境光级别并且将所检测的环境光级别传送至触摸屏控制器以使触摸屏控制器能够基于此来控制触摸屏的操作参数,当触摸数据对应于在主区域内的用户触摸时外设控制器进一步传送该映射信息至触摸屏控制器并且从触摸屏控制器接收触摸数据。
SoC可进一步包括功率控制器以使SoC能够进入和从低功率状态退出,其中当对应于在副区域内的用户触摸的触摸数据在触摸屏控制器的第一逻辑中被过滤时功率控制器将使SoC保持在低功率状态,并且当对应于在主区域内的用户触摸的触摸数据被传送时使SoC退出低功率状态。
该***可进一步包括耦合至SoC以控制***功率消耗的PMIC。
在另一个示例中,包括指令的至少一个存储介质,所述指令在被执行时使***:在显示逻辑中接收来自第一应用的登记消息以指示在第一应用的执行期间第一应用可应用于***的显示器的副显示区域中的动态内容呈现,副显示区域与其中第一应用的用户界面将被呈现的主显示区域分离;在副显示区域列表上包括关于第一应用的信息;在第一应用的执行期间,接收在副显示区域中显示内容的请求;以及在用户界面在第一显示区域中被呈现的同时使显示器在副显示区域中呈现内容,所述内容独立于用户界面,其中在第二显示区域中的内容使用在副显示区域列表中的第一应用信息而被选择。
在又一个示例中,一种***包括:触摸设备,用以接收用户触摸输入以生成对应于用户触摸输入的触摸数据;耦合至该触摸设备的触摸控制器,其中该触摸控制器将接收映射信息以识别显示器的主区域和显示器的副区域,并且其中该触摸控制器包括第一逻辑用以当触摸数据对应于主区域内的触摸输入时传送触摸数据至外设控制器并且当触摸数据对应于在副区域内的触摸输入时过滤从触摸设备接收的触摸数据。
在一个示例中,触摸控制器将执行至少其中一个:当外设控制器处于低功率状态时从外设控制器接收映射信息并过滤触摸数据。
在一个示例中,在至少一个核上执行的***软件将提供映射信息至外设控制器。
在一个示例中,显示器可包括控制面板区域,其中当触摸数据对应于在控制面板区域内的用户触摸时,触摸控制器将传送触摸数据至外设控制器,不论控制面板区域是位于主区域还是副区域内。触摸控制器可以从外设控制器接收控制面板区域的识别。当触摸控制器发送触摸数据至外设控制器时***可退出低功率状态,并且当触摸控制器过滤该触摸数据时***可以保持在低功率状态。
在一个示例中,一种光学扫描仪被包括在触摸设备的第一扫描区域,其中当用户触摸第一扫描区域时触摸控制器将启用光学扫描仪,并且当用户触摸第一扫描区域时触摸控制器将使第一扫描区域被照亮。触摸控制器可以启用光学扫描仪并且使第一扫描区域被照亮而一个或多个外设控制器、处理器、或触摸设备的内存处于低功率状态。
在另一个示例中,***包括:HID,用以从用户接收输入;以及HID控制器,耦合至HID以接收与用户输入相关联的数据并且包括第一逻辑,所述第一逻辑用以当用户输入在HID的无效区域内时过滤数据,并且当用户输入在HID的有效区域时传送该数据至SoC。
在另一个示例中,一种方法包括在***的触摸控制器中接收用于***的触摸输入设备的有效区域信息以指示在其中用户触摸要被处理的有效区域以及用于触摸输入设备的无效区域信息以指示在其中用户触摸将被忽略的无效区域,将该有效区域信息和无效区域信息存储在耦合至触摸控制器的存储器中,在触摸控制器中接收来自触摸输入设备的第一触摸数据,第一触摸数据对应于在无效区域中的用户触摸,至少部分基于无效区域信息确定用户触摸是在无效区域中,并且过滤第一触摸数据以防其被传送至耦合至触摸控制器的主机处理器中,以及在触摸控制器中接收来自触摸输入设备的第二触摸数据,第二触摸数据对应于在有效区域中的用户触摸,至少部分基于有效区域信息确定用户触摸是在有效区域中,并且传送该第二触摸数据至主机处理器。
在另一个示例中,一种方法,包括:接收针对在***的触摸屏上显示第一用户界面的请求;指示触摸屏显示第一用户界面;以及向***的触摸控制器传送用于***的触摸输入设备的有效区域信息以指示在其中用户触摸将被处理的有效区域以及用于触摸输入设备的无效区域信息以指示在其中用户触摸将被忽略的无效区域,在从触摸输入设备接收到对应于在无效区域中的用户触摸的第一触摸数据时至少部分基于无效区域信息确定用户触摸处于无效区域中,并且过滤第一触摸数据以防其被传送至耦合至触摸控制器的主机处理器,以使主机处理器能够保持在低功率状态。
在另一个示例中,包括指令的计算机可读介质将执行任何以上示例的方法。
在另一个示例中,一种设备包括用于执行任何以上示例方法的装置。
要理解以上示例的各种组合是可能的。
一种设计会经历各种阶段,从创建到仿真到制造。代表一种设计的数据可以代表采用多种方式的设计。首先,因为在仿真中可用,可使用硬件描述语言或其他功能性描述语言表示硬件。此外,带逻辑和/或晶体管门的电路级模块可以在设计过程的相同阶段被生产。此外,在某阶段,大多数设计达到代表硬件模块中各种设备的物理放置的数据级。在使用传统半导体制造技术的情况下,代表硬件模型的数据可以是对被用于生产集成电路的模具指定在不同模具层上各种特征的存在或不存在的数据。在设计的任何代表中,数据可以被存储在任何形式的机器可读介质中。内存或磁或光存储器例如磁盘可以是机器可读介质以存储通过光学或电波调制或以其他方式被生成用于传输这种信息而传送的信息。当指示或载送代码或设计的电载波被传输时,在电子信号的拷贝、缓冲或重传被执行的意义上,生成新的拷贝。因此,通信供应商或网络供应商可在有形机器可读介质上至少临时地存储制品,例如被编码至载波的信息,实现本发明实施例的技术。
在现代处理器中,多个不同的执行单元被用于处理和执行各种代码和指令。并非所有的指令被平等创建因为一些更快完成而其他的可能需要若干个时钟周期来完成。指令的吞吐量越快,处理器的整体性能越好。因此越多指令执行尽可能快将是有利的。然而,某些指令具有很大的复杂性并且需要更多执行时间和处理资源。例如,存在浮点指令、加载/存储操作、数据移动等。
由于更多的计算机***被用于互连网、文档、和多媒体应用,额外的处理器支持已经随时间而引入。在一个实施例中,指令集可与一个或多个计算机体系结构相关联,包括数据类型、指令、寄存器架构、寻址模式、内存架构、中断和异常处理、以及外部输入和输出(I/O)。
在一个实施例中,指令集架构(ISA)可以通过一个或多个微架构实现,其包括用于执行一个或多个指令集的处理器逻辑和电路。相应地,具有不同微架构的处理器可共享公共指令集的至少一部分。例如,处理器、处理器,以及来自Sunnyvale CA的Advanced Micro Device公司的处理器几乎实施相同版本的x86指令集(具有已经加入新版本的某些扩展),但是具有不同的内部设计。类似地,由其他处理器开发公司例如ARM有限控股公司、MIPS、或它们的被许可方或采用方设计的处理器,可以共享公共指令集的至少一部分,但是可以包括不同的处理器设计。例如,ISA的相同寄存器架构可以在不同的微架构中以不同的方式使用新的或公知的技术实现,包括专用物理寄存器、使用寄存器重命名机制(例如,使用寄存器别名表(RAT))的一个或多个动态分配的物理寄存器、重排序缓冲器(ROB)和废除寄存器文件。在一个实施例中,寄存器可以包括一个或多个寄存器、寄存器架构、寄存器文件、或其他可以或不可以由软件程序员寻址的寄存器集。
在一个实施例中,指令可以包括一个或多个指令格式。在一个实施例中,指令格式可以指示各种字段(位数、位的位置、等)以尤其指定要被执行的操作以及该操作将对其执行的操作数(一个或多个)。某些指令格式可进一步打破由指令模板(或子格式)的定义。例如,给定指令格式的指令模板可以被定义为具有指令格式字段的不同子集和/或定义为具有被不同地解释的给定字段。在一个实施例中,指令使用指令格式(并且,如果被定义,以该指令格式的指令模板中给定一个)表示并且指定或指示操作和该操作将操作于的操作数。
科学、金融、自动矢量通用目的、RMS(识别、挖掘和合成)、以及视觉和多媒体应用(例如,2D/3D图形、图像处理、视频压缩/解压缩、声音识别算法和音频操控)可需要在大量数据项上执行的相同操作。在一个实施例中,单指令多数据(SIMD)指的是使处理器在多个数据元素上执行操作的指令类型。SIMD技术可以被用在能逻辑地划分寄存器中的位为多个固定大小或可变大小的数据元素的处理器中,其中每个数据元素代表单独值。例如,在一个实施例中,64位寄存器中的位可以被组织为包含4个单独16位数据元素的源操作数,每个代表单独的16位值。这种类型的数据可以被称作为“封装”数据类型或“向量”数据类型,并且这种数据类型的操作数被称作为封装的数据操作数或向量操作数。在一个实施例中,封装数据项或向量可以是在单个寄存器中存储的封装数据元素的序列,并且封装数据操作数或向量操作数可以是SIMD指令的源或目的操作数(或‘封装数据指令’或‘向量指令’)。在一个实施例中,SIMD指令指定要在两个源向量操作数上执行的单向量操作以生成相同或不同尺寸的、具有相同或不同数量数据元素、并且以相同或不同数据元素次序的目的向量操作数(也被称为结果向量操作数)。
SIMD技术,例如由具有包括x86、MMXTM技术的指令集、流SIMD扩展(SSE)、SSE2、SSE3、SSE4.1和SSE4.2指令的处理器、例如具有包括向量浮点(VFP)和/或NEON指令的指令集的处理器家族的ARM处理器以及例如由中国科学院计算技术学院(ICT)研发的龙芯处理器家族的MIPS处理器采用,在应用性能上已取得显著改进(CoreTM和MMXTM是CalifSanta Clara的英特尔集团的注册商标或商标)。
在一个实施例中,目的和源寄存器/数据是表示相应数据或操作的源和目的的一般术语。在某些实施例中,它们可以由寄存器、内存、或其他具有不同于所描述的那些名字或功能的其他名字或功能的存储区域实施。例如,在一个实施例中,“DEST1”可以是临时存储寄存器或其他存储区域,而“SRC1”和“SRC2”可以是第一和第二源存储寄存器或其他存储区域,等等。在其他实施例中,两个或多个SRC和DEST存储区域可对应于在相同存储区域内的不同的数据存储元素(例如,SIMD寄存器)。在一个实施例中,其中一个源寄存器也可以作为目的寄存器,例如,通过回写在第一和第二源数据上执行的操作的结果至作为目的寄存器的两个源寄存器中的一个。
如在此所用模块指任何硬件、软件、和/或固件的组合。作为一个示例,模块包括硬件,例如微控制器,与一种非临时介质相关以存储被适配由微控制器执行的代码。因此,引用一种模块,在一个实施例中,指硬件,其特别地被配置用来识别和/或执行保存在非临时介质上的代码。此外,在另一个实施例中,使用模块指包括代码的非临时介质,其特别地被适配由微控制器执行以执行预定操作。以及正如可以被推断,在其他的实施例中,术语模块(在该示例中)可以指微控制器和非临时介质的组合。被描述为一般地分开的模块边界通常变化以及潜在地重叠。例如,第一和第二模块可共享硬件、软件、固件、或其组合,而潜在地保留一些独立硬件、软件、或固件。在一个实施例中,使用术语逻辑包括硬件,例如晶体管、寄存器、或其他硬件,例如可编程逻辑设备。
使用术语“被配置用来”在一个实施例中,指安排、放在一起、生产、提供销售、进口和/或设计一种装置、硬件、逻辑、或元件以执行指定或确定的任务。在该示例中,不是正在操作的其装置或元件仍“被配置用来”执行指定任务,如果其被设计、耦合、和/或互连以执行所述指定任务。纯粹作为一个说明性示例,逻辑门可以在操作过程中提供0或1。但是“被配置用来”提供使能信号至时钟的逻辑门并不包括可提供1或0的每个潜在的逻辑门。而是,该逻辑门是以某种方式耦合以在操作过程中1或0输出将使能该时钟的一个逻辑门。再次注意使用术语‘被配置用来’不需要操作,而是专注于装置、硬件、和/或元件的潜在状态,其中在潜在状态该装置、硬件、和/或元件被设计用来当该装置、硬件、和/或元素在操作时执行特定的任务。
此外,使用术语‘能够/可用于’和或‘可操作’,在一个实施例中,指某一装置、逻辑、硬件、和/或元件以这样一种方式设计以实现使用该装置、逻辑、硬件、和/或元件以利用该功能而无需修改装置、逻辑、硬件、和/或元件。注意上述使用能够或可操作,在一个实施例中,指装置、逻辑、硬件、和/或元件的一种潜在状态,其中该装置、逻辑、硬件、和/或元件不操作但是以这种方式设计以启动功能的使用而无需修改。
如在此所用的值,包括数目、状态、逻辑状态、或二进制逻辑状态的任何已知表示。通常,逻辑等级、逻辑值、或逻辑的值的使用也被称为1’s和0’s,其简单地表示二进制逻辑状态。例如,1指高逻辑级而0指低逻辑级。在一个实施例中,存储单元,例如晶体管或闪存单元,可保持单逻辑值或多逻辑值。然而,计算机***中值的其他表示已经被使用。例如十进制数10也可以被表示成二进制值1010和十六进制字母A。因此,值包括任何能够在计算机***中被保持的信息的表示。
此外,状态可以由值或部分值表示。作为一个示例,第一值,例如逻辑1,可以表示默认或初始状态,而第二值,例如逻辑0,可以表示非默认状态。此外,术语重置和设置,在一个实施例中,分别指默认和更新值或状态。例如,默认值潜在地包括高逻辑值,即,重置,而更新值潜在地包括低逻辑值,即,设置。注意值的任何组合可以被用于表示任何数目的状态。
以上阐述的方法、硬件、软件、固件或代码的实施例可以通过存储在可由处理元件执行的机器可访问、机器可读、计算机可访问、或计算机可读介质上的指令或代码实现。非临时机器可访问/可读介质包括以机器可读形式提供(即,存储和/或传输)信息的任何机制,机器例如计算机或电子***。例如,非临时机器可访问介质包括随机访问存储器(RAM),例如静态RAM(SRAM)或动态RAM(DRAM);ROM;磁或光存储介质;闪存设备;电存储设备;光存储设备;声学存储设备;用于保持从临时(传播的)信号(例如,载波、红外信号、数字信号)接收的信息的其他形式存储设备等,所述信号将与可以从其接收信息的非临时介质区分。
被用于编程逻辑以执行本发明实施例的指令可被存储在***的内存中,例如DRAM、缓存、闪存、或其他存储器。此外,指令可通过网络或通过其他计算机可读介质分发。因此机器可读介质可以包括用于以机器(例如,计算机)可读形式存储或发送信息的任何机制,但是不限于,软盘、光盘、压缩盘、只读存储器(CD-ROM)、以及磁光盘、只读存储器(ROM)、随机访问存储器(RAM)、可擦除可编程只读存储器(EPROM)、电可擦除可编程只读存储器(EEPROM)、磁或光卡、闪存、或用于经由电、光、声学或其他形式传播信号(例如,载波、红外信号、数字信号、等)通过因特网传输信息的有形机器可读存储器。相应地,该计算机可读介质包括适于以机器(例如,计算机)可读形式存储或发送电子指令或信息的任可类型的有形机器可读介质。
贯穿整个说明书引用“一个实施例”或“实施例”意味着结合该实施例所描述的特定特征、结构、或特点被包括在本发明至少一个实施例中。因此,贯穿该说明书在各种位置出现的术语“在一种实施例中”或“在实施例中”不必都指向相同的实施例。此外,特定的特征、结构、或特点可以以合适的方式组合在一个或多个实施例中。
尽管已经参照有限数目的实施例描述了本发明,本领域技术人员将意识到由此可以做出许多修改和变化。目的是所附权利要求覆盖所有这种落在本发明的真正精神和范围内的修改和变化。

Claims (18)

1.一种计算***,包括:
包括至少一个核和外设控制器的主处理器,其中外设控制器耦合到主处理器,
其中所述外设控制器进一步配置用于与触摸控制器对接且用于从所述主处理器接收映射信息且将所述映射信息传送到所述触摸控制器,
其中所述映射信息包括用于标识所述***的显示器的主区域和所述显示器的副区域的坐标信息,所述主区域包括活动触摸输入区域,所述副区域包括非活动触摸输入区域;
耦合到所述触摸控制器的存储器,所述存储器配置用于存储所述映射信息,
其中所述触摸控制器被耦合到所述外设控制器,其中所述触摸控制器包括第一逻辑,用于当触摸数据对应于在所述副区域内的用户触摸时,使用所述存储器中的所述映射信息来过滤从触摸屏接收的触摸数据以防止被传送到所述外设控制器, 并且当所述触摸数据对应于在所述主区域内的用户触摸时,传送该触摸数据至所述外设控制器,
所述触摸屏耦合到所述触摸控制器,所述第一逻辑进一步被配置为当所述触摸数据在所述显示器的控制面板区域内时传送所述触摸数据到所述外设控制器,不然就过滤所述触摸数据,其中所述触摸数据与所述映射信息分离,其中当触摸控制器执行触摸数据过滤时,所述主处理器将处于低功率状态,
且其中所述主处理器在所述低功率状态下不活动,其中耦合到所述触摸控制器的所述触摸屏被配置为接收所述用户触摸并且传送该触摸数据至触摸控制器;和
显示逻辑,耦合到所述主处理器,该显示逻辑被配置为基于***的配置、来自一个或多个环境传感器的信息、要被显示的内容类型、 以及主区域的模式中的一个或多个来控制显示器在所述副区域中显示内容,其中所述主区域中的内容与所述副区域中的内容互相独立。
2.如权利要求1 的计算***,其中当触摸控制器执行触摸数据过滤时,外设控制器将处于低功率状态。
3.如权利要求1 的计算***,其中当用户触摸处于副区域内时,外设控制器将处于低功率状态。
4.如权利要求1 的计算***,其中外设控制器将从在至少一个核上执行的 ***软件接收映射信息。
5.如权利要求1 的计算***,其中外设控制器将传送显示器的控制面板区域的识别至触摸控制器,并且当触摸数据在控制面板区域内时,该触摸控制器将传输触摸数据至外设控制器,并且否则过滤触摸数据,并且***将响应于触摸数据传送的接收而从低功率状态唤醒。
6.如权利要求1 的计算***,其中副区域包括至少一个软按键,并且在外设控制器处于低功率状态的同时,当触摸数据在至少一个软按键内被接收时触摸控制器将使触觉反馈被提供给用户。
7.如权利要求1 的计算***,其中触摸控制器将响应于在第一扫描区域内触摸数据的接收而启用在触摸屏的第一扫描区域内的光学扫描仪,并且响应于在第一扫描区域内触摸数据的接收而使第一扫描区域照亮,并且在触摸屏的剩余部分处于低功率状态的同时启用在第一扫描区域内的光学扫描仪以及第一扫描区域照亮。
8.如权利要求1 的计算***,还包括:
至少一个传感器,用于感测用户的存在;
其中所述显示逻辑要接收至少一个传感器的输出,并且至少部分基于所述输出来控制主区域的第一尺寸和副区域的第二尺寸。
9.如权利要求8 的计算***,其中当至少一个传感器感测第一用户的存在时,显示逻辑将使显示器在副区域为该第一用户显示用户选择的内容。
10.如权利要求1 的计算***,其中显示逻辑将控制副区域以显示至少一个应用快捷方式,并且响应于至少一个应用快捷方式的用户选择,控制主区域的至少一部分以显示用户所选择应用的用户界面。
11.如权利要求1 的计算***,其中显示逻辑将基于与接近于该***的第 二***的交互来使显示器呈现内容。
12.如权利要求11 的计算***,其中当第二***接收呼叫时,显示逻辑将使显示器在副区域中呈现呼叫信息,该第二***包括智能电话。
13.如权利要求1 的计算***,其中当主区域处于低功率状态时显示逻辑将启用副区域,并且当主区域处于低功率状态时在副区域中呈现通知内容。
14.如权利要求1 的计算***,还包括耦合至显示逻辑的位置传感器,其中当***处于第一位置时显示逻辑将使显示器在副区域中呈现第一内容,并且当***处于第二位置时使显示器在副区域中呈现第二内容,第一和第二位置由位置传感器检测。
15.一种计算***,包括:
触摸屏,用以在主区域中显示第一用户界面以及在副区域中显示第二用户界面或不显示用户界面;
触摸屏控制器,耦合至触摸屏,其中所述触摸屏控制器包括第一逻辑以当触摸数据对应于在副区域内的用户触摸时过滤从触摸屏接收的触摸数据,并且
当触摸数据对应于在主区域内的用户触摸时传送该触摸数据至耦合至触摸屏控制器的外设控制器,所述第一逻辑进一步被配置用于当所述触摸数据在所述触 摸屏的控制面板区域内时传送所述触摸数据,并且不然就对所述触摸数据进行过滤,其中所述触摸屏控制器进一步被配置用于接收并存储来自处理器的映射信息, 所述映射信息包括用于标识所述主区域和所述副区域的坐标信息;
多个传感器,配置用于感测一种环境参数并且用于在***操作之处生成环境信息;耦合至多个传感器的传感器控制器,配置用于接收环境信息; 其中该外设控制器用以当触摸数据对应于在主区域内的用户触摸时接收从触摸屏控制器传送的触摸数据,并且其中所述外设控制器并不接收所述过滤的触摸数据;以及
耦合至外设控制器的所述处理器配置用于从外设控制器接收触摸数据并且处理该触摸数据以确定由用户请求的动作,其中当触摸数据由第一逻辑过滤时处理器保持在低功率状态, 所述处理器包括所述外设控制器和至少一个核。
16.一种计算方法,包括:
接收针对在***的触摸屏上显示第一用户界面的请求;
指示触摸屏显示第一用户界面;以及
向***的触摸控制器传送用于***的所述触摸屏的有效区域信息以指示在其中用户触摸将被处理的有效区域以及用于所述触摸屏的无效区域信息以指示在其中用户触摸将被忽略的无效区域,其中响应于从所述触摸屏接收到对应于在无效区域中的用户触摸的第一触摸数据,该触摸控制器将至少部分基于无效区域信息确定用户触摸处于无效区域中,并且过滤第一触摸数据以防止被传送至耦合至触摸控制器的主处理器,以使主处理器能够保持在低功率状态。
17.一种计算机可读介质,所述计算机可读介质具有存储在其上的指令,所述指令在被执行时使计算设备执行按照权利要求16 所述的方法。
18.一种计算***,包括:
触摸屏,被配置为显示用户界面,所述用户界面包括要处理的用户触摸信息所处的有效区域和要丢弃的所述用户触摸信息所处的无效区域;
被耦合到所述触摸屏的触摸屏控制器,被配置为从触摸屏接收用户触摸信息,其中所述触摸屏控制器包括逻辑,用于当用户触摸信息在无效区域内时丢弃从所述触摸屏接收到的用户触摸信息,当所述用户触摸信息在所述有效区域内时传送从所述触摸屏接收到的用户触摸信息,所述逻辑进一步被配置为当所述用户触摸信息在所述触摸屏的控制面板区域内时传送所述用户触摸信息,不然就过滤所述用户触摸信息;
耦合到所述触摸屏控制器的外设控制器,配置用于接收从所述触摸屏控制器传送的用户触摸信息;和
耦合到所述外设控制器的处理器,所述处理器被配置用于接收从所述外设 控制器传送的用户触摸信息并用于处理所传送的用户触摸信息以确定所述用户所请求的动作,其中所述处理器用于当用户触摸信息被所述触摸屏控制器丢弃时保持在所述处理器不活动的低功率状态,并且其中所述处理器包括外设控制器和至少一个核。
CN201380018727.6A 2013-01-06 2013-09-19 用于触摸数据和显示区域控制的分布式预处理的方法、装置和*** Active CN104364750B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361749386P 2013-01-06 2013-01-06
US61/749386 2013-01-06
PCT/US2013/060506 WO2014107197A1 (en) 2013-01-06 2013-09-19 A method, apparatus, and system for distributed pre-processing of touch data and display region control

Publications (2)

Publication Number Publication Date
CN104364750A CN104364750A (zh) 2015-02-18
CN104364750B true CN104364750B (zh) 2019-07-16

Family

ID=51062408

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380018727.6A Active CN104364750B (zh) 2013-01-06 2013-09-19 用于触摸数据和显示区域控制的分布式预处理的方法、装置和***

Country Status (9)

Country Link
US (1) US9927902B2 (zh)
JP (1) JP5981023B2 (zh)
KR (2) KR101951729B1 (zh)
CN (1) CN104364750B (zh)
DE (1) DE112013001305T5 (zh)
GB (1) GB2514971B (zh)
RU (1) RU2595760C2 (zh)
TW (1) TWI540473B (zh)
WO (1) WO2014107197A1 (zh)

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9542016B2 (en) 2012-09-13 2017-01-10 Apple Inc. Optical sensing mechanisms for input devices
US10373149B1 (en) 2012-11-12 2019-08-06 Square, Inc. Secure data entry using a card reader with minimal display and input capabilities having a display
KR101919796B1 (ko) * 2013-01-11 2018-11-19 엘지전자 주식회사 휴대 단말기 및 그 제어 방법
JP5987732B2 (ja) * 2013-03-04 2016-09-07 株式会社デンソー 車両用電子機器
US9881058B1 (en) 2013-03-14 2018-01-30 Google Inc. Methods, systems, and media for displaying information related to displayed content upon detection of user attention
US9753436B2 (en) 2013-06-11 2017-09-05 Apple Inc. Rotary input mechanism for an electronic device
KR20220116337A (ko) 2013-08-09 2022-08-22 애플 인크. 전자 디바이스용 촉각 스위치
US9411364B2 (en) * 2013-10-28 2016-08-09 Htc Corporation Electronic assembly and electronic apparatus
US9613353B1 (en) 2013-12-26 2017-04-04 Square, Inc. Passcode entry through motion sensing
US20150212700A1 (en) * 2014-01-28 2015-07-30 Microsoft Technology Licensing, Llc Dashboard with panoramic display of ordered content
WO2015122885A1 (en) 2014-02-12 2015-08-20 Bodhi Technology Ventures Llc Rejection of false turns of rotary inputs for electronic devices
US20150261280A1 (en) * 2014-03-17 2015-09-17 Mediatek Inc. Apparatuses and methods for waking a display with an adjustable power level to detect touches thereon
US9594429B2 (en) 2014-03-27 2017-03-14 Apple Inc. Adjusting the level of acoustic and haptic output in haptic devices
US20150277506A1 (en) 2014-03-29 2015-10-01 Bok Eng Cheah Micro-hinge for an electronic device
JP6287536B2 (ja) * 2014-04-23 2018-03-07 京セラドキュメントソリューションズ株式会社 画像形成システム
US10282063B1 (en) * 2014-05-20 2019-05-07 Google Llc Permanent multi-task affordance for tablets
RU2608881C2 (ru) * 2014-05-28 2017-01-25 Общество С Ограниченной Ответственностью "Яндекс" Способ и система для управления турборежимом
US9389703B1 (en) * 2014-06-23 2016-07-12 Amazon Technologies, Inc. Virtual screen bezel
US10190891B1 (en) 2014-07-16 2019-01-29 Apple Inc. Optical encoder for detecting rotational and axial movement
US9797752B1 (en) 2014-07-16 2017-10-24 Apple Inc. Optical encoder with axially aligned sensor
KR102209145B1 (ko) * 2014-08-18 2021-01-29 삼성디스플레이 주식회사 표시 장치
US10066970B2 (en) 2014-08-27 2018-09-04 Apple Inc. Dynamic range control for optical encoders
US9797753B1 (en) 2014-08-27 2017-10-24 Apple Inc. Spatial phase estimation for optical encoders
KR20230097197A (ko) 2014-09-02 2023-06-30 애플 인크. 웨어러블 전자 디바이스
US10416750B2 (en) * 2014-09-26 2019-09-17 Qualcomm Incorporated Algorithm engine for ultra low-power processing of sensor data
KR20160045233A (ko) * 2014-10-16 2016-04-27 삼성디스플레이 주식회사 표시 장치 및 표시 장치 제어 방법
US20150049251A1 (en) * 2014-10-28 2015-02-19 YENOUKOUME Serge BOKO DAGOUN Portable computing device
KR102251542B1 (ko) * 2014-11-25 2021-05-14 엘지전자 주식회사 이동 단말기 및 그것의 제어 방법
US9547776B2 (en) * 2014-12-08 2017-01-17 Microsoft Technology Licensing, Llc Managing access permissions to class notebooks and their section groups in a notebook application
US10152442B2 (en) 2015-02-27 2018-12-11 Google Llc Multi-function ports on a computing device
KR101981729B1 (ko) * 2015-02-27 2019-05-24 구글 엘엘씨 컴퓨터의 다중 기능 포트
US10282337B2 (en) 2015-02-27 2019-05-07 Google Llc Multi-function ports on a computing device
US20160259544A1 (en) * 2015-03-04 2016-09-08 Artem Polikarpov Systems And Methods For Virtual Periphery Interaction
KR101940943B1 (ko) 2015-03-05 2019-01-21 애플 인크. 방향 종속 광학 특성을 갖는 광학 인코더
KR102163612B1 (ko) 2015-03-08 2020-10-08 애플 인크. 회전 및 병진 가능한 입력 메커니즘을 갖는 시계
CN106462351B (zh) * 2015-03-19 2019-11-12 华为技术有限公司 触摸事件处理方法、装置及终端设备
US10416520B2 (en) * 2015-03-20 2019-09-17 Hewlett-Packard Development Company, L.P. Display with adjustable transparency
US9952682B2 (en) * 2015-04-15 2018-04-24 Apple Inc. Depressible keys with decoupled electrical and mechanical functionality
KR102385101B1 (ko) 2015-04-24 2022-04-13 삼성디스플레이 주식회사 플렉서블 표시장치 및 이의 구동방법
US10018966B2 (en) 2015-04-24 2018-07-10 Apple Inc. Cover member for an input mechanism of an electronic device
CN104866406B (zh) * 2015-05-07 2018-05-01 广东欧珀移动通信有限公司 一种基于指纹识别的提示方法及终端
US20170024010A1 (en) 2015-07-21 2017-01-26 Apple Inc. Guidance device for the sensory impaired
US10152905B2 (en) * 2015-07-31 2018-12-11 Chromera, Inc. Symbol verification for an intelligent label device
US11442618B2 (en) 2015-09-28 2022-09-13 Lenovo (Singapore) Pte. Ltd. Flexible mapping of a writing zone to a digital display
CN105487706A (zh) * 2015-11-24 2016-04-13 致象尔微电子科技(上海)有限公司 一种基于触摸屏的数据处理装置
KR102476017B1 (ko) * 2016-01-05 2022-12-09 삼성전자주식회사 생체 정보를 이용한 인증 방법 및 장치
KR102480086B1 (ko) * 2016-01-11 2022-12-23 삼성디스플레이 주식회사 디스플레이 장치 및 그 제조방법
US20170220307A1 (en) * 2016-02-02 2017-08-03 Samsung Electronics Co., Ltd. Multi-screen mobile device and operation
US9891651B2 (en) 2016-02-27 2018-02-13 Apple Inc. Rotatable input mechanism having adjustable output
US10025938B2 (en) * 2016-03-02 2018-07-17 Qualcomm Incorporated User-controllable screen privacy software
RU170151U1 (ru) * 2016-04-19 2017-04-17 Айдар Хайдарович Сабитов Устройство usb-зарядки гаджетов в салонах пассажирских транспортных средств
US10945087B2 (en) * 2016-05-04 2021-03-09 Lenovo (Singapore) Pte. Ltd. Audio device arrays in convertible electronic devices
US10585480B1 (en) 2016-05-10 2020-03-10 Apple Inc. Electronic device with an input device having a haptic engine
US10551798B1 (en) 2016-05-17 2020-02-04 Apple Inc. Rotatable crown for an electronic device
TWI721319B (zh) * 2016-06-10 2021-03-11 美商利魁得股份有限公司 資料儲存系統中的多埠中介件架構
KR102523482B1 (ko) * 2016-06-15 2023-04-19 에스케이플래닛 주식회사 디지털사이니지장치 및 그 동작 방법
US10283087B2 (en) * 2016-06-15 2019-05-07 Sk Planet Co., Ltd. Digital signage device and method for operating the same
US10649529B1 (en) 2016-06-28 2020-05-12 Apple Inc. Modification of user-perceived feedback of an input device using acoustic or haptic output
US10061399B2 (en) 2016-07-15 2018-08-28 Apple Inc. Capacitive gap sensor ring for an input device
US10019097B2 (en) 2016-07-25 2018-07-10 Apple Inc. Force-detecting input structure
US10845878B1 (en) 2016-07-25 2020-11-24 Apple Inc. Input device with tactile feedback
KR20180014644A (ko) 2016-08-01 2018-02-09 삼성전자주식회사 터치 인식 방법 및 이를 수행하는 전자 장치
WO2018026155A1 (en) * 2016-08-01 2018-02-08 Samsung Electronics Co., Ltd. Method and electronic device for recognizing touch
KR102545602B1 (ko) 2016-08-01 2023-06-20 삼성전자주식회사 전자 장치 및 그의 동작 방법
US10372214B1 (en) * 2016-09-07 2019-08-06 Apple Inc. Adaptable user-selectable input area in an electronic device
US10782796B2 (en) * 2016-11-16 2020-09-22 Magic Leap, Inc. Mixed reality system with reduced power rendering
US11178432B2 (en) * 2016-11-17 2021-11-16 Intel Corporation Multi-use fog device
KR102649009B1 (ko) * 2016-12-20 2024-03-20 삼성전자주식회사 디스플레이 장치 및 그 제어 방법
US10331282B2 (en) 2016-12-30 2019-06-25 Qualcomm Incorporated Highly configurable front end for touch controllers
US10175839B2 (en) 2016-12-30 2019-01-08 Qualcomm Incorporated Highly configurable front end for touch controllers
US10318070B2 (en) * 2017-02-15 2019-06-11 Honeywell International Inc. Touch detector with a code debugger
KR102673224B1 (ko) 2017-02-23 2024-06-10 삼성전자주식회사 전자 장치 및 전자 장치 제어 방법
US10437359B1 (en) 2017-02-28 2019-10-08 Apple Inc. Stylus with external magnetic influence
US10176147B2 (en) * 2017-03-07 2019-01-08 Qualcomm Incorporated Multi-processor core three-dimensional (3D) integrated circuits (ICs) (3DICs), and related methods
KR20180103400A (ko) * 2017-03-09 2018-09-19 삼성전자주식회사 터치 스크린 컨트롤러, 터치 스크린 장치 및 터치 스크린 컨트롤러의 동작 방법
CN108664279B (zh) * 2017-03-29 2021-05-18 上海耕岩智能科技有限公司 一种基于指纹识别同步启动应用的方法和装置
CN106990908B (zh) * 2017-04-06 2020-06-16 广州视源电子科技股份有限公司 一种局部触摸屏蔽方法、装置、***、设备及存储介质
US10795842B2 (en) 2017-05-08 2020-10-06 Liqid Inc. Fabric switched graphics modules within storage enclosures
US10664074B2 (en) 2017-06-19 2020-05-26 Apple Inc. Contact-sensitive crown for an electronic watch
CN107484145B (zh) * 2017-07-14 2022-07-29 曾仲林 一种cisc设备及cisc设备的通信方法
US10962935B1 (en) 2017-07-18 2021-03-30 Apple Inc. Tri-axis force sensor
US10775889B1 (en) 2017-07-21 2020-09-15 Apple Inc. Enclosure with locally-flexible regions
US10768747B2 (en) 2017-08-31 2020-09-08 Apple Inc. Haptic realignment cues for touch-input displays
US11054932B2 (en) 2017-09-06 2021-07-06 Apple Inc. Electronic device having a touch sensor, force sensor, and haptic actuator in an integrated module
US10725722B1 (en) * 2017-09-08 2020-07-28 Apple Inc. Modular multiple display electronic devices
US10556252B2 (en) 2017-09-20 2020-02-11 Apple Inc. Electronic device having a tuned resonance haptic actuation system
JP7174337B2 (ja) * 2017-09-25 2022-11-17 株式会社村田製作所 錯触力覚デバイス
US10768738B1 (en) 2017-09-27 2020-09-08 Apple Inc. Electronic device having a haptic actuator with magnetic augmentation
US10553974B2 (en) * 2017-09-29 2020-02-04 Intel Corporation Thermal solution on latch for sodimm connector
RU180783U1 (ru) * 2017-11-07 2018-06-22 Акционерное общество "Т-Платформы" (АО "Т-Платформы") Электронно-вычислительная машина
CN108008963B (zh) * 2017-12-22 2020-07-24 联想(北京)有限公司 一种适配eink设备的应用处理方法及***
RU181880U1 (ru) * 2017-12-29 2018-07-26 Федеральное государственное бюджетное образовательное учреждение высшего образования "Рязанский государственный радиотехнический университет" Устройство для оценки параметров распределения времени запаздывания возникновения разряда
CN108345559B (zh) * 2018-02-09 2020-02-28 京东方科技集团股份有限公司 虚拟现实数据输入装置和虚拟现实设备
KR102413936B1 (ko) * 2018-02-21 2022-06-28 삼성전자주식회사 스위치를 갖는 디스플레이를 포함하는 전자장치
US10922780B2 (en) 2018-04-10 2021-02-16 Graphisoft Se Method to distribute the drawing calculation of architectural data elements between multiple threads
US11353967B2 (en) 2018-05-31 2022-06-07 Arkh Litho Holdings, LLC Interacting with a virtual environment using a pointing controller
US11360440B2 (en) 2018-06-25 2022-06-14 Apple Inc. Crown for an electronic watch
US10942571B2 (en) 2018-06-29 2021-03-09 Apple Inc. Laptop computing device with discrete haptic regions
US10755666B2 (en) 2018-07-27 2020-08-25 Qualcomm Incorporated Content refresh on a display with hybrid refresh mode
US11561515B2 (en) 2018-08-02 2023-01-24 Apple Inc. Crown for an electronic watch
CN209560398U (zh) 2018-08-24 2019-10-29 苹果公司 电子表
US11181863B2 (en) 2018-08-24 2021-11-23 Apple Inc. Conductive cap for watch crown
CN209625187U (zh) 2018-08-30 2019-11-12 苹果公司 电子手表和电子设备
US10936071B2 (en) 2018-08-30 2021-03-02 Apple Inc. Wearable electronic device with haptic rotatable input
US11194298B2 (en) 2018-08-30 2021-12-07 Apple Inc. Crown assembly for an electronic watch
US10613678B1 (en) 2018-09-17 2020-04-07 Apple Inc. Input device with haptic feedback
US10966007B1 (en) 2018-09-25 2021-03-30 Apple Inc. Haptic output system
CN113168257B (zh) * 2018-11-22 2024-04-12 华为技术有限公司 锁定触控操作的方法及电子设备
US10585827B1 (en) 2019-02-05 2020-03-10 Liqid Inc. PCIe fabric enabled peer-to-peer communications
US11194299B1 (en) 2019-02-12 2021-12-07 Apple Inc. Variable frictional feedback device for a digital crown of an electronic watch
KR20210136043A (ko) 2019-02-18 2021-11-16 에이알케이에이치 리쏘 홀딩스, 엘엘씨 포인팅 제어기를 사용하는 스마트 디바이스와의 상호작용
US11538800B2 (en) * 2019-02-20 2022-12-27 Chengdu Boe Optoelectronics Technology Co., Ltd. Display device having a heat dissipation layer with a gap separation portion and manufacturing method thereof
JP2020177074A (ja) * 2019-04-16 2020-10-29 株式会社デンソー 車両用装置、車両用装置の制御方法
CN110187813A (zh) * 2019-05-09 2019-08-30 深圳报业集团控股公司 一种触摸感应交互***及交互方法
DE102019118965A1 (de) * 2019-07-12 2021-01-14 Workaround Gmbh Nebengerät für ein Sensor- und/oder Informationssystem sowie Sensor- und/oder Informationssystem
WO2021021112A2 (en) * 2019-07-29 2021-02-04 Hewlett-Packard Development Company, L.P. A display device
CN114887323B (zh) * 2019-09-18 2022-12-27 华为技术有限公司 一种电子设备操控方法及电子设备
JP2022550106A (ja) 2019-09-24 2022-11-30 アーク, インコーポレイテッド スマートリング
US20220350372A1 (en) * 2019-10-29 2022-11-03 Hewlett-Packard Development Company, L.P. Operating states based on lid positions and device movements
US11361644B2 (en) 2019-12-18 2022-06-14 Texas Instruments Incorporated Duty cycle tuning in self-resonant piezo buzzer
US20230030973A1 (en) * 2020-01-10 2023-02-02 Hewlett-Packard Development Company, L.P. Change of firmware settings
TWI731562B (zh) * 2020-01-21 2021-06-21 英業達股份有限公司 主機板擴充插槽的配置設置方法、系統、裝置及電腦可讀取媒體
US11755111B2 (en) 2020-03-16 2023-09-12 Arkh, Inc. Spatially aware computing hub and environment
US11263883B2 (en) 2020-04-16 2022-03-01 Texas Instruments Incorporated System-on-chip for smoke alarm
US11373016B2 (en) * 2020-04-30 2022-06-28 Flytech Technology Co., Ltd. Interactive information system with modular structure
US11550268B2 (en) 2020-06-02 2023-01-10 Apple Inc. Switch module for electronic crown assembly
KR20210150779A (ko) 2020-06-04 2021-12-13 에스케이하이닉스 주식회사 위임된 작업을 처리하는 메모리 시스템 및 동작 방법
US11635786B2 (en) 2020-06-11 2023-04-25 Apple Inc. Electronic optical sensing device
US11024135B1 (en) 2020-06-17 2021-06-01 Apple Inc. Portable electronic device having a haptic button assembly
US11789565B2 (en) * 2020-08-18 2023-10-17 Intel Corporation Lid controller hub architecture for improved touch experiences
WO2022046092A1 (en) * 2020-08-31 2022-03-03 Hewlett-Packard Development Company, L.P. Control of voltage regulator phase circuits using temperatures
USD1009861S1 (en) 2020-09-25 2024-01-02 Arkh, Inc. Smart ring
CN112181877B (zh) * 2020-10-28 2022-06-21 瑞芯微电子股份有限公司 一种显示变频方法和***
CN114428638A (zh) 2020-10-29 2022-05-03 平头哥(上海)半导体技术有限公司 指令发射单元、指令执行单元、相关装置和方法
US11455015B2 (en) * 2020-11-08 2022-09-27 Lenovo (Singapore) Pte. Ltd. Computing system
CN113407249B (zh) * 2020-12-29 2022-03-22 重庆邮电大学 一种面向位置隐私保护的任务卸载方法
CN112764618A (zh) * 2021-01-22 2021-05-07 维沃移动通信有限公司 界面操作方法、装置、设备及存储介质
US20220350366A1 (en) * 2021-05-03 2022-11-03 Asustek Computer Inc. All-in-one computer
CN113420245B (zh) * 2021-05-11 2023-10-27 上海幻电信息科技有限公司 页面显示方法及***
US11809640B2 (en) * 2021-12-09 2023-11-07 Htc Corporation Method for detecting movement of ring controller, ring controller, and computer readable medium
TWI804163B (zh) * 2022-01-18 2023-06-01 新唐科技股份有限公司 麥克風陣列
CN115145645B (zh) * 2022-09-01 2022-11-25 南京芯驰半导体科技有限公司 一种支持多操作***的触摸屏控制方法、***及芯片
TWI831473B (zh) * 2022-11-15 2024-02-01 瑞昱半導體股份有限公司 半導體裝置及其布局方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101303872A (zh) * 2008-03-25 2008-11-12 杭州赛利科技有限公司 多媒体播放器中播放菜单的组织管理方法和***

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11275405B2 (en) * 2005-03-04 2022-03-15 Apple Inc. Multi-functional hand-held device
EP1460499A1 (de) 2003-03-17 2004-09-22 Trumpf Werkzeugmaschinen GmbH + Co. KG Bedienungsoberfläche einer Werkzeugmaschine
US20070152977A1 (en) * 2005-12-30 2007-07-05 Apple Computer, Inc. Illuminated touchpad
WO2005029460A1 (en) * 2003-08-21 2005-03-31 Microsoft Corporation Focus management using in-air points
WO2005067604A2 (en) * 2004-01-05 2005-07-28 Oqo Incorporated Docking station for mobile computing device
JP2005295399A (ja) * 2004-04-02 2005-10-20 Smk Corp タッチパネル入力装置
US7511682B2 (en) * 2004-05-03 2009-03-31 Microsoft Corporation Context-aware auxiliary display platform and applications
JP2006053678A (ja) * 2004-08-10 2006-02-23 Toshiba Corp ユニバーサルヒューマンインタフェースを有する電子機器
CN101133385B (zh) * 2005-03-04 2014-05-07 苹果公司 手持电子设备、手持设备及其操作方法
KR101651975B1 (ko) 2005-03-04 2016-08-29 애플 인크. 다기능 휴대용 장치
KR100696284B1 (ko) 2005-05-30 2007-03-19 이종찬 전자기기에 대해 보조표시장치 및 보조입력장치의 기능을수행하는 보조장치
CN101228570B (zh) * 2005-07-22 2010-05-19 马特·帕尔拉科夫 可用拇指操作的触摸屏用户界面的***和方法
JP2007065050A (ja) * 2005-08-29 2007-03-15 Kyocera Mita Corp 表示装置及びこれを備えた画像形成装置
JP2006094565A (ja) * 2005-12-08 2006-04-06 Sharp Corp 電話機能内蔵携帯情報端末
CN101395565B (zh) * 2005-12-30 2012-05-30 苹果公司 以不同模式操作的手持装置及其操作方法
US7509588B2 (en) * 2005-12-30 2009-03-24 Apple Inc. Portable electronic device with interface reconfiguration mode
KR20080023832A (ko) * 2006-09-12 2008-03-17 삼성전자주식회사 휴대 단말기용 터치 스크린 및 그의 절전 방법
KR100829111B1 (ko) * 2006-11-27 2008-05-16 삼성전자주식회사 휴대단말기 및 그 제어방법
US20110047459A1 (en) * 2007-10-08 2011-02-24 Willem Morkel Van Der Westhuizen User interface
US20090174679A1 (en) 2008-01-04 2009-07-09 Wayne Carl Westerman Selective Rejection of Touch Contacts in an Edge Region of a Touch Surface
US8645827B2 (en) * 2008-03-04 2014-02-04 Apple Inc. Touch event model
US8205157B2 (en) * 2008-03-04 2012-06-19 Apple Inc. Methods and graphical user interfaces for conducting searches on a portable multifunction device
US20100177053A2 (en) * 2008-05-09 2010-07-15 Taizo Yasutake Method and apparatus for control of multiple degrees of freedom of a display
KR100974409B1 (ko) 2008-07-03 2010-08-05 배정관 금속기와 마감부재 제조장치
KR101479240B1 (ko) 2008-07-14 2015-01-06 삼성전자 주식회사 공기조화기 및 그 제어방법
KR101546774B1 (ko) * 2008-07-29 2015-08-24 엘지전자 주식회사 휴대 단말기 및 그 동작제어 방법
CN101650628B (zh) * 2008-08-14 2011-07-27 鸿富锦精密工业(深圳)有限公司 具有触摸显示屏的电子装置及其控制方法
US20100053151A1 (en) * 2008-09-02 2010-03-04 Samsung Electronics Co., Ltd In-line mediation for manipulating three-dimensional content on a display device
KR101554183B1 (ko) * 2008-10-15 2015-09-18 엘지전자 주식회사 이동 단말기 및 그의 출력 제어 방법
TWI393046B (zh) * 2008-10-23 2013-04-11 Chun Wei Chu 雙顯示螢幕可攜式電子閱讀器與作業系統
JP5174616B2 (ja) * 2008-10-27 2013-04-03 シャープ株式会社 携帯電話
US8650290B2 (en) * 2008-12-19 2014-02-11 Openpeak Inc. Portable computing device and method of operation of same
US20100157990A1 (en) 2008-12-19 2010-06-24 Openpeak, Inc. Systems for providing telephony and digital media services
KR101626818B1 (ko) * 2008-12-24 2016-06-02 엘지전자 주식회사 이동단말기의 터치영역 제어장치 및 방법
US20100245286A1 (en) * 2009-03-25 2010-09-30 Parker Tabitha Touch screen finger tracking algorithm
US8370762B2 (en) * 2009-04-10 2013-02-05 Cellco Partnership Mobile functional icon use in operational area in touch panel devices
KR20100118366A (ko) 2009-04-28 2010-11-05 삼성전자주식회사 휴대 단말기의 터치스크린 운용 방법 및 이를 지원하는 휴대 단말기
US20120191542A1 (en) * 2009-06-24 2012-07-26 Nokia Corporation Method, Apparatuses and Service for Searching
US8832574B2 (en) * 2009-06-30 2014-09-09 Nokia Corporation Apparatus and associated methods
KR101555063B1 (ko) * 2009-07-07 2015-09-23 삼성전자주식회사 이동단말기의 다중 화면 서비스를 위한 시스템 및 방법
KR101710545B1 (ko) * 2009-11-05 2017-02-27 엘지전자 주식회사 이동 단말기 및 이를 이용한 정보 제공 방법
KR20110061285A (ko) * 2009-12-01 2011-06-09 삼성전자주식회사 휴대용 디바이스 및 이의 터치 패널 운용 방법
TWI390440B (zh) 2010-03-18 2013-03-21 Acer Inc 視窗切換方法及系統
US8327296B2 (en) * 2010-04-16 2012-12-04 Google Inc. Extended keyboard user interface
US8966401B2 (en) * 2010-05-03 2015-02-24 Lg Electronics Inc. Electronic device and methods of sending information with the electronic device, controlling the electronic device, and transmitting and receiving information in an information system
US8552999B2 (en) * 2010-06-14 2013-10-08 Apple Inc. Control selection approximation
KR20120004279A (ko) 2010-07-06 2012-01-12 김민한 착용형 인지력 향상 시스템
KR20120005803A (ko) 2010-07-09 2012-01-17 양선아 재활용품 분리수거대
US8388146B2 (en) * 2010-08-01 2013-03-05 T-Mobile Usa, Inc. Anamorphic projection device
US20120038571A1 (en) * 2010-08-11 2012-02-16 Marco Susani System and Method for Dynamically Resizing an Active Screen of a Handheld Device
JP5653184B2 (ja) 2010-11-11 2015-01-14 三菱電機株式会社 画像処理装置及び方法
KR20120058037A (ko) * 2010-11-29 2012-06-07 삼성전자주식회사 터치스크린 단말기에서 입력 방법 및 장치
KR101351421B1 (ko) * 2010-12-16 2014-01-14 엘지디스플레이 주식회사 광학식 터치 입력 장치 및 이의 구동 방법
JP5813991B2 (ja) 2011-05-02 2015-11-17 埼玉日本電気株式会社 携帯端末、入力制御方法及びプログラム
US9134807B2 (en) * 2012-03-02 2015-09-15 Microsoft Technology Licensing, Llc Pressure sensitive key normalization
KR20120042799A (ko) * 2012-03-19 2012-05-03 김태상 소프트웨어적으로 활성화되는 베젤을 가진 테블릿피씨
US9268433B2 (en) * 2012-06-08 2016-02-23 Apple Inc. Devices and methods for reducing power usage of a touch-sensitive display
TW201351227A (zh) 2012-06-15 2013-12-16 Acer Inc 觸控面板的操作方法與電子裝置
US9128712B2 (en) * 2012-08-10 2015-09-08 Blackberry Limited Electronic device including touch-sensitive display and method of detecting touches
EP2936383A4 (en) * 2012-12-20 2016-08-24 Intel Corp ACCELERATED OBJECT DETECTION FILTER USING VIDEO MOTION ESTIMATION MODULE
KR102072582B1 (ko) * 2012-12-31 2020-02-03 엘지전자 주식회사 듀얼 디스플레이 방법 및 장치

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101303872A (zh) * 2008-03-25 2008-11-12 杭州赛利科技有限公司 多媒体播放器中播放菜单的组织管理方法和***

Also Published As

Publication number Publication date
KR20160143891A (ko) 2016-12-14
CN104364750A (zh) 2015-02-18
KR20140138224A (ko) 2014-12-03
GB201417057D0 (en) 2014-11-12
US9927902B2 (en) 2018-03-27
JP2015512545A (ja) 2015-04-27
US20140327630A1 (en) 2014-11-06
GB2514971A (en) 2014-12-10
RU2595760C2 (ru) 2016-08-27
RU2014139598A (ru) 2016-04-20
GB2514971B (en) 2021-04-14
DE112013001305T5 (de) 2014-12-31
TWI540473B (zh) 2016-07-01
TW201439848A (zh) 2014-10-16
JP5981023B2 (ja) 2016-08-31
KR101951729B1 (ko) 2019-02-26
WO2014107197A1 (en) 2014-07-10

Similar Documents

Publication Publication Date Title
CN104364750B (zh) 用于触摸数据和显示区域控制的分布式预处理的方法、装置和***
CN104050114B (zh) 同步端口进入低功率状态的***、方法和设备
CN104813286B (zh) 用于代码区的连续自动调整的方法、装置、***
CN104063290B (zh) 处理超时的***、方法和装置
CN104981815B (zh) 用于用安全属性限制cpu事务的方法、装置和***
CN108701109A (zh) 用于计算机扩展总线的插件机制的方法、装置和***
TWI524184B (zh) 用於在分散式記憶體組織架構中處理位址衝突之方法、設備及系統
CN104850777B (zh) 使用认证的清单来实现对多处理器平台的外部确认
CN105027444B (zh) 集成时钟差分缓冲
CN105264513B (zh) 用于过程返回地址验证的***和方法
CN107924380A (zh) 使用业务类别分配高速缓存的方法、装置和***
CN110532212A (zh) 用于有效***组件管理的dvsec的***、方法和装置
CN109634899A (zh) 针对pcie进行sris模式选择的***、方法和装置
CN108027785A (zh) 用于对链路拆分进行去偏斜的方法、设备和***
CN105830053A (zh) 用于快速配置机制的装置、方法和***
CN109074341A (zh) 减少引脚计数接口
CN107003971A (zh) 用于高性能互连中的嵌入式流通道的方法、装置、***
CN107005231A (zh) 用于在高性能互连中定中心的方法、设备、***
CN109643299A (zh) 在具有现有tlp定义的pcie上的持久存储器写入语义
CN110121703A (zh) 用于向量通信的***和方法
CN107567614A (zh) 用于对根据关键度被分组的指令的缕程的执行的多核处理器
CN109643577A (zh) 用于存储器训练的电参数的多维优化
CN108694154A (zh) 用于选择数据元素的硬件加速器
CN108228484A (zh) 针对处理器中的高速缓存利用的无效读取
Cohen et al. Intel embedded hardware platform

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant