CN103173741A - 成膜装置 - Google Patents

成膜装置 Download PDF

Info

Publication number
CN103173741A
CN103173741A CN2012104574078A CN201210457407A CN103173741A CN 103173741 A CN103173741 A CN 103173741A CN 2012104574078 A CN2012104574078 A CN 2012104574078A CN 201210457407 A CN201210457407 A CN 201210457407A CN 103173741 A CN103173741 A CN 103173741A
Authority
CN
China
Prior art keywords
mentioned
gas
film deposition
deposition system
space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2012104574078A
Other languages
English (en)
Inventor
辻德彦
诸井政幸
泽地淳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN103173741A publication Critical patent/CN103173741A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C66/00General aspects of processes or apparatus for joining preformed parts
    • B29C66/70General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material
    • B29C66/71General aspects of processes or apparatus for joining preformed parts characterised by the composition, physical properties or the structure of the material of the parts to be joined; Joining with non-plastics material characterised by the composition of the plastics material of the parts to be joined

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种成膜装置,通过在真空容器内,多次执行交替地供给第一反应气体和第二反应气体并排气的循环,而在基板的表面将薄膜成膜,其特征在于,具有:设置在上述真空容器内、各自包含基板的载置区域的多个下部件;与上述多个下部件分别对置地设置,在与上述载置区域之间形成处理空间的多个上部件;用于向上述处理空间内供给气体的、第一反应气体供给部、第二反应气体供给部;以及用于在供给上述第一反应气体的时刻和供给第二反应气体的时刻之间供给吹扫气体的吹扫气体供给部;沿上述处理空间的周向形成,用于连通该处理空间内和作为该处理空间的外部的上述真空容器内的环境气氛的排气用开口部;用于将上述处理空间经由上述排气用开口部以及上述真空容器内的环境气氛进行真空排气的真空排气机构。

Description

成膜装置
本申请是国际申请日为2009年09月29日、申请号为200980113887.2(国际申请号为PCT/JP2009/066937)、发明名称为“成膜装置”的发明申请的分案申请。
技术领域
本发明涉及一种通过多次实行将第一反应气体和第二反应气体交替地供给、排气的循环,而将反应生成物的层层叠多个形成薄膜的成膜装置。
背景技术
作为半导体制造工艺中的成膜方法,公知有如下成膜工艺,即在真空环境气氛下向作为基板的半导体晶片(以下称为“晶片”)等的表面供给第一反应气体并使该第一反应气体吸附到该表面之后,将供给的气体切换为第二反应气体,通过两气体的反应在基板上形成一层或者多层原子层或分子层,通过多次进行该循环,将上述层层积,由此进行向基板上的成膜。该工艺例如被称为ALD(Atomic Layer Deposition:原子层沉积)或MLD(Molecular Layer Deposition:分子层沉积)等,能够根据循环数量高精度地控制膜厚,同时膜质的面内均匀性也良好,是能够应对半导体器件的薄膜化的有效方法。
作为这样的成膜工艺优选的例子,例如列举有在栅极氧化膜所使用的高电介质膜的成膜。列举一例,在将氧化硅膜(SiO2膜)成膜时,作为第一反应气体(原料气体)例如使用双叔丁基氨基硅烷(以下,称为“BTBAS”),作为第二反应气体,使用例如氧气等。
作为实施上述这样的成膜工艺的装置,利用在真空容器的上部中央具有气体喷头的单张的成膜装置。而且,研究了从基板的中央部上侧供给反应气体,未反应的反应气体和反应副生成物从处理容器的底部被排出这样的方式。但是,上述的成膜工艺由于利用吹扫气体的气体置换需要较长时间,另外循环数例如还达到数百次,所以处理时间耗费较长。而且,由于每处理一张基板,就需要进行对处理容器内的基板的搬入搬出、处理容器内的真空排气等,所以伴随着这些动作的时间损耗也大。
在此,如日本特许3144664号公报(尤其是图1、图2、权利要求1)和日本特开2001-254181号公报(尤其是图1、图2)所记载的,公知有例如在圆形的载置台上沿周向载置多张基板,使该载置台边旋转边对该载置台上的基板交替地供给气体,在各基板上进行成膜这样的装置。例如日本特许3144664号公报所记载的成膜装置中,沿载置台的周向分开设有供给相互不同的反应气体的多个处理空间。另一方面,在日本特开2001-254181号公报所记载的成膜装置中,在载置台的上方设有沿载置台径向延伸出向载置台喷出不同的反应气体的例如两个反应气体供给喷嘴。然后,通过使载置台旋转,使该载置台上的基板在上述多个处理空间内至上述反应气体喷嘴的下方空间内通过,对各基板交替地供给反应气体进行成膜。在上述的成膜装置中,没有反应气体的吹扫工序,并且能够用一次的搬入搬出动作、真空排气动作处理多张基板。因此,削减了伴随着这些工序、动作的时间,提高了生产量。
但是,随着近年来的基板的大型化,例如半导体晶片(以下,称为晶片)的情况下对直径达到300mm的基板进行成膜处理。由此,若在通用的载置台上载置多个晶片,则邻接的晶片彼此之间所形成的间隙会变得比较大,导致从反应气体供给喷嘴向该间隙也供给反应气体,无助于成膜的反应气体的消耗量增大。
另外,例如,使直径300mm的圆盘状的晶片的一端外切地载置到从载置台的中心到绘制半径150mm的圆的位置,使该载置台以60rpm的速度旋转。此时,载置台的周向的晶片的移动速度,在载置台的中央侧和周缘侧之间约3倍不同。因此,通过反应气体供给喷嘴的下方的晶片的速度也根据位置最大3倍不同。
在此,从反应气体供给喷嘴供给的反应气体的浓度针对载置台的径向为一定时,随着通过该喷嘴之下的晶片的速度变快,能够参与在晶片表面成膜的反应气体的量变少。因此,要使得在通过反应气体供给喷嘴的下方的速度最快的载置台的周缘部的位置处的晶片表面能得到成膜所需的反应气体浓度那样地,决定从该喷嘴供给的反应气体的量。但是,如果与通过速度最快的载置台的周缘部的所需量匹配地供给反应气体,则会向比该周缘部移动速度慢的内侧的区域供给高于所需量的浓度的反应气体,从而未参与成膜的反应气体就直接被排出了。在此,虽然用于ALD等的原料气体多是使液体原料气化,或者使固体原料升华而得到的,但这些原料昂贵。因此,在使上述的载置台旋转的方式的成膜装置中,晶片的生产量虽然提高了,但还存在超过成膜所需量地消耗了昂贵的反应气体这样的缺点。
发明内容
本发明是基于这样的情况而做出的,其目的在于,提供一种提高了生产量并抑制了反应气体的消耗的成膜装置。
本发明为一种成膜装置,该成膜装置在真空容器内利用反应气体对基板进行成膜处理,该成膜装置的特征在于,该成膜装置具有:下部件,该下部件设置在上述真空容器内,包含基板的载置区域;上部件,该上部件设置在该下部件的上方侧,为了在该上部件和上述载置区域之间形成处理空间而将与该载置区域对置的面形成为凹状,并且在与上述下部件的载置区域的外侧之间形成有用于对处理空间的压力或者处理空间内的上述反应气体的滞留时间的至少一方进行调整的间隙;气体供给部,该气体供给部用于向上述处理空间至少供给反应气体;升降机构,该升降机构为了调整上述间隙的大小而使上述下部件相对于上部件相对地升降;以及真空排气机构,该真空排气机构用于经上述间隙以及真空容器内的环境气氛对上述处理空间进行真空排气。
本发明为一种成膜装置,其通过在真空容器内,多次执行将第一反应气体和第二反应气体交替地供给并排气的循环,来使上述反应气体发生反应而在基板的表面上将薄膜成膜,其特征在于,具有:多个下部件,它们设置在上述真空容器内,各自包含基板的载置区域;多个上部件,它们分别与上述多个下部件对置地设置,在与上述载置区域之间形成处理空间;第一反应气体供给部以及第二反应气体供给部,它们用于向上述处理空间内分别供给第一反应气体和第二反应气体;吹扫气体供给部,其用于在供给上述第一反应气体的时刻和供给上述第二反应气体的时刻之间,向上述处理空间内供给吹扫气体;排气用开口部,其沿上述处理空间的周向形成,用于连通该处理空间内和作为该处理空间的外部的上述真空容器内的环境气氛;真空排气机构,其用于经上述排气用开口部以及上述真空容器内的环境气氛对上述处理空间进行真空排气。
根据本发明,构成为在通过交替地将第一反应气体和第二反应气体供给到基板通过所谓的ALD(或者MLD)进行成膜的装置中,使包含载置区域的下部件与上部件对置并在两者之间形成处理空间,同时,将多组上述下部件和上部件配置在通用的真空容器内,通过排气用开口部对上述处理空间进行真空排气。由此,与准备可载置多张基板的大型的旋转台并在该旋转台的上表面侧设置通用的处理空间的情况相比较,能够缩小总计的处理空间的容积。而且,不会向不参与成膜的区域供给反应气体,能够减少成膜处理所需的反应气体的供给量。其结果,能够减少成膜所需的成本。另外,若总计的处理空间的容积小,所以还能够减少对该处理空间的反应气体的供给时间和排气时间,总的成膜时间变短。即,对于提高成膜装置的生产能力也能有贡献。
优选地,上述上部件的内周面从上部向下方形成为逐渐扩展的形状。
另外,优选地,上述排气用开口部由在上述上部件的下缘和下部件之间沿周向形成的间隙形成。
另外,优选地,在上述上部件的中央部形成有用于供给第一反应气体、第二反应气体以及吹扫气体的气体供给口。
另外,优选地,多组上述上部件和上述下部件沿真空容器的周向配置。
另外,优选地,还具有通用的旋转机构,其使沿上述真空容器的周向配置的多组上述上部件和上述下部件沿该周向一体地旋转,通过在上述真空容器的侧壁面设置的交接口而能够在该真空容器的外部的基板搬送机构和上述载置区域之间交接基板。
另外,优选地,还具有升降机构,为了形成在上述真空容器的外部的基板搬送机构和上述载置区域之间进行基板的交接的间隙,而使上述下部件相对于上述上部件相对地升降。此外,上述升降机构也可以设置为针对多个上述下部件通用。
附图说明
图1是本发明的一实施方式的成膜装置的纵向剖视图。
图2是表示本实施方式的成膜装置的内部的概略构成的立体图。
图3是本实施方式的成膜装置的横向剖视图。
图4是表示本实施方式的成膜装置中的处理区域的纵向剖视图。
图5是表示构成图4的处理区域的顶板部件的仰视图。
图6是喷射器的纵向剖视图。
图7是本实施方式的成膜装置的气体供给路径图。
图8是本实施方式的成膜装置的第一作用图。
图9A是本实施方式的成膜装置的第二作用图。
图9B是本实施方式的成膜装置的第二作用图。
图10A是利用本实施方式的成膜装置进行的成膜处理的气体供给顺序图。
图10B是利用本实施方式的成膜装置进行的成膜处理的气体供给顺序图。
图11是表示气体从歧管部朝向处理空间去的情况的说明图。
图12是本实施方式的成膜装置的第三作用图。
图13A是本实施方式的成膜装置的作用的说明图。
图13B是本实施方式的成膜装置的作用的说明图。
图13C是本实施方式的成膜装置的作用的说明图。
图14A是表示成膜装置的变形例的横向剖视俯视图。
图14B是表示图14A的成膜装置的纵向侧剖视图。
图15是表示成膜装置的其他变形例的纵向侧剖视图。
图16是表示载置台以及顶板部件的其他例子的纵向侧剖视图。
图17A是表示顶板部件的另外的例子的说明图。
图17B是表示顶板部件的另外的例子的说明图。
图18A是表示载置台的另外的例子的说明图。
图18B是表示载置台的另外的例子的说明图。
图19是表示成膜装置的另外的例子的纵向侧剖视图。
图20是表示成膜装置的其他例子的纵向侧剖视图。
图21A是表示歧管部的另外一例的说明图。
图21B是表示歧管部的另外一例的说明图。
图22是被支承部支承的成膜装置的外观立体图。
图23A是底板的仰视侧透视图。
图23B的保持部的俯视侧透视图。
图24是表示成膜装置的真空容器的底板的下降动作的作用图。
图25是表示被从真空容器的下方空间拉出的载置台以及底板的立体图。
图26是卸下了底板的真空容器的仰视透视图。
图27是具有成膜装置的真空处理装置。
具体实施方式
如图1(沿着图2的I-I’线的纵向剖视图)~图3所示,本发明的一实施方式的成膜装置具有:平面形状大致为圆形的扁平的真空容器1;设置在该真空容器1内,并沿该真空容器1的周向配置的多个、例如5个载置台2;设置在与各载置台2相对置的位置上,用于在与该载置台2之间形成处理空间的上部件、即顶板部件22。载置台2,在该例中是具有基板的载置区域的下部件。真空容器1构成为能够将顶板11以及底板14与侧壁部12分离。顶板11和底板14通过密封部件例如O型密封圈13维持气密状态,并且通过螺丝等未图示的紧固件固定到侧壁部12。
在将顶板11、底板14从侧壁部12分离时,可以用未图示的驱动机构提升顶板11,并利用后述的升降机构使底板14下降。
载置台2例如是由铝或镍等构成的圆形的板部件,该载置台2的直径比作为被本成膜装置处理的基板的例如直径300mm的晶片W形成得大一圈。如图4所示,在各载置台2的上表面设置凹部26,成为用于载置晶片W的载置区域(载置面)。另外,在各载置台2中埋设有用于加热载置面上的晶片W的、例如由片状的电阻发热体构成的成为加热机构的工作台加热器21。由此,在由未图示的电源部供给的电力的作用下,能够将载置台2上的晶片W加热到例如300℃~450℃左右。另外,也可以根据需要在载置台2内设置未图示的静电卡盘,将载置台2上所载置的晶片W静电吸附并固定。此外,在图3中,为了方便起见,仅在一个载置台2上绘制了晶片W。
各载置台2在底面侧的中央部被支承支架23支承。这些支承支架23的基端侧与沿垂直方向贯通底板14的中央部的支柱24的顶部连接。在本例中,例如5个支承支架23的前端侧为了支承载置台2而沿真空容器1的径向大致水平地伸出,邻接的支承支架23彼此在周向上隔开大致等角度的间隔呈放射状配置。其结果,如图2以及图3所示,被支承支架23的前端部支承的载置台2成为在支柱24的周围沿着真空容器1的周向等间隔地配置的状态。此外,各载置台2的中心位于以支柱24为中心的圆的圆周上。
贯通底板14的支柱24的下端侧与驱动部51连接。由此,能够使经支承支架23与该支柱24连接的全部载置台2同时上下地升降。即,在本例中,支承支架23、支柱24、驱动部51构成各载置台2的通用的升降机构。另外,驱动部51还具有作为能够使支柱24围绕铅垂轴例如旋转一圈的旋转机构的作用。由此,能够使被支承支架23支承的载置台2围绕该铅垂轴在周向上移动。另外,图1所示的套筒25是发挥收容支柱24并维持真空容器1的气密状态的作用的部件。另外,磁性封条18是发挥气密地划分被该支柱24和套筒25包围的空间内的环境气氛和真空容器1内的环境气氛的作用的部件。
如图2、图3所示,在真空容器1的侧壁部12形成有搬送口15,该搬送口15是在作为外部的基板搬送机构的搬送臂101与各载置台2之间进行晶片W交接的交接口。该搬送口15通过未图示的闸阀进行开闭。各载置台2通过使支柱24旋转而在真空容器1内沿周向移动,并能够在面对搬送口15的位置依次停止。在该位置,能够进行晶片W相对于各载置台2的交接。该交接位置的下方侧的底板14上设有例如3根升降销16,该升降销16通过设置在各载置台2的未图示的贯通孔而从该载置面出没,将晶片W从背面侧举起来进行搬送臂101和各载置台2之间的交接。升降销16的底部被升降板53支承。通过利用驱动部52使该升降板53上下,而能够使升降销16整体升降。波纹管17覆盖升降销16并与底板14的底面和升降板53连接,发挥维持真空容器1内的气密状态的作用。
在真空容器1的顶板11的下表面,与前述的载置台2同样地,以在真空容器1的中心周围沿周向排列的方式,固定与载置台2数目相同的例如5个顶板部件22,构成5个组(载置台2和顶板部件22的组)。在进行成膜时,各顶板部件22分别与1个载置台2对置而形成处理空间20。如上所述,载置台2以支柱24为中心沿周向可移动地构成,因此在使这些载置台2停止在预定好的位置(以下,将该位置称为“处理位置”)的情况下,与顶板部件22各自对应的载置台2对置。
如图4所示,各顶板部件22具有主体部分22a和套筒22b,该主体部分22a具有形成圆锥形状的空间的凹状的面(喇叭形状的凹部),其中,该圆锥形状的空间是使上表面为平坦面的圆柱体的下表面凹陷成随着从周缘去向中心部而连续地变深而形成的、随着从上部去向下逐渐展开的圆锥形状的空间,该套筒22b设置为在该主体部分22a的外周与其紧密接触地包围着该主体部分22a的外周,同时该套筒22b的下端面形成平坦面,并且具有与上述主体部分22a的周缘高度相等的高度。上述的主体部分22a和套筒22b,例如由铝等构成。上述凹部,例如以覆盖在载置台2上载置的整个晶片W的方式开口为具有比该晶片W大一圈的直径的圆形形状。在图4中,从顶板部件22的下端到载置台2上表面的距离显示为“h”。套筒22b的底面处于与该顶板部件22的下端相同的高度的位置,在载置台2与顶板部件22对置时,顶板部件22的下缘和载置台2之间沿周向形成高度为“h”的间隙。
通过使具有上述那样的凹部的顶板部件22和圆盘状的载置台2对置,而在载置台2和顶板部件22的各组之间,形成在本例中为圆锥状的空间。在本实施方式的成膜装置中,被供给到上述的处理空间20的多个种类的反应气体被分别扩散。然后,各气体被该处理空间20内的晶片W表面吸附,发生规定的反应,进行成膜。被供给到处理空间20内的各种气体,沿该处理空间20的周向,经由在载置台2和顶板部件22之间形成的上述的间隙向真空容器1内流出。本实施方式的成膜装置中的该间隙,相当于连通处理空间20内和作为该处理空间20的外部的真空容器1内的环境气氛(相当于后述的排气空间10)的排气用开口部。
在各顶板部件22的形成为圆锥状的凹部的顶部形成有气体供给口221。由该气体供给口221向处理空间20内供给反应气体以及吹扫该反应气体的吹扫气体。
顶板11的中央部上设有用于向各处理空间20供给气体的歧管部3。歧管部3具有:形成气体供给路32的垂直的筒状的流路部件31a、和其上表面中央部连接着该气体供给路32的下游端的大径的扁平的圆筒部件31b。圆筒部件31b构成用于将从垂直的气体供给路32导入的气体扩散并向5个气体供给管34供给的气体扩散室33。
气体供给管34分别相同地构成,自大径的圆筒部件31b的侧壁沿周向隔开大致等角度的间隔放射状地伸出。而且,各气体供给管34的下游端与上述气体供给口221连接。
在流路部件31a设有将液体原料自横向向气体供给路32供给的喷射器4。从喷射器4供给的液体原料变为作为用于气化后进行成膜的原料气体的第一反应气体。对于原料气体后面详述。在该喷射器4上连接着液体原料的供给配管713。供给配管713的上游侧通过由后述的控制部100控制其动作的泵711,与存积上述BTBAS等液体原料的原料气体供给源71连接(参照图7)。该原料气体供给源71例如配置在喷射器4的上方(参照图7)。由此,抑制从原料气体供给源71到喷射器4的供给路变长。通过这样的配置,抑制了液体原料的劣化、即因挥发或分解导致的液体原料中的BTBAS的浓度降低,实现了装置的运转成本的降低。由于液体原料的劣化被有效地抑制,所以从原料气体供给源71到喷射器4的供给配管的长度构成为例如2m以下。
作为该喷射器4利用现有公知的装置。参照作为纵向剖视图的图6,以下简单地说明其构成的要部。喷射器4具有主体部41,主体部41中沿其长度方向设有供给液体原料的供给通路42。图中的箭头表示液体原料的流向。液体原料以被泵711加压了的状态在该供给通路42中流通。
在供给通路42的上游侧设有用于净化液体成膜原料的过滤器44A。而且,供给通路42的下游侧被缩径而形成缩径部42A,在该缩径部42A的下游端形成有通过针阀44进行开闭的喷出口45。针阀44经由柱塞46,被复位弹簧47向下游侧施力。由此,针阀44与缩径部42A抵接,堵住喷出口45。另外,设置成包围柱塞46的螺线管48与电流供给部49连接,通过供给电流作为电磁铁发挥作用。电流供给部49接收来自控制部100的控制信号,来控制对控制螺线管48的电流的通断。
若向螺线管48供给电流并在其周围形成磁场,则柱塞46被向供给通路42的上游侧拉动。由此,针阀44被向上游侧拉动,从而喷出口45被打开。于是,在供给通路42以被加压的状态存积的液体原料被从该喷喷出口45向气体供给路32喷出。图6中,在被点划线的圆包围的部分放大显示喷出口45被开放而将液体原料向气体供给路32喷出时的状态。
在利用喷射器4进行液体原料的喷出时,气体供给路32减压。因此,液体原料减压沸腾而成为气体,该气体向下游流通。若停止利用螺线管48形成磁场,则柱塞46通过复位弹簧47向下游侧被推回,通过针阀44再次堵住喷出口45。根据泵711的压力和喷出口45的开口时间,控制在气体供给路32生成的第一反应气体的量。而且,除了以上那样利用喷射器4将液体原料供给到被减压的气体供给路32而使其气化的方式之外,还能够采用在供给配管713设置气化器而通过该气化器在将液体原料向通流空间供给之前预先使之气化来生成反应气体,然后将该反应气体向气体供给路32供给的方式。
如图7所示,在歧管部3上除了供给液体原料的供给配管713之外,上下连接有用于将各种气体向气体供给路32供给的气体供给配管723、733,这些配管723、733在上游侧分别与各种气体供给源72、73连接。在该例子中气体供给配管723、733,以能够从与利用喷射器4供给液体原料的方向不同的方向向气体供给路32供给各气体的方式与歧管部3连接。
本实施方式的成膜装置可将含有金属元素、例如作为周期表第3周期的元素的Al、Si等、作为周期表第4周期的元素的Ti、Cr、Mn、Fe、Co、Ni、Cu、Zn、Ge等、作为周期表第5周期的元素的Zr、Mo、Ru、Rh、Pd、Ag等、作为周期表第6周期的元素的Ba、Hf、Ta、W、Re、lr、Pt等元素的薄膜成膜。作为让晶片W表面吸附的金属原料,能够列举将这些金属元素的有机金属化合物和无机金属化合物等用作反应气体(以下,称为原料气体)的情况。作为金属原料的具体例,除了上述的BTBAS之外,能够列举例如DCS(二氯硅烷)、HCD(六氯乙硅烷)、TMA(三甲基铝)、3DMAS(三(二甲胺基)硅烷)等。
另外,在使吸附在晶片W表面的原料气体发生反应,得到所希望的膜的反应中,可以使用例如利用了O2、O3、H2O等的氧化反应、利用了H2、HCOOH、CH3COOH等有机酸、CH3OH、C2H5OH等乙醇类等的还原反应、利用了CH4、C2H6、C2H4、C2H2等的碳化反应、利用了NH3、NH2NH2、N2等的氮化反应等的各种反应进行利用。在本实施方式中,对于以背景技术中例示的BTBAS气体为原料气体并利用氧气在氧化反应的作用下将SiO2膜成膜的例子进行说明。
氧气供给配管723与氧气供给源72连接,能够将作为第二反应气体的氧气向已述的气体供给路32供给。吹扫气体供给配管733与吹扫气体供给源73连接,能够将作为吹扫气体的氩气向已述的气体供给路32供给。在此,在将这些氧气或氩气向气体供给路32供给的气体供给配管723、733上设置例如隔板式的压力调整阀721、731、和由采用了例如盘型的柱塞的电磁阀构成的开闭阀722、732。由此,能够将一定压力的各种气体以大流量且高响应速度进行供给。
与上述各气体供给源71~73连接的泵711、压力调整阀721、731以及开闭阀722、732,构成成膜装置的气体供给控制部7,能够基于来自后述的控制部100的指示,控制各种气体的供给时刻等。另外,在本例中,以上说明的各构成要素中,原料气体供给源71、泵711、原料气体供给配管713、喷射器4、歧管部3以及气体供给管34相当于第一反应气体供给部,氧气供给源72、压力调整阀721、开闭阀722、氧气供给配管723、歧管部3以及气体供给管34相当于第二反应气体供给部,吹扫气体供给源73、压力调整阀731、开闭阀732、吹扫气体供给配管733、歧管部3以及气体供给管34相当于吹扫气体供给部。
另外,在流路部件31a的上侧设有用于向处理空间20内供给等离子体气体的远程等离子体供给部54。在进行装置的维护时,如后述那样边进行排气边向远程等离子体供给部54供给NF3气体,通过该远程等离子体供给部54使该NF3气体等离子体化。若将生成了的等离子体向处理空间20供给,则能够通过该等离子体将处理空间20内的附着物从处理空间20的壁面除去,并能够使其乘着在处理空间20内形成的排气流而从处理空间20除去。此外,也可以取代远程等离子体供给部54,而将喷射器4设置在流路部件31a的上侧,从喷射器4沿流路部件31a的气体供给路32的形成方向供给液体原料。
若返回真空容器1的说明,则如图1、图3所示,例如在底板14上的隔着支柱24与搬送口15相反一侧的位置上,设有用于对各反应气体以及吹扫气体进行排气的通用的排气口61。该排气口61与排气管62连接,该排气管62经由进行真空容器1内的压力调整的压力调整机构63而与形成真空排气机构的真空泵64连接。在此,在真空容器1内,配置有构成已述那样地进行成膜的处理空间20的5组载置台2、顶板部件22。而且,从上述5个处理空间20流出的各种气体,通过真空容器1内,向通用的排气口61排气。即,该真空容器1可以说构成反应气体的排气空间10。即,在本实施方式的成膜装置中,可以说为在通用的排气空间10内配置有多个处理空间20的构造。
具有以上说明的构造的成膜装置具有控制来自已述的气体供给源71~73的气体的供给动作、载置台2的旋转以及升降动作、利用真空泵64进行的真空容器1的排气动作、利用各工作台加热器21进行的加热动作等的控制部100。控制部100由具有例如未图示的CPU和存储部的计算机构成。在该存储部中记录有编有对于通过该成膜装置对晶片W进行成膜所需的控制、例如来自气体供给源71~73的各种气体供给的供断时刻和供给量调整的控制、对真空容器1内的真空度进行调节的控制、载置台2的升降或旋转动作的控制、各工作台加热器21的温度控制等的步骤(命令)组的程序。该程序一般例如存储在例如硬盘、光盘、磁光盘、存储卡等存储介质中,从其安装到计算机。
以下,对本实施方式的成膜装置的动作进行说明。首先,在使载置台2下降到了晶片W的交接位置的状态下,图8所示,通过未图示的闸阀打开搬送口15,外部的搬送臂101由搬送口15进入而将晶片W搬入到真空容器1内。此时,通过旋转支柱24,使下一个应载置晶片W的载置台2在真空容器1内的与搬送口15对置的位置(晶片W的交接位置)上待机。然后使升降销16经由未图示的贯通孔从载置台2突出,将晶片W从搬送臂101交接到升降销16,使搬送臂101退避到真空容器1外之后使升降销16没入载置台2的下方,由此将晶片W载置到作为载置面的凹部26内。然后,晶片W通过未图示的静电卡盘被吸附固定。
这样一来,当反复依次将晶片W载置在5个载置台2上载置的动作完成晶片W的搬入后,使各载置台2移动到对应的处理位置使之在与顶板部件22对置的状态下停止。此时,各载置台2通过工作台加热器21预先加热到例如300℃~450℃,因此晶片W通过载置在该载置台2而被加热。然后,使下降到晶片W的搬入位置的载置台2上升,并停止在例如根据该成膜处理的方案(Recipe)所选择出的高度位置。
在此,本实施方式的成膜装置,能够通过调节使载置台2停止高度位置,而使载置台2和顶板部件22之间形成的间隙的宽度(间隙的大小)在例如“h=1mm~6mm”的范围内变化。例如在图9A中示出使上述间隙的宽度为“h=4mm”的情况,在图9B中示出使上述间隙的宽度为“h=2mm”的情况。
这样一来,当使各载置台2与顶板部件22对置地调节了间隙的宽度之后,闭合搬送口15,使真空容器1内为气密的状态。其后,使真空泵64运转,进行真空容器1内的抽真空。然后,对真空容器1内做真空排气,直至达到规定的压力、例如13.3Pa(0.1Torr)为止,进而,在将晶片W的温度升温到已述的温度范围的例如350℃为止之后开始成膜。
在利用了本实施方式的成膜装置的所谓的ALD工艺中,成膜例如基于图10A、图10B所示的气体供给顺序被执行。图10A是表示载置台2和顶板部件22之间的间隙的宽度为“h=4mm”(与图9A对应)时的气体供给顺序的示意图。图10B是表示载置台2和顶板部件22之间的间隙的宽度为“h=2mm”(与图9B对应)时的气体供给顺序的示意图。在上述的图中,横轴表示时间,纵轴表示处理空间20内的压力。
例如在图10A(h=4mm)的情况下,首先执行将原料气体(第一反应气体:BTBAS)向各处理空间20内供给并让载置台2上的晶片W吸附的工序(原料气体吸附工序:以下,简写为“吸附工序”。图10A中记载为“a工序”)。此时,原料气体供给源71中所存积的BTBAS的液体原料,通过例如喷射器4的喷出口45被打开例如1ms期间,向被减压过的气体供给路32喷出后减压沸腾,变成为作为第一反应气体的BTBAS气体,如图11中如箭头所示那样被供给到下游侧的气体扩散室33。然后,BTBAS气体在气体扩散室33内扩散,进一步去向下游侧。
然后,将被气化了的原料气体,经由气体供给口221向各处理空间20导入。由此,如图10A中的a工序所示,处理空间20内的压力上升到例如133.32Pa(1Torr)。另一方面,由于如上所述各处理空间20配置在排气空间10内,所以被供给到处理空间20内的原料气体向压力比处理空间20内低的排气空间10流动,经由载置台2和顶板部件22之间的间隙向排气空间10流出。
其结果,如图12所示,原料气体从设置在圆锥状的处理空间20的顶部、即设置在晶片W中央部上方的气体供给口221向处理空间20内被供给,边在该处理空间20内扩散边在该晶片的表面向上述间隙沿径向流动。此期间,原料气体吸附于该晶片W的表面而形成BTBAS的分子层。然后,随着间歇供给的原料气体从处理空间20内向排气空间10排气,如图10A的a工序所示,处理空间20内的压力渐渐降低。
接着,在例如处理空间20的压力为与原料气体导入前大致相同的压力的时刻(例如供给原料气体之后经过了预定的时间的时刻),转移到对滞留在处理空间20内的原料气体进行吹扫的工序(图10A的b1工序)。在此,例如设置在吹扫气体供给源73的下游的压力调整阀731,被调整成使出口侧的二次压力在0.1MPa处一定,开闭阀732在向入口侧施加该压力的情况下为“闭”。然后,从b1工序的开始时刻起例如仅100ms期间,使开闭阀732为“开”。由此,该开闭阀732前后的压力平衡、以及与开闭阀732的开放时间相应的量的吹扫气体经由歧管部3向处理空间20被供给。
其结果,与原料气体的情况同样地,如图12所示,吹扫气体边在圆锥状的各处理空间20扩散边在晶片W的表面流动,与滞留在处理空间20内的原料气体一同经由载置台2和顶板部件22之间的间隙向排气空间10排气。此时,处理空间20内的压力如图10A的b1工序所示,与通过开闭阀732的开闭动作而供给的吹扫气体的量相应地上升到例如666.7Pa(5Torr)为止,然后随着该吹扫气体向排气空间10排气而降低。
这样一来,在滞留在处理空间20内的原料气体与吹扫气体一同被排出时刻(例如供给吹扫气体之后经过了预定的时间的时刻),为了将晶片W吸附了的原料气体氧化,而执行向处理空间20内供给作为第二反应气体的氧气的工序(以下,称为“氧化工序”。图10A中记载为“c工序”)。例如设置在氧气供给源72的下游的压力调整阀721与吹扫气体的压力调整阀731同样地,被调整成恒定地使出口侧的二次压力为0.1MPa,在向入口侧施加了该压力的状态下,开闭阀722为“闭”。然后,从c工序的开始时刻起例如100ms期间,开闭阀722为“开”。由此,与该开闭阀722前后的压力平衡、以及将该开闭阀722变为开的时间相应的量的氧气经由歧管部3被供给到处理空间20。
然后,与到此的气体供给的情况相同,如图12所示氧气边在圆锥形的各处理空间20扩散边在晶片W的表面流动。由此,该氧气对在晶片W表面所吸附的原料气体进行氧化而形成SiO2的分子层。此时,处理空间20内的压力如图10A的c工序所示,与通过开闭阀722的开闭动作而供给氧气的量相应地上升到例如666.7Pa(5Torr)为止,然后随着该氧气向排气空间10排气而降低。
接着,例如在处理空间20的压力为与氧气导入前大致相同的压力的时刻(例如供给氧气之后经过了预定的时间的时刻),以与已述的b1工序相同的要领,转移到对滞留在处理空间20内的氧气进行吹扫的工序(图10A的b2工序)。而且,若如图10A所示,以上述说明的4个工序为1个循环,通过将该循环反复预定的次数、例如125次而将SiO2的分子层多层化,完成合计例如具有10nm膜厚的膜的成膜。
此外,图10A以及后述的图10B是为了便于说明,而将各工序中的处理空间20内的压力图案示意地表示的图,而不是表示表示该处理空间20内的严密的压力的图。
结束了成膜的话就停止气体的供给,将载置着晶片W的载置台2下降至搬送口15,真空容器1内的压力返回到真空排气前的状态。其后,按与搬入时相反的路径,利用外部的搬送臂101由真空容器1搬出晶片W,结束一连串的成膜动作。
基于以上说明的动作进行成膜的本实施方式的成膜装置,从通用的歧管部3向5个处理空间20供给反应气体,而且,向通用的排气空间10进行来自各处理空间20的反应气体的排气。由此,也考虑到在5个处理空间20之间,所供给的反应气体的量产生若干差的情况。但是,由于本成膜装置采用了利用反应气体向晶片W表面吸附的ALD工艺,因此即使对各处理空间20的反应气体供给量多少具有偏差等,只要能够向晶片W表面供给可形成分子层的足够量的反应气体,就也能够形成膜厚等膜质在晶片W面间均匀的膜。
而且,本实施方式的成膜装置,能够如上所述使载置台2和顶板部件22之间的间隙在“h=1mm~6mm”的范围内变化。到此说明了的图10A示出针对“h=4mm”(图9A)的情况的气体供给顺序。因此,如图9B所示,以下说明将载置台2和顶板部件22之间的间隙作成“h=2mm”而变窄了的情况下的成膜装置的作用和对气体供给顺序带来的影响。
目前,例如调节来自喷射器4的原料气体的供给量以使得处理空间20内的压力变得一定(例如压力P1)之后,若将载置台2和顶板部件22间的间隙变窄,则气体通过该间隙时的压力损耗会变大。由此,从处理空间20到排气空间10的气体的排气速度降低,处理空间20内的反应气体的滞留时间变长。若示意地表示此时的处理空间20内的压力变化的情况,则如图13A所示,间隙变窄之前的处理空间20内的压力如实线“S1”所示那样在短时间内急剧下降,相对于此间隙变窄了之后的压力如虚线“S2”所示那样缓缓地降低。在此,图13A~图13C中,横轴T表示时间,纵轴P表示处理空间20内的压力。
然后,对来自喷射器4的原料气体的供给量进行调整以使得处理空间内的压力为比上述压力“P1”低的压力(例如压力P2)之后,若使载置台2和顶板部件22之间的间隙变化,则间隙变窄的前后的处理空间内20内的压力如图13B示意地表示。即,虽整体的变化变得比已述的图13A坡度小,但间隙变窄之前如实线“S3”所示那样在较短时间内压力降低,间隙变窄了之后如虚线“S4”所示那样花费较长时间降低。
这样,在本实施方式的成膜装置中,通过对载置台2和顶板部件22之间的间隙的宽度“h”、和来自喷射器4的原料气体的供给量双方进行调节,可以调整原料气体的供给时间短且需要比较多的原料气体的供给模式(相当于图13C中的实线“S1”)、原料气体的供给时间长且原料气体的消耗量很少就可以的供给模式(相当于该图13C中的虚线“S4”)等、处理空间20内的压力以及该处理空间20内的原料气体的滞留时间中的至少一方。即,能够自由变更原料气体的供给模式。
在此,在图10B所示的气体供给顺序中,上述间隙被固定为“h=2mm”,以使得在a工序形成的时间相对压力的三角形的面积与在图10A的a工序形成的同三角形的面积相等的方式来决定原料气体的供给量。
在图10A和图10B各图中,以使得上述三角形的面积相等的方式决定原料气体的供给量的理由是因为,由于ALD工艺是利用了原料气体对晶片W表面的吸附的成膜方法,所以可以认为膜厚等膜质依赖于原料气体分子对晶片W表面的冲撞次数。原料气体分子对晶片W表面的冲撞频率与处理空间20内的压力、即被供给到处理空间20的原料气体浓度成比例地变大,成膜期间的全部冲撞次数为将该冲撞频率进行了时间积分的值。因此可认为,通过使该积分值、即已述的三角形的面积变得相等,而能够将使上述间隙的宽度变化的前后的膜质保持均匀。在图10B的气体供给顺序中,针对c工序以及b1、b2工序也基于同样的想法决定各气体的供给量。
在此通过对使喷射器4以及各开闭阀722、732为“开”的时间进行增减等而能够调节各气体的供给量。而且,在变更上述间隙的宽度之前的气体供给顺序(在本例中,为“h=4mm”时的图10A所示的顺序)中的上述三角形的面积等,是通过例如预先实验等而预先掌握能够得到良好的膜质的气体供给量等而被决定的。此外,在变更上述间隙的宽度时,决定图10B所示的气体供给顺序的方法不限定于上述的方法。也可以通过使上述间隙的宽度变化进行预先实验,从该实验结果中求出适合于各个间隙的宽度的气体供给量,而决定适合各个间隙的宽度的气体供给顺序。
基于以上例示的方法,若决定变化了上述间隙的宽度时的气体供给顺序,就比较因使该间隙的宽度变化带来的成膜时间的变化,即比较因生产能力的变化对收益的影响、和因各种气体消耗量的变化对成本的影响,决定上述间隙的宽度以便例如上述的收支为最大即可。载置台2和顶板部件22之间的宽度的这种决定是在例如成膜装置的运转开始时或原料气体等的工艺条件的变更时做出而获得的。
根据本发明的成膜装置具有以下的效果。将原料气体(第一反应气体)以及氧气(第二反应气体)交替供给到晶片W利用ALD(或者MLD)进行成膜的装置,构成为使顶板部件22和包含载置区域的载置台2对置并在两者之间形成处理空间20,将多组上述载置台2以及顶板部件22配置在形成通用的排气空间10的真空容器1内,经由在载置台2和顶板部件22之间形成的间隙对上述处理空间20进行真空排气。与准备可载置多张晶片W的大型的旋转台并在该旋转台的上表面侧设置通用的处理空间的情况比较,能够缩小处理空间20的容积(合计)。其结果,由于不会向晶片W彼此的间隙等、不参与成膜的区域供给反应气体,所以可以减少成膜处理所需的反应气体的供给量。其结果,能够减少成膜所需的成本,此外,由于总计的处理空间20的容积小,所以反应气体向该处理空间的供给时间和排气时间也被削减了,总的成膜时间就变短了。即,还能够有助于成膜装置的生产能力的提高。
而且本成膜装置是对静止状态的晶片W供给反应气体的构成,因此不会发生如背景技术中所说明的使载置了多个晶片W的载置台旋转的类型的成膜装置那样,因晶片W的移动速度在载置台的旋转中心侧和周缘侧不同而引起不需要的反应气体消耗。
然后,根据具有使形成处理空间20的载置台2升降的升降机构(支承支架23、支柱24、驱动部51)的本实施方式的成膜装置,具有以下的效果。通过在顶板部件22的凹状的面和载置台2之间所形成的处理空间20内配置晶片W,并调整上述的部件2、22之间所形成的间隙的大小,能够调整处理空间20内的压力、该处理空间20内的各种反应气体的滞留时间。由此,由于能够将对晶片W表面进行成膜所需的条件在狭小的处理空间20内做出。因此,与在背景技术中说明的以将具有平坦的气体喷出面的气体喷头相对于载置台平行地配置在真空容器内来供给反应气体的方式的成膜装置相比较,能够以更少的反应气体进行成膜。
另外,通过灵活运用载置台2和顶板部件22之间的间隙的宽度(高度)可以变化的情况,比较研究因加宽该间隙的宽度带来的成膜时间的缩短、即生产能力的提供的影响、因缩短该间隙的宽度带来的原料气体消耗量的缩减的影响等,可选择最适合于作为目标的工艺的间隙的宽度。由此,显著地提高装置对各种工艺的适应性。
在此,在已述的实施方式中,在图10A、图10B所示的各气体供给顺序中,吸附工序、吹扫工序、氧化工序各工序中,使载置台2和顶板部件22之间的宽度(高度)恒定。但本实施方式的成膜装置的运用例不限于该方式。例如,通过在吸附工序和氧化工序使该间隙的宽度(高度)变化,从而使处理空间20内的压力、反应气体的滞留时间根据在各工序所供给的反应气体的种类而变化。由此,能够形成更优质的膜。
此外,使上述间隙的宽度变化的方法,不限于上述的实施方式中所示的使载置台2升降的方法。可以例如将顶板部件22构成为可从真空容器1的顶板下降,通过使该顶板部件22升降而使上述间隙的宽度变化,还可以通过使载置台2和顶板部件22双方升降而使上述间隙的宽度变化。
然后,本实施方式的歧管部3具有以下的效果。从作为处理气体供给机构的喷射器4以及气体供给配管723、733供给的各气体通过通用的气体供给路32,在气体扩散室33扩散,经由气体供给管34供给到各处理空间20。由此,比起对各处理空间20单独地设置处理气体供给机构的情况相比,能够减少部件的个数。因此,能够简化气体供给***的构造,防止装置的大型化以及复杂化。由此,能够降低装置的制造成本。
另外,供给各气体的处理空间20由顶板部件22和载置台2构成,并经由它们之间所形成的间隙进行排气。因此,与准备可载置多张基板的大型的旋转台并在该旋转台的上表面侧设置通用的处理空间的情况相比较,能够所缩小处理空间20整体的容积。由此,不会向基板彼此的间隙等、不参与成膜的区域供给反应气体,所以能够减少成膜处理所需的反应气体的供给量。另外,由于将各气体从各气体供给源经由通用的气体供给路32以及通用的气体扩散室33向处理空间20供给,所以能够抑制供给到各处理空间20的气体流量以及气体浓度产生偏差。因此,能够抑制在各处理空间20所处理的晶片W的膜质、膜厚的偏差。
而且,由于气体扩散室33设置在收容处理空间20的真空容器1的正上方,所以能够缩短从气体扩散散室33到处理空间20的气体的流路。由此能够抑制到达处理空间的BTBAS气体的再液化,而且,易于在短时间内向处理空间20供给大量气体。因此能够缩短成膜时间提高生产能力。从气体扩散室33到各处理空间20的流路的长度例如为0.3m~1.0m。
在此,本发明的成膜装置不限定于图1~图7所示那样在扁平的圆筒状的真空容器1内沿周向配置多组载置台2和顶板部件22的情况(使各载置台2的中心位于与真空容器1变得中心相同的圆的圆周上的情况)。例如也可以图14A、图14B所示的成膜装置那样,在细长的矩形形状的载置台2上一横列设置晶片W的载置区域,以与各载置区域对置的方式设置顶板部件22,将上述各部件存放在形成具有通用的排气口61的排气空间10的真空容器1内。另外,也可以如图15所示的成膜装置那样,将相互对置的多组载置台2和顶板部件22沿上下方向配置,在形成排气空间10的真空容器1内存放上述各部件。此外,在本说明书中锁门的各成膜装置中,对起到与利用图1~图7所说明的成膜装置相同的作用的构成要素标注了与上述的图所记载的附图标记相同的附图标记。
另外,载置台2和顶板部件22之间的间隙,不限定于利用图4等说明的形成在载置台2的上表面和顶板部件22的下端部之间的间隙。也可以采用如下构成:例如图16所示,使具有构成为向上方侧突出的载置晶片W的载置区域的载置台2嵌合到顶板部件22的凹部内而形成处理空间20,经由在顶板部件22的内壁面和载置台2的侧面之间形成的间隙将处理空间20内的各种气体排气。
而且,将处理空间20内的反应气体等向排气空间10排气的排气用开口部,不限定于已述的成膜装置那样的载置台2和顶板部件22之间的间隙。例如也可以如图17A、图17B所示那样将顶板部件22构成为下面被开放的扁平的圆筒形状,例如在该顶板部件22的侧周壁部分设置开口部223,将处理空间20内的反应气体等经由该开口部223向排气空间10排出。而且,也可以如图18A、图18B所示在载置台2的载置区域的周围设置开口部27,由此向排气空间10排出反应气体等。
在此,反应气体并不限定为是两种的情况。如将钛酸锶(SrTiO3)进行成膜的情况那样,使用3种反应气体、例如作为Sr原料的Sr(THD)2(双(四甲基庚二酮酸)锶)、作为Ti原料的Ti(OiPr)2(THD)2(双(异丙氧基)双(四甲基庚二酮酸)钛)、和作为它们的氧化气体的臭氧气体,利用ALD进行成膜的工艺中也能够应用本成膜装置。在此时,向各处理空间20内交替供给的3种反应气体之中,连续被供给的2种原料气体中的一方被理解为第一反应气体、另一方被理解为第二反应气体。即,在按Sr(THD)2气体→Ti(OiPr)2(THD)2气体→臭氧气体的顺序供给反应气体时(对于吹扫气体的供给省略),在Sr(THD)2气体和Ti(OiPr)2(THD)2气体的关系中,被理解为前者为第一反应气体、后者为第二反应气体,在Ti(OiPr)2(THD)2气体和臭氧气体的关系中,被理解为前者为第一反应气体、后者为第二反应气体。然后,在臭氧气体和Sr(THD)2气体的关系中前者为第一反应气体、后者为第二反应气体。在利用4种以上的反应气体进行成膜情况下使用同样的考虑方法。
另外,通过使具有凹部的顶板部件22和载置台2上下对置来形成晶片W的处理空间20,并能够变更上述的部件2、22的间隙的宽度(高度),从而调整处理空间20内的压力和该处理空间20内的反应气体的滞留时间这样已述的成膜装置,不仅限于应用所谓的ALD工艺的情况。例如,对于在该处理空间20内连续地供给反应气体来对晶片W表面进行成膜的CVD(Chemical Vapor Deposition:化学气相沉积)工艺,也可以应用本成膜装置,此时,也能够得到抑制反应气体的消耗量这样的效果。
此外,在真空容器1内,使作为下部件的载置台2与作为上部件的顶板部件22对置来形成处理空间20,通过将载置台2等做成为可自由升降,而可调节成为排气用开口部的载置台2和顶板部件22之间的间隙的宽度的成膜装置不限定于在真空容器1内设置多组载置台2和顶板部件22,将上述的间隙调节为相同的宽度的情况。例如图19所示,在真空容器1内仅设置一组载置台2和顶板部件22的成膜装置也包含在本发明的技术范围内。另外,即使是在真空容器1内具有多个上述的组的成膜装置,也可以如图20所示,例如设为使各载置台2可独立地升降的构成,能够使各个处理空间20中的顶板部件22和各载置台2之间的间隙的宽度不同。此时,例如按各处理空间20使上述的间隙的宽度不同,来调节例如各种反应气体的滞留时间、压力,由此也能够在各处理空间20形成膜质不同的膜。另外,例如向各处理空间20供给不同种类的反应气体而形成不同种类的膜时,也能够使载置台2升降以便上述间隙变成适合于各种反应气体的种类的宽度。
作为歧管部3的构成,如图14A以及图14B所示向排列成一横列的多个处理空间20供给气体,图21A及图21B示出这样的歧管部3的一例。该歧管部3的气体扩散室33与处理空间20的排列对应,被形成为沿该处理空间20的排列方向延伸。
但是,通过歧管部3供给气体的各处理空间20的环境气氛也可以相互气密地划分。即,歧管部31也可以构成为向多个真空容器内分别供给气体。另外,在上述的各例中,歧管部3设置在成膜装置中,但是,例如也可以设置在退火、蚀刻、氧化处理、氮化处理等在真空环境气氛下进行气体处理的其他类型的气体处理装置中,供给与其气体处理相应的气体。此外,通过上述的成膜装置被处理过的被处理基板不限于半导体晶片W,也可以是LCD(液晶显示器)用基板所代表的FPD(平板显示器)基板、或陶瓷基板等其他基板。
接着对安装在大气环境气氛的工厂内的状态下的图1的成膜装置,参照表示其外观构成的图22进行说明。在成膜装置中,构成其真空容器1的侧壁部12以及顶板11通过支承部8支承在平坦的地板8C上。此后,将这样被支承部8支承的成膜装置记载为成膜装置80。
支承部8具有支承台81、支承脚82、横部件83以及固定部件84。从构成上述真空容器1的侧壁部12的下端,切片12a沿周向隔开间隔地向外侧方向突出。上述支承台81以沿着真空容器1的外周的方式形成,支承各切片12a的背面。支承台81构成为在使真空容器1的底板14如后述那样地下降而从侧壁部12分离时不与该底板14相干扰。
若在成膜装置80中使搬送口15的开口方向在里侧,则在支承台81的左右的缘部中从面前到里侧隔开间隔地设置有多根支承脚82。各支承脚82向下方延伸。而且,从真空容器1观察时在左侧、右侧分别形成的支承脚82的下端分别通过从面前侧向里侧的横部件83相互连结。在横部件83的下侧以及支承脚82的下侧,相互隔开间隔地设置将用于将这些支承脚82以及横部件83固定在地板8C上的多个固定部件84。
在里侧的左右设置的支承脚82以沿支承台81的上侧延长的方式延伸,其延长了的部分构成支柱85。支柱85从下起以支承板86、上板87的顺序支承。在支承板86上配置有例如成膜装置的电源单元等机器类。另外,虽省略图示,成膜装置80通过可自由拆装的侧板包围其外周,该侧板与上板87一起防止颗粒进入该成膜装置80内。
被各支承脚82以及横部件83包围的、真空容器1的下方空间8A设有保持真空容器1的底板14的背面的保持部91。图23A示出底板14的下侧,图23B示出保持部91的上侧。如图23B所示,保持部91具有开口部92,以包围上述套筒25以及驱动部51的方式形成为筒状。而且,在保持部91的上端沿着该保持部91的周向形成有环状的突起93,在上述底板14的下方侧,以包围从该底板14中央部向下方突出的套筒25以及驱动部51的方式形成与上述突起93的形状对应的槽94。突起93和槽94相互嵌合,相对于底板14定位保持部91。
在保持部91的下方设有升降机构95。升降机构95具有例如用于使保持部91垂直地升降的液压汽缸。伴随着保持部91的升降,真空容器1的底板14、和经支柱24设置在该底板14上的载置台2进行升降。另外,如图24所示,在升降机构95的下侧设有具有作为转动体的车轮96的台车部97。利用作为移动体的上述台车部97,升降机构95能够在地板8C上移动。伴随着该升降机构95的移动,保持部91也能够在地板8C上移动。即,升降机构95、保持部91以及底板14构成为能够以相互定位好的状态在地板8C上移动。
另外,在下方空间8A带有与真空容器1的底板14连接的排气管62。图中62a是连接排气管62的上游侧和下游侧的接头。在下方空间8A的面前侧配置有装置的用户乘用的操作装置的各部用的梯凳8B。
接着,对开放已述的成膜装置80的真空容器1内进行维护的顺序进行说明。使对处理空间20的各气体供给以及来自处理空间20的排气停止,而停止成膜处理之后,使梯凳8B从下方空间8A的面前例如向左右任意一方稍移动,从而开放下方空间8A的面前侧。然后,将与接头62a连接的排气管62的上游侧从该接头62a卸下。然后,使该接头62a和与接头62a连接的排气管62的下游侧移动到适当的位置,以便和使底板14下降时与底板14一同下降的排气管62的上游侧不干扰。
然后,卸下连接底板14和侧壁部12的螺钉等未图示的紧固件之后,如图24所示,利用升降机构95经保持部91使真空容器1的底板14下降,使与底板14连接的载置台2位于其上表面的高度比支承侧壁部12的支承台81的下端低的位置。然后,如图25所示利用台车部97将升降机构95以及保持部91向真空容器1的下方空间8A的面前侧拉出。伴随着该升降机构95以及保持部91的移动,将底板14、载置台2、支承支架23、支柱24以及排气管62的上游侧从下方空间8A向面前侧拉出。
然后,用户将这样从下方空间8A被拉出的底板14以及其所附带的各部件用手清洗,或者将所取出的各部分解通过规定的清洗装置清洗,而能够除去反应气体的附着物。另外,这样将底板14从真空容器1中卸下时,如图26所示,真空容器1的下侧向下方空间8A开放。用户经由该下方空间8A,从开放的真空容器1的下侧将真空容器1内的各部进行用手清洗、或者卸下各部件通过规定的清洗装置进行清洗,还是能除去反应气体的附着物。另外,用户除了进行这样的清洗之外,还可以进行更换有问题的部件等各种维护作业。
维护结束之后,以与从真空容器1中取出了底板14时相反的顺序将底板14安装在真空容器1的下部,将成膜装置80返回到开始维护前的状态。
此外,该成膜装置80的真空容器1如现有的成膜装置那样将顶板11从侧壁12上卸下,也能够将该真空容器1的上侧开放。而且,在顶板11上与各处理空间20对应的位置处,设有可从该顶板11卸下的盖部件11a,盖部件11a的下方侧与形成处理空间20的顶板部件22连接,也能够将顶板部件22与盖部件11a一同地从真空容器1中拉出。而且,通过拉出(卸下)上述盖部件11a以及顶板部件22,使载置台2露出,还能够对真空容器1的内部如上述那样地进行清洗来进行维护。在这样将顶板11、盖部件11a卸下时,必须预先从各供给管中除去液体原料以及反应气体,并将各气体供给管34从顶板11卸下。这样卸下顶板11、盖部件11a进行维护可考虑例如在从下方用手清洗不能充分地除去生成物的情况、更换部件的情况等。
根据作为真空处理装置的一个方式的成膜装置80,由于具有:设置成对真空容器1的顶板11以及侧壁部12可自由拆装,载置着晶片W的载置台2的真空容器1的底板14;使底板14升降的升降机构95;搭载该升降机构95且沿着地板8C可移动的台车部97,所以可以从侧壁部12卸下底板14以及载置台2,使上述侧壁部12、底板14以及载置台2移动到可实施各自维护的位置。因此,由于无需将顶板11从真空容器1卸下,所以无需从向歧管部3供给液体原料以及反应气体的各供给管中除去这些液体原料以及反应气体。作为其结果,能够容易地进行装置的维护作业。
但是,如上所述准备多个包含在下方空间8A的外内移动的保持部91、升降机构95、载置台2以及底板14的单元,在一个单元的维护中将其他单元安装到真空容器1中进行成膜处理,在其他单元的维护中将一个单元安装在真空容器1中进行成膜处理,由此,也可以抑制伴随上述单元的维护的装置的运转率的降低。
接着,针对包含例如4台上述的成膜装置80的半导体制造装置100A的构成,参照图27进行说明。半导体制造装置100A具有:构成作为进行晶片W的加载、卸载的加载器模块的第一搬送室102、装载锁定室103a、103b、作为真空搬送室模块的第二搬送室104。在第一搬送室102的正面设有载置承载架C的装载口105,在第一搬送室102的正面壁设有与在上述装载口105载置的承载架C连接且与该承载架C的盖一同开闭的闸门GT。而且在第二搬送室104气密地连接有上述的4台成膜装置80。
在第一搬送室102的侧面设有进行晶片W的朝向和偏心的调整的对准调整室106。装载锁定室103a、103b中分别设有未图示的真空泵和漏泄阀,构成为可以切换大气环境气氛和真空环境气氛。即,第一搬送室102以及第二搬送室104的环境气氛分别被保持为大气环境气氛以及真空环境气氛,所以装载锁定室103a、103b是在各个的搬送室间调整搬送晶片W时环境气氛用的装置。此外,图中G是将装载锁定室103a、103b和第一搬送室102或第二搬送室104之间、或者第二搬送室104和上述成膜装置80的搬送口15之间分隔的闸阀(分隔阀)。
在第一搬送室102设有第一搬送机构107。在第二搬送室104设有第二搬送机构108a、108b。第一搬送机构107是用于在与承载架C、装载锁定室103a,103b、对准调整室106之间进行晶片W的交接的搬送臂。第二搬送手段108a,108b是用于在装载锁定室103a,103b和成膜装置之间进行晶片W的交接的搬送臂。
若对装置的动作进行说明,则承载架C被搬送到半导体制造装置100A,并载置在装载口105上,与第一搬送室102连接。接着,闸门GT以及承载架C的盖同时被打开,承载架C内的晶片W通过第一搬送机构107被搬入到第一搬送室102内。接着,晶片W在被搬送到对准调整室106,进行其朝向或偏心的调整之后,被搬送到装载锁定室103a(或者103b)。在调整了装载锁定室103a(或者103b)内的压力之后,晶片W通过第二搬送机构108a(或者108b)从装载锁定室103被搬入第二搬送室104。接着,成膜装置80的闸阀G被打开,第二搬送机构108a(或者108b)将晶片W搬送到该成膜装置80。
若在成膜装置80中成膜处理结束,则该成膜装置80的闸阀G被打开,第二搬送机构108a(或者108b)进入该成膜装置80的真空容器1内。用已知的动作实施过处理的晶片W被交接到第二搬送机构108a(或者108b),然后,该第二搬送机构108a(或者108b)经由装载锁定室103a(或者103b)将晶片W交接到第一搬送机构107。然后,第一搬送机构107将晶片W返回到承载架C。

Claims (7)

1.一种成膜装置,该成膜装置在真空容器内利用反应气体对基板进行成膜处理,
该成膜装置的特征在于,
该成膜装置具有:
下部件,该下部件设置在上述真空容器内,包含基板的载置区域;
上部件,该上部件设置在该下部件的上方侧,为了在该上部件和上述载置区域之间形成处理空间而将与该载置区域对置的面形成为凹状,并且在与上述下部件的载置区域的外侧之间形成有用于对处理空间的压力或者处理空间内的上述反应气体的滞留时间的至少一方进行调整的间隙;
气体供给部,该气体供给部用于向上述处理空间至少供给反应气体;
升降机构,该升降机构为了调整上述间隙的大小而使上述下部件相对于上部件相对地升降;以及
真空排气机构,该真空排气机构用于经上述间隙以及真空容器内的环境气氛对上述处理空间进行真空排气。
2.根据权利要求1所述的成膜装置,其特征在于,
在上述真空容器内配置有多组上述下部件以及上部件的组。
3.根据权利要求1所述的成膜装置,其特征在于,
在上述真空容器内沿该真空容器的周向配置有多组上述下部件以
及上部件的组。
4.根据权利要求2或3所述的成膜装置,其特征在于,
上述升降机构相对于多个下部件通用或者相对于多个上部件通用。
5.根据权利要求1~3中任一项所述的成膜装置,其特征在于,
上述上部件的凹状的面从上部向下方形成为逐渐扩展的形状。
6.根据权利要求1~5中任一项所述的成膜装置,其特征在于,
在上述上部件的中央部形成有用于供给反应气体的气体供给口。
7.根据权利要求1~6中任一项所述的成膜装置,其特征在于,
上述气体供给部具备:第一反应气体供给部,该第一反应气体供给部供给第一反应气体;第二反应气体供给部,该第二反应气体供给部用于供给与上述第一反应气体反应而生成反应生成物的第二反应气体;以及吹扫气体供给部,该吹扫气体供给部供给吹扫气体,
该成膜装置进行控制,以向处理空间交替地供给上述第一反应气体和第二反应气体,并在供给上述第一反应气体的时刻和供给第二反应气体的时刻之间向上述处理空间供给吹扫气体。
CN2012104574078A 2008-09-30 2009-09-29 成膜装置 Pending CN103173741A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008254554A JP5544697B2 (ja) 2008-09-30 2008-09-30 成膜装置
JP2008-254554 2008-09-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2009801138872A Division CN102017096B (zh) 2008-09-30 2009-09-29 成膜装置

Publications (1)

Publication Number Publication Date
CN103173741A true CN103173741A (zh) 2013-06-26

Family

ID=42073495

Family Applications (3)

Application Number Title Priority Date Filing Date
CN2012104574078A Pending CN103173741A (zh) 2008-09-30 2009-09-29 成膜装置
CN2009801138872A Active CN102017096B (zh) 2008-09-30 2009-09-29 成膜装置
CN2012104572388A Pending CN103334091A (zh) 2008-09-30 2009-09-29 真空处理装置

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN2009801138872A Active CN102017096B (zh) 2008-09-30 2009-09-29 成膜装置
CN2012104572388A Pending CN103334091A (zh) 2008-09-30 2009-09-29 真空处理装置

Country Status (5)

Country Link
US (1) US20110226178A1 (zh)
JP (1) JP5544697B2 (zh)
KR (2) KR101248654B1 (zh)
CN (3) CN103173741A (zh)
WO (1) WO2010038734A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110499499A (zh) * 2018-05-18 2019-11-26 北京北方华创微电子装备有限公司 反应腔室和半导体设备

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5884500B2 (ja) * 2012-01-18 2016-03-15 東京エレクトロン株式会社 成膜装置
CN103820770A (zh) * 2012-11-19 2014-05-28 刘祥林 具有多个子反应器结构的金属有机化学气相沉积设备
WO2014097520A1 (ja) * 2012-12-20 2014-06-26 キヤノンアネルバ株式会社 酸化処理装置、酸化方法、および電子デバイスの製造方法
JP5954202B2 (ja) * 2013-01-29 2016-07-20 東京エレクトロン株式会社 成膜装置
CN104103549B (zh) * 2013-04-07 2018-05-18 盛美半导体设备(上海)有限公司 半导体工艺腔室
FR3016640A1 (fr) * 2014-01-23 2015-07-24 Aton Ind Chambre a vide pourvue d'une cloison inclinee
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
JP6225842B2 (ja) * 2014-06-16 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10113232B2 (en) * 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
CN106795626B (zh) * 2014-10-10 2019-05-28 佳能安内华股份有限公司 成膜装置
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
JP6734187B2 (ja) * 2016-12-21 2020-08-05 株式会社日本製鋼所 ガス導入ノズル、処理室およびプラズマ処理方法
KR102155281B1 (ko) * 2017-07-28 2020-09-11 주성엔지니어링(주) 기판처리장치의 가스분사장치, 기판처리장치, 및 기판처리방법
TWI838222B (zh) * 2017-10-27 2024-04-01 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
CN110137121B (zh) * 2018-02-09 2024-03-26 东京毅力科创株式会社 基板处理装置
CN112292755A (zh) * 2018-06-18 2021-01-29 应用材料公司 成对的动态平行板电容耦合等离子体
TWI754180B (zh) * 2018-10-29 2022-02-01 美商應用材料股份有限公司 用於形成薄膜的處理腔室與方法
WO2020175191A1 (ja) * 2019-02-27 2020-09-03 東京エレクトロン株式会社 基板処理装置、基板処理システム及び載置台を位置合わせする方法
JP2020141118A (ja) * 2019-02-27 2020-09-03 東京エレクトロン株式会社 基板処理装置、基板処理システム及び載置台を位置合わせする方法
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
JP2022536293A (ja) * 2019-06-07 2022-08-15 ラム リサーチ コーポレーション マルチステーション半導体処理における独立して調整可能な流路コンダクタンス
JP7300898B2 (ja) * 2019-06-11 2023-06-30 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2021033461A1 (ja) * 2019-08-20 2021-02-25 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
JP2022029738A (ja) * 2020-08-05 2022-02-18 芝浦機械株式会社 表面処理装置および表面処理方法
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US11646217B2 (en) * 2021-04-14 2023-05-09 Applied Materials, Inc. Transfer apparatus and substrate-supporting member
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW399103B (en) * 1996-08-13 2000-07-21 Anelva Co Ltd Chemical vapor deposition apparatus
US20010007244A1 (en) * 2000-01-06 2001-07-12 Kimihiro Matsuse Film forming apparatus and film forming method
JP2001313258A (ja) * 2000-04-28 2001-11-09 Anelva Corp 真空処理装置
JP2006245089A (ja) * 2005-03-01 2006-09-14 Mitsui Eng & Shipbuild Co Ltd 薄膜形成方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
JPH11106930A (ja) * 1997-10-06 1999-04-20 Kokusai Electric Co Ltd プラズマcvd装置
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
MY148924A (en) * 2001-09-29 2013-06-14 Cree Inc Apparatus for inverted multi-wafer mocvd fabrication
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
CN1643179B (zh) * 2002-01-17 2010-05-26 松德沃技术公司 Ald装置和方法
US20040247787A1 (en) * 2002-04-19 2004-12-09 Mackie Neil M. Effluent pressure control for use in a processing system
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US8465591B2 (en) * 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW399103B (en) * 1996-08-13 2000-07-21 Anelva Co Ltd Chemical vapor deposition apparatus
US20010007244A1 (en) * 2000-01-06 2001-07-12 Kimihiro Matsuse Film forming apparatus and film forming method
JP2001313258A (ja) * 2000-04-28 2001-11-09 Anelva Corp 真空処理装置
JP2006245089A (ja) * 2005-03-01 2006-09-14 Mitsui Eng & Shipbuild Co Ltd 薄膜形成方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110499499A (zh) * 2018-05-18 2019-11-26 北京北方华创微电子装备有限公司 反应腔室和半导体设备
CN110499499B (zh) * 2018-05-18 2021-09-17 北京北方华创微电子装备有限公司 反应腔室和半导体设备

Also Published As

Publication number Publication date
KR101271800B1 (ko) 2013-06-07
JP2010087238A (ja) 2010-04-15
KR20120101165A (ko) 2012-09-12
WO2010038734A1 (ja) 2010-04-08
CN102017096A (zh) 2011-04-13
CN103334091A (zh) 2013-10-02
US20110226178A1 (en) 2011-09-22
CN102017096B (zh) 2012-12-26
KR101248654B1 (ko) 2013-03-28
KR20110031273A (ko) 2011-03-25
JP5544697B2 (ja) 2014-07-09

Similar Documents

Publication Publication Date Title
CN102017096B (zh) 成膜装置
JP5315898B2 (ja) 成膜装置
JP5088284B2 (ja) 真空処理装置
US9472398B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
KR101304361B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 반도체 장치
CN101010447B (zh) 基板处理装置及半导体装置的制造方法
KR100977819B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
US20090061648A1 (en) Method of manufacturing semiconductor device and substrate processing apparatus
WO2010103751A1 (ja) 原子層堆積装置及び薄膜形成方法
JP2016174158A (ja) 基板処理装置および半導体装置の製造方法
TW201041069A (en) Substrate processing apparatus
KR20080108040A (ko) 기판 처리 장치
JP5083153B2 (ja) 真空処理装置
JP2010141076A (ja) 基板処理装置及び半導体装置の製造方法
JP2011222677A (ja) 基板処理装置
KR100935289B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP2008025007A (ja) 基板処理装置および半導体装置の製造方法
KR102264556B1 (ko) 원자층 증착 장치
JP2007227471A (ja) 基板処理装置
KR101804127B1 (ko) 박막 증착 방법
JP2010118441A (ja) 半導体装置の製造方法
JP2009130108A (ja) 基板処理装置及び半導体装置の製造方法
JP2011151294A (ja) 半導体装置の製造方法
JP2007073879A (ja) 基板処理装置
JP2012233265A (ja) 半導体装置の製造方法及び基板処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C53 Correction of patent of invention or patent application
CB03 Change of inventor or designer information

Inventor after: Shi Deyan

Inventor after: Moroi Masayuki

Inventor after: Ze Dichun

Inventor after: Katoh Sasumu

Inventor before: Shi Deyan

Inventor before: Moroi Masayuki

Inventor before: Ze Dichun

COR Change of bibliographic data

Free format text: CORRECT: INVENTOR; FROM: NORIHIKO TSUJI MASAYUKI MOROI SAWACHI JUN TO: NORIHIKO TSUJI MASAYUKI MOROI SAWACHI JUN IWATA

C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C05 Deemed withdrawal (patent law before 1993)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130626