CN101578141A - 大面积电子设备的图案化化学电镀金属化制程 - Google Patents

大面积电子设备的图案化化学电镀金属化制程 Download PDF

Info

Publication number
CN101578141A
CN101578141A CNA2006800330240A CN200680033024A CN101578141A CN 101578141 A CN101578141 A CN 101578141A CN A2006800330240 A CNA2006800330240 A CN A2006800330240A CN 200680033024 A CN200680033024 A CN 200680033024A CN 101578141 A CN101578141 A CN 101578141A
Authority
CN
China
Prior art keywords
ruthenium
layer
base material
oxide
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006800330240A
Other languages
English (en)
Inventor
T·威德曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101578141A publication Critical patent/CN101578141A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • B05D7/20Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials to wires
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/06Surface treatment of glass, not in the form of fibres or filaments, by coating with metals
    • C03C17/10Surface treatment of glass, not in the form of fibres or filaments, by coating with metals by deposition from the liquid phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/228Gas flow assisted PVD deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • C23C18/1608Process or apparatus coating on selected surface areas by direct patterning from pretreatment step, i.e. selective pre-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1633Process of electroless plating
    • C23C18/1646Characteristics of the product obtained
    • C23C18/165Multilayered product
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1886Multistep pretreatment
    • C23C18/1893Multistep pretreatment with use of organic or inorganic compounds other than metals, first
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/20Pretreatment of the material to be coated of organic surfaces, e.g. resins
    • C23C18/2006Pretreatment of the material to be coated of organic surfaces, e.g. resins by other methods than those of C23C18/22 - C23C18/30
    • C23C18/2046Pretreatment of the material to be coated of organic surfaces, e.g. resins by other methods than those of C23C18/22 - C23C18/30 by chemical pretreatment
    • C23C18/2073Multistep pretreatment
    • C23C18/2086Multistep pretreatment with use of organic or inorganic compounds other than metals, first
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/20Pretreatment of the material to be coated of organic surfaces, e.g. resins
    • C23C18/28Sensitising or activating
    • C23C18/30Activating or accelerating or sensitising with palladium or other noble metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/02002Arrangements for conducting electric current to or from the device in operations
    • H01L31/02005Arrangements for conducting electric current to or from the device in operations for device characterised by at least one potential jump barrier or surface barrier
    • H01L31/02008Arrangements for conducting electric current to or from the device in operations for device characterised by at least one potential jump barrier or surface barrier for solar cells or solar cell modules
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022408Electrodes for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/022425Electrodes for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/042PV modules or arrays of single PV cells
    • H01L31/05Electrical interconnection means between PV cells inside the PV module, e.g. series connection of PV cells
    • H01L31/0504Electrical interconnection means between PV cells inside the PV module, e.g. series connection of PV cells specially adapted for series or parallel connection of solar cells in a module
    • H01L31/0512Electrical interconnection means between PV cells inside the PV module, e.g. series connection of PV cells specially adapted for series or parallel connection of solar cells in a module made of a particular material or composition of materials
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/18Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material
    • H05K3/181Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using precipitation techniques to apply the conductive material by electroless plating
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/38Improvement of the adhesion between the insulating substrate and the metal
    • H05K3/389Improvement of the adhesion between the insulating substrate and the metal by the use of a coupling agent, e.g. silane
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Sustainable Development (AREA)
  • Electromagnetism (AREA)
  • Sustainable Energy (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Wood Science & Technology (AREA)
  • Chemically Coating (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Manufacturing Of Printed Wiring (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明大体提供选择性于基材电绝缘表面上形成金属特征(如:电内连线特征)的设备与方法。本发明亦提供选择性于定义图案上或用作保角覆盖薄膜上,形成物性结实、粘着的、抗氧化导电层的方法。本发明实施例亦大体提供新化学性质、制程、与设备以提供不连续或覆盖电化学或化学电镀含钌或二氧化钌的粘着与起始层。大体而言,本发明态样可用于平面显示器制程、半导体制程、太阳能蓄电池制程、或任何其他基材制程,更明确而言适用于玻璃与弹性塑胶基材上的稳定粘着涂层应用。此发明特别亦可用于形成平面显示器表面或太阳能蓄电池基材表面的电内连线,其中导线尺寸大体上大于半导体元件或其形成特征大体上不这么密集。

Description

大面积电子设备的图案化化学电镀金属化制程
技术领域
本发明实施例大体上与在基材表面上沉积导电层之前沉积催化层的方法相关。
背景技术
使用传统技术的平面显示器装置、太阳能蓄电池、与其他电子装置的金属化(如化学电镀与电化学电镀)具某些负面特质,其通常包含对基材表面附着力不佳。因此形成内连线层时,如通过传统技术沉积于薄膜上的铜层,沉积层的内或外应力通常导致金属层自基材表面脱裂。
传统沉积技术如物理气相沉积(PVD)与电化学金属化制程无法于基材表面上选择性形成金属化特征。使用非选择性沉积制程形成不连续特征,需微影图案步骤与金属蚀刻步骤以在基材表面上得所需导电性图案,其通常花费高昂、时间密集、及/或劳力密集。
于太阳能蓄电池、笔记型电脑、平面显示器与结构玻璃与其他类似应用,可暴露于大气中或其他侵蚀基部物质或于基材表面上形成导电路径(conductive traces)的污染物。于若干应用中,一般希望形成覆盖涂层(blanket coating)或分离导电区(discrete conductive regions)以让施加电流通过或在不造成明显影响下抵抗静电。
因此,业界对直接沉积所需图案的导电金属层以形成内连线特征或其他对基材表面附着力强的元件结构的方法仍有需求。
发明内容
本发明大体上提供于基材表面上形成导电特征的方法,包含于基材表面上沉积含有金属氧化物前驱物的耦合剂;以及暴露耦合剂与基材表面于含四氧化钌气体,以形成含钌层于基材表面上。
本发明实施例更提供于基材表面上形成导电特征的方法,其至少包含沉积含有机物质于基材表面上;暴露有机物质与基材表面于含四氧化钌气体,其中四氧化钌氧化有机物质以选择性沉积含钌层于基材表面上;以及通过无电沉积制程沉积导电层于含钌层上。
本发明实施例更提供形成导电特征于基材表面上的方法,其至少包含沉积含金属氧化物前驱物的液态耦合剂于基材表面上;通过还原剂还原金属氧化物前驱物;以及通过无电沉积制程沉积导电层于含钌层上。
本发明实施例更提供选择性于基材表面上形成层的方法,其至少包含选择性应用液态耦合剂至基材表面所欲区域;以及通过含四氧化钌气体于所欲区域内形成含钌层。
本发明实施例更提供于基材上形成层状金属氧化物涂层,包含通过分解四氧化钌形成含钌层涂层;以及通过分解蒸气相含金属前驱物所形成的金属氧化物涂层。
本发明实施例更提供于基材上形成导电涂层,其至少包含通过传送含四氧化钌气体与含挥发性金属氧化物之前驱物至基材表面,以沉积混合金属氧化物涂层于基材表面上。
本发明实施例更提供于基材表面上形成导电特征的方法,其至少包含通过由沉积聚合性物质于基材表面上,于两分离元件间(形成于基材表面上)沉积介电层;暴露介电层于含四氧化钌气体,其中四氧化钌氧化介电层表面以形成含钌层;以及通过无电沉积制程沉积导电层于含钌层上。
附图说明
为使本发明上述特征的手法可被详尽理解,简明摘要本发明一特定实施例于上,其可参照实施例,其中一些则有附加图示加以阐明。然而值得注意的是,附加图示仅图解本发明典型实施例但不限制其范围,本发明亦承认其他等效实施例
图1是图解具金属化特征形成于其上的基材的等角视图;
图2是图解根据文中所述实施例的另一制程程序;
图3为基材表面的代表图,是图解于方法步骤100的不同时期中各式成份至基材表面的键结;
图4是图解根据文中所述实施例的另一制程程序;
图5是图解适用于施行文中所述实施例的制程腔室概要代表图;
图6是图解根据文中所述实施例的另一制程程序;
图7A是图解根据文中所述实施例的另一制程程序;
图7B是图解根据文中所述实施例的另一制程程序;
图7C是图解适用于施行文中所述实施例的制程室代表图;
图8A-C是图解通过文中所述制程形成的集成电路制造程序的概要代表图;
图9是图解根据文中所述实施例的制程程序。
主要元件符号说明
5基材            10表面
12耦合剂模组     13层
14导电层         20特征
100步骤          101步骤
110步骤          112步骤
113氟氯烷        114步骤
132步骤          134步骤
136步骤          200结构
210装置          211电接触
212装置          213电接触
220含硅物质      225含钌层
250气体源组件    251气体源
252气体源        404处理封围
405侧壁          406顶板
407底板          410淋浴头
420气体分布空间                421制程区域
422基材                        426进口线
427制程区                      430气体喷嘴开口
435真空泵                      462偏压射频产生器
464元件                        475元件
480控制器                      490射频电源
600沉积腔室                    601制程气体传送***
603制程腔室                    611B气体源
611C气体源                     612B气体源
620热交换装置                  621温控器
623基材支撑2                   623基材表面
625嵌式热传递线                637A阀
648制程线                      660出口线
670远距等离子源                671进口线
700B制程                       701步骤
701A步骤                       701B步骤
701C步骤                       701D步骤
702A步骤                       704步骤
706步骤                        900制程程序
902步骤                        904步骤
906步骤                        908步骤
1001含四氧化钌溶剂形成制程     1002步骤
1004步骤                       1006步骤
1008步骤                       1010步骤
1020分离硬体***               1021第一室
1022液位泵                     1023处理室组件
1023A温控装置                  1023B处理室
1024冷阱组件                   1024A温控装置
1024B收集区                1024C收集线
1024D溶剂收集槽/***       1025真空泵
1025A真空线                1026绝缘阀
A纯化溶剂混合物            B四氧化钌物质
H尺寸                      W尺寸
具体实施方式
本发明大体提供于基材电绝缘表面上选择性形成金属特征(如:电内连线特征)的设备与方法。大体而言,本发明态样可用于平面显示器制程、半导体制程、太阳能蓄电池制程、或任何其他基材制程。本发明特别可用在形成大面积基材表面上的电内连线,其中导线尺寸大体上大于半导体元件(纳米范围)及/或其形成特征大体上不这么密集。
本发明其他特征优点如提供结实的粘着覆盖导电层(或至导电层之前驱物)的方法,特别是欲以均匀保角薄膜涂布于复杂三维形状上。本发明图解描述于下者是关于处理大面积基材的化学气相沉积***,如:由应用材料分公司,美商业凯科技(AKT)所提供的化学气相沉积***。在一实施例中,适用于制程基材的制程腔室具表面积至少约2000cm2。然而须理解的是,本案设备与方法于其他***配置中亦具功效,包含配置于***循环的那些***、或隔绝于真空处理腔室内的三维基材、或其他允许引入气相反应物于控制模式的室。
本发明大体提供形成导电层的方法,其可选择性应用至基材表面上、或沉积如具抗腐蚀性的覆盖薄膜,其可用在侵略性环境中但沉积层并不会有显著分解。沉积导电层可具部份透明度穿过可见光、良好抗氧化性、与尺寸稳定度。此种薄膜于某些应用中很有效,如:电化学装置中的阳极。本发明实施例大体提供新化学作用、制程、与设备以提供保角与直接电化学或化学电镀含钌或含二氧化钌层。文中所述方法大体避免许多与其他传统方法有关的花费、保角性、缺乏选择性。建议的化学作用的反应性本质提供物理气相沉积粘着性、提供化学气相沉积保角性与均匀性。既然沉积步骤温度要求大体低于100℃,制程与后续化学电镀步骤皆适于高温敏感性聚合物与其他有机物质涂层。含钌沉积层的催化特性可提供为结实起始层,是用于任何介电层、阻障层或金属基材的无电镀金属化。
大体而言,文中所述实施例通过施行下述各式制程程序完成。图1图解具两个特征20的基材5,通过施行下述制程图案化于表面10上。在一实施例中,基材5的表面10可制作自任何数量的电绝缘、半导体、或含二氧化硅、玻璃、氮化硅、氮氧化物、及/或掺碳氧化硅、非晶硅、掺杂非晶硅、氧化锌、氧化铟锡、或其他相似物质的导电层。另一实施例中,基材可具至少一部份外露表面其包含前期过渡金属(如:钛或钽),其倾向于钝化或绝缘氧化薄膜表面。再一实施例中,基材可由聚合物或塑胶物质(须有导电金属特征形成于其上)形成。
耦合剂方法
图2是图解一是列方法步骤100的实施例,是使用耦合剂于基材5表面上形成导电特征20(图1)。第一步骤或分配耦合剂步骤110中,耦合剂被分配至基材5表面上以形成所需形状与尺寸的特征20。于一例中(如图1所示),沉积于基材5的表面10上的两特征20,其形状为矩型、且具以W为宽,H为高的尺寸。形成特征20的制程可大体包含但不限于喷墨印刷技术、橡皮压印(rubber stamping)技术、或其他可用以分配溶液于基材表面上形成具所需尺寸与形状图案的技术。可用于沉积耦合剂的示范方法与装置是描述于美国专利案号20060092204,其全文在不与此处所述请求态样及内容相抵触下合并以供参考。
在一实施例中,耦合剂可为任何可定义图案沉积的有机物质(CxHy),其不于基材表面上散开且可通过后续制程步骤氧化。例如:即使是用于典型橡皮压印垫或喷墨印刷油墨的传统油墨,亦可用在许多非有机介电层且不易被氧化的基材(如:二氧化硅或玻璃)表面10上形成特征20。
另一实施例中,有机硅烷是耦合剂含那些可产生自组装单层(SAM)薄膜于以氢氧化硅结尾的表面(如:胺基丙基三甲氧基甲硅烷aminopropyltriethoxysilane(APTES))。在一实施例中,通过喷墨、橡皮压印、或任何图案化智慧沉积(如:打印)液体或胶体介质于坚实基材表面上。在一实施例中,此步骤于后续热后处理后或直接以足够时间允许任何溶剂或过量耦合剂(如:SAM前驱物)挥发。另一实施例中,于足可使一单层至基材表面达到牢固或选择性键结的一段时间或热处理后,过量物质可通过适当溶剂清洗移除且允许图案干燥。
于第二步骤或暴露基材于含四氧化钌气体步骤112中,于真空相容处理腔室603(与图5于下文中讨论)定位基材,因此可传送含四氧化钌气体至形成于基材5表面上的特征20。既然四氧化钌为强氧化剂,于步骤110中沉积的耦合剂物质是选择性以含钌层(如:二氧化钌)取代,将对通过化学电镀技术沉积之后续金属薄膜成长具催化活性。
图3A-B是分别概要图解制程步骤110-112(图解于图2中)的一实施例。图3A概要图解附着于基材5的表面10上的粘结耦合剂模组12。图3A图解的耦合剂模组12倾向仅图示建立于特征20(形成于基材5表面上)的许多模组的一。
图3B图解步骤112,由于特征20的耦合剂模组12与四氧化钌模组(未显示)交互作用,氧化钌(如二氧化钌)模组取代基材表面上的耦合剂模组12。应注意的是,当使用硅烷是耦合剂时,将余留硅原子、氧化自组装单层的有机成份并以氧化钌取代。在此例中,硅烷是耦合剂将因此形成Si-O-RuOx型键结至基材表面。与使用四氧化钌是活化制程有关的独特特征为实际上使用任何有机与可氧化物质(包含传统油墨)为图案化介质的能力,事实上起始时存有的有机物质大体会在二氧化钌沉积制程中消灭,从而促进高度导电层形成与在某些情况下欧姆接触(ohmic contact)至下方元件层,特别是当稍后的钌后沉积步骤的导电氧化物或供导电物质。另一实施例中,如胺基丙基三甲氧基甲硅烷的耦合剂被具体使用是因其为催化剂(如钯盐)配位(coordinate)与产生键结点的能力,其引入接触至形成于特征20的耦合剂表面。于催化剂连结至耦合剂后大体上希望通过后续暴露至已知可影响配位物种(coordinated species)还原至零价原子金属核(atomic metal nuclei)、或纳米簇(nanoclusters)的还原剂能固定(fix)或活化催化物种,以帮助后续通过自催化化学电镀制程化学电镀连续导电层于其上的催化作用。
在本发明一态样中,步骤112的含钌层与耦合剂物质(沉积于步骤110)反应于真空腔室内,基材温度小于180℃且腔室压力介于约10mTorr与约1大气压(或约760Torr)。于易氧化油墨数量超过制作可供氧化的四氧化钌的情形中,处理(如大于150℃)会导致起始产生的三氧化钌完全或部分还原为钌金属。用以形成四氧化钌与施行步骤112的示范制程描述于下文,段落名称为「钌制程化学作用与硬体」,其进一步描述于2005年1月27日提出申请的美国专利临时申请案号60/648,004,以及2005年9月15日申请且共同受让于本案受让人的美国专利申请案号11/228,425中,其全文在不与此处所述请求态样及内容相抵触下合并以供参考。
参照图2、图3B-3C,于最后步骤或步骤114,化学电镀制程可沉积导电层于催化钌或二氧化钌层13上(形成于步骤112)。于此步骤中,包含催化二氧化钌层13的特征20暴露于无电化学(如:传统无电铜化学)导致自催化电镀选择性起始于钌覆盖表面上。步骤114大体用于形成金属层或导电层14于图案化催化钌是粘着与起始层,所具特质(如:厚度与导电特性)是允许形成的导电层14可通过所欲数量电流。在一态样中,包含钌与无电沉积金属的导电层14厚度可介于约20埃与约2微米。在一态样中,无电沉积金属可含金属如铜、镍、钌、钴、银、金、铂、钯、铑、铱、铅、锡或其他金属与可通过自催化无电镀制程电镀的合金。此外,特别就覆盖层四氧化钌衍生制程与结构而言,其图案化特征可为电性接触(electrically contacted),亦可通过化学电镀完成进一步金属化。
于方法步骤100一实施例中,于步骤114形成导电层前,于基材5施行简短(如2分钟)组成气体(forming gas)退火以转换二氧化钌表面为金属钌。退火制程大体而言可施行于温度介于约150℃与约500℃。此退火可用于改善成长于化学电镀步骤114的导电层14的起始速度与粘着。
金属氧化物前驱物是油墨与粘着层
图4图解一是列方法步骤101的一实施例可于基材5表面上以油墨或覆盖涂层形成金属化特征,覆盖涂层是含前驱物至金属氧化物(是选择以强键结至基材与后续以四氧化钌反应的气相反应所产生的二氧化钌)。于第一步骤中,分配金属氧化物前驱物油墨步骤132,分配油墨于基材表面上以形成所欲形状与尺寸的特征20。于一例中,如图1所示,特征20是以形状为矩形、尺寸W为长,H为高沉积于基材5的表面10上。
典型金属氧化物前驱物油墨或粘着涂层包含有机与无机成份,较佳为均相形式且衍生自单有机金属化合物。特别有效的化合物或聚合物含钛、锆、铪、钒、铌、钽、钼、钨、硅、锗、锡、铅、铝、镓与铟,其混合物以及与其他元素的组合物亦是。在一态样中,含金属催化物质对此制程很有效,特别是当基材物质为可氧化有机物质或聚合性物质,是可为过钌酸盐物质(RuO4 -),如过钌酸钠(NaRuO4)或过钌酸钾(KRuO4)。另一态样中,含金属催化物质通过钯化合物(如二价钯盐)形成,选择可与下方基材反应或牢固粘结至下方基材。再一态样中,含金属催化物质含高氧化态金属是选自于由锇(如:四氧化锇(OsO4))、铱(如:六氟化铱(IrF6))、铂(如:氯铂酸(H2PtCl6))、钴、铑、镍、钯、铜、银、与金所组成的群组。或可通过混合非有机或聚合性粘结成份配制油墨,其可提升介于催化金属成份与图案化基材间的良好粘着性。一些实施例中,这种粘着剂需要于与下方基材稳定度不相容的温度进行后续退火或焙烧(firing)步骤。
此种配置大体用在需强固粘着至氧化物是介电层或氧化金属表面应用较佳。例如:对图案化金属表面上的电导与电化学活性区域有效者有铝、钛、锆、铪、钒、铌、钽、铬、钼、与钨,其倾向通过扩大暴露于水、氧、或暴露于阳极偏压(anodic bias)形成绝缘与钝态氧化物层。用在此应用的油墨可含可溶性金属烷氧化物胶体溶液,在下文将称作sol gel。金属烷氧化物所含金属可包含前期过渡金属如钛、锆、铪、钒、铌、钽、钼、钨,或主族金属如硅、锗、锡、铅、铝、镓、或铟。此种溶液一般可通过溶解金属烷氧化物前驱物(溶于醇是溶剂)于水获得,添加充足的水以诱导部分水解与提供有效印刷所需粘度。例如:可通过组合1克异丙醇钛、20克异丙醇、与介于约0至约0.1克水获得有效「油墨」。
在一实施例中,加强粘着较佳为暴露基材表面于前处理化学溶液以产生亲水金属氢氧化物结尾的表面(于沉积油墨前)。于一例中,于去离子水清洗后适当的前处理溶液含硫酸与30%过氧化氢的混合物。另一例中,基材或暴露元件于基材表面上容易对酸性敏感,前处理溶液可含氢氧化铵与30%过氧化氢的混合物。
值得注意的是,本发明实施例亦提供形成均匀、或覆盖涂布于基材表面的方法。可用传统旋转、浸泡、或喷洒涂布制程于基材表面上沉积均匀、或覆盖、油墨涂布。此制程大体亦使油墨容易分散与形成层于基材表面上。
于若干例子的图案化层中,如图1所示形成于基材表面上的特征20,可用喷墨印刷、丝网印刷(silk screen)、模版印刷(stencil printing)、橡皮转印(rubber stamp transfer)、或任何其他具所欲解析度的类似印刷制程。于此例所选油墨应含可易于氧化(通过暴露于四氧化钌蒸气)的功能性,同时其他暴露基材表面则不应与四氧化钌蒸气反应。其亦须选择易在介于基材表面(如:介电表面、金属氧化物表面)与二氧化钌涂层的特征20(通过暴露于四氧化钌蒸气产生)间形成牢固与化学钝性键结的油墨。
所欲油墨一例为金属烷氧化物胶体溶液,如上述异丙醇钛胶体溶液。一般相信通过氧化含异丙醇钛的油墨产生的水可使钛胶体溶液进一步交联与稠化,以产生可相互渗透的二氧化钛-二氧化钌双层结构,形成含二氧化钌层用作介于基材与后续沉积二氧化钌层间的强固粘着层。虽然现已存在许多使用混合金属氧化物***的应用(如二氧化钌/二氧化钛与二氧化铱/二氧化钛),但对电化学室中用于阳极的尺寸稳定涂层而言,通常用以形成这些混合金属氧化物层的习知技术并无法修正以形成薄匀且连续的覆盖薄膜。文中所述方法由于使用可使暴露表面饱和(于沉积制程中)的含四氧化钌气体,因此可形成连续二氧化钌层。典型传统混合金属氧化物组成制程使用涂上、刷上或其他需高温的退火或烧结制程的类似技术以形成混合金属氧化物薄膜。混合金属氧化物薄膜使用的传统制程大体为不连续且具多种金属氧化物暴露于基材表面而非纯氧化钌层。
必须注意的是,文中所述制程可用以形成其他形式含金属氧化钌的混合金属氧化物,是通过由类似蒸气相程序或使用可氧化(如通过四氧化钌)前驱物至其他种类金属氧化物的图案化制程。为提升形成于基材上的特征20的粘着力与解析度,大体需要含干燥金属氧化物前驱物的油墨层厚度小于1微米,较佳为小于1000埃。大体而言,最小有效厚度足以为粘结金属前驱物的单吸收单层。例如:一些实施例中,油墨可含非可水解但易氧化的取代物,如通过覆盖蒸气的初期表面是使用二甲基二氯锡(dimethyldichlorotin)或油墨产生的有机锡物质薄膜。于此例中,粘着层前驱物厚度可薄如含二甲基二氯锡的单层(如约5
Figure A20068003302400161
)。于一些态样中,二氧化钌单原子层可足以起始自催化沉积较厚导电层(通过后续化学电镀制程)。
选择性于下一步骤或移除有机成份步骤134,油墨有机成份于基材表面应用后被移除。在一态样中,是希望于惰性或真空环境中将基材(具油墨沉积于其上)加热至约200℃至约300℃,以移除大部份或全部任何残留有机溶剂并改善催化前驱物至基材表面的键结。在一实施例中,特别适用于图案化易氧化基材(其与通过暴露于四氧化钌影像显影不相容),图案化程序会利用基材表面各种所欲区域上配置水溶液或含四氧化钌的卤化碳溶液、或碱金属过钌酸盐水溶液。于一例中,当形成过钌酸盐水溶液时,于提供油墨改善油墨转印与干燥特性前添加至少一质量当量的水溶性有机聚合物为有利的。于此类应用中,于油墨干燥后使用加热步骤(如大于等于250℃)对固定影像与分解有机添加物特别有效。有效的有机添加物可为低至中分子量(50,000<分子量<1000)的聚环氧乙烷寡聚物,通常称作聚乙二醇。
于最后步骤或无电沉积导电层步骤136中,导电层可沉积于金属化层上(形成于步骤132或步骤134)。于此步骤中,暴露金属化特征20于无电镀化学(如无电镀铜浴)其可导致后续自催化电镀制程催化起始以形成覆盖于区域(通过催化油墨起始定义)的无电镀金属薄膜。步骤136大体用于金属化层上形成导电层,其所具特性可经由新形成的内连线层通过所需电流。
催化油墨沉积制程另一实施例中,于塑胶基材上图案化过钌酸钠或稀释含过钌酸钠油墨溶液(solution ″ink″),以定义塑胶基材上无电镀内连线的催化粘着与起始层配置。典型塑胶基材可含但不限于聚合性物质如聚乙烯、聚丙烯、环氧涂布物质、硅、聚酰亚胺、聚苯乙烯、与交联聚苯乙烯。于此应用中,钌是油墨溶液为高度氧化并实际咬入(burns into)塑胶基材表面。制程从而沉积图案化二氧化钌层,其可用作催化种子与粘着层(用于后续使用无电镀金属电镀配方的电镀)。对此应用而言,催化特质对化学电镀制程很有效,其大体通过添加额外催化金属至油墨改善。例如:过钌酸盐是油墨可通过添加至多1摩尔当量的硝酸钯溶液(于硝酸内)至过钌酸盐是油墨配方里。此外,为免沉积于图案化区域的油墨渗色(bleeding),退火干燥的油墨影像为有利的。退火制程可能需要于空气中退火油墨以促进聚合物表面的氧化图案,接着置于渐减的大气中如组成气体(forming gas)。其他有效气相还原剂包含但不限于联胺或联胺水合物、亦可为各式主族元素氢化物气体(如:磷化氢、硅烷、或二硼烷)。于一例中,于普通(聚对苯二甲酸乙二醇酯)视图(viewg raph)薄膜上的铜内连线图案应用是使用可通过施行制程程序完成的喷墨印刷机,并可直接扩张至需要内连线特征的可挠式塑胶显示器或太阳能蓄电池应用。
二氧化钌或混合钌金属氧化物图案特征引人注目的一态样为,其与各式薄透导电氧化物层(如:氧化铟锡与氧化锌)结合使用,其可提供改善的粘着与低接触电阻起始层(是用于无电镀金属内连线的图案增长)。于此例中,选择最佳图案化程序是依凭那些暴露于含四氧化钌气体的元件层的相对反应性。大体而言,如果存在元件层相对于四氧化钌为钝性,较佳图案化方式为在暴露于四氧化钌蒸气后提供含油墨且易氧化的金属氧化物前驱物(通常含有机官能基)。然而于暴露基材表面可与四氧化钌反应的例子中,使用含四氧化钌或含钌酸盐离子(如RuO4-1与RuO4-2)混合物的油墨配方的图案化,较佳为用于形成不连续催化区域。
使用催化前驱物与图案化自组装层形成导电特征
在一实施例中,形成于基材表面上的导电特征20是通过用于基材5的表面10上图案化的自组装单层(图1)。第一步骤与上述结合步骤110(于图2内)的步骤相似,因此大体包含沉积自组装单层物质步骤,其于固体基材表面上以液体或胶体介质通过喷墨、橡胶压印、或图案智慧沉积的任何技术(如印刷)。在一实施例中,于后续热后处理(施行于减小的压力下可能有帮助)后的此步骤,或简单以足够时间使任何溶剂或过量耦合剂(如:自组装单层前驱物)挥发。另一实施例中,于一段时间或热处理后足以于一单层至基材表面间达成强固与选择性键结,可通过适当溶剂清洗过量物质且允许图案干燥。
于第二与最后步骤中,暴露基材表面于含催化金属前驱物溶液,如可溶钯、钌、铑、铱、铂、镍、或钴金属盐以形成催化层。为改善催化金属物种至基材表面的粘着并加速后续化学电镀制程的起始(但油墨不渗色至无电镀浴),于图案化步骤后暴露于强还原剂为有利的,较佳为伴随足够热的气相还原剂以确保催化油墨层还原以给出还原金属原子或簇。气相还原可通过暴露于高温大体高于250℃的联胺、联胺水合物或简单含氢气体的蒸气达成。催化油墨亦可通过使用典型化学电镀还原剂的溶液相反应还原且变成不可溶,如:二甲胺基硼烷(dimethylamine-borane)、碱金属硼氢化物(BH4-)、次磷酸盐(H2PO2-)、或乙醛酸溶液(CHOCO2-)。于一简例中,具如上述含图案化催化金属油墨的基材可直接转入化学电镀配方。
钌制程化学与沉积硬体
本发明实施例大体提供新化学作用、制程、与装置,以提供保角并直接电化学或化学电镀钌种层(seed layers)以避免传统金属化方法所遭遇问题。此策略大体需要使用前驱物四氧化钌,其可因应需求通过新硬体构件产生与传送。四氧化钌反应性质的化学作用提供物理气相沉积适于粘着、原子层适于保角性,且隔着强固起始层的钌催化特性可用于无电镀金属化实际上任何介电层、阻障层或金属基材。
钌是目前较便宜的白金族金属(PGMs)且显示出许多对使用于基材表面金属化区域具吸引力的特征。钌表面大体上不会因形成绝缘氧化物变钝化:钌二氧化物将于氧化环境中形成,但显示出金属导电性与易于还原为钌金属。
文中所述制程是利用四氧化钌独特特性与反应性以形成起催化活性的连续涂布于基材表面上。因四氧化钌具融点约略大于室温(27℃)与靠近室温的蒸气压介于约2至5Torr,其相较于先前技术使用挥发性较少、反应性较差、与较贵的钌化合物的钌沉积制程具许多优势。
当四氧化钌接触表面超过180℃,据报告将发生自发性分解为热力学上较稳定的二氧化钌,转变形式的金属钌于略高温度通过暴露二氧化钌表面于氢。后续反应的平衡方程式可简单写成如下所示的方程式(1)。
RuO4+H2(过量)→Ru(金属)+4H2O    (1)
然而用于蒸气相图案化制程的四氧化钌化学作用,其特具吸引力特征为起始可以逐步方式发生,选择性氧化单层表面(通常低于约150℃)且于较高温度非选择性(但仍保角)通过单分子分解为二氧化钌与氧。后续还原是通过暴露二氧化钌表面于于高温下(如大于等于250℃)的分子氢、氢等离子、或其他易挥发还原剂,接着完成如方程式(2a)与(2b)所示的原子层沉积钌循环,以提供厚度控制良好的薄膜其不包含潜在碳或与典型有机金属前驱物相互关联的碳氢配位体所衍生的不纯物。
RuO4+基底-H2→基底-O-RuO2+H2O    (2a)
基底-O-RuO2+H2(过量)→基底-O-Ru(金属)+2H2O    (2b)
在没有反应性表面情形下,温度升到至少100℃一段短时间,四氧化钌大体上为稳定的,但超过约180℃时将会分解为二氧化钌并释出氧。纯四氧化钌易分解的倾向限制了其销售、运送、与储存。然而因应需求生产四氧化钌及/或纯化与运送的制程仍是需要的。方程式(3)则表示一种可得方法。
Ru(金属)+2O3→RuO4+O2(3)
此反应显著且稀有的特征是四氧化钌可为主要动力优先(kineticallypreferred)产品,同时二氧化钌于热力学为更稳定且表示为反应尽头(deadend)。因反应非完全选择性,钌表面最后可以二氧化钌钝化且须再生。完成再生可通过暴露于顺流氢等离子或于组成气体里简单循环(超过250℃)。
处理腔室的一实施例中,其可用于沉积如图5所示的含钌层(如二氧化钌、钌(金属))。用在于基材表面上产生与形成含钌层的示范方法与装置将进一步描述于2005年9月15日所申请的美国专利申请案号11/228,425、于2005年9月15日申请且共同受让予本案受让人的美国专利申请案号11/228,629、2006年4月14日申请的美国专利临时申请案号60/792,123,其全文合并于以此供参考。用于基材表面上沉积钌层制程步骤可施行于ProducerTM平台,是由美国加州圣克拉拉的应用材料公司所提供。
图5是图解制程腔室603的一实施例,其可适于基材表面上沉积含钌层(使用含钌气体)。图5所示结构对沉积如上述含钌层(如:耦合剂方法制程、图案化自组装单层制程、内连线制程)与下述制程可为有效。沉积腔室600大体上包含制程气体传送***601与制程腔室603。注意到如图5所示制程气体传送***601与下述产生四氧化钌技术结合使用。应注意下述方法非用以限制本发明范围。产生四氧化钌气体的一方法是通过使用含臭氧气体与钌金属(或过钌酸盐),其进一步描述于2005年9月15日申请的美国专利申请案号11/228,425、2005年9月15日申请的美国专利申请案号11/228,629、2006年4月14日申请的美国专利临时申请案号60/792,123,其全文合并于以此供参考。
图5是图解制程腔室603的一实施例,其可适于基材表面上沉积含钌层。于一态样中,制程腔室603可适于沉积层,如:于基材表面上沉积含钌层前,基材表面上的阻障层是使用化学气相沉积、原子层沉积、等离子辅助化学气相沉积或等离子辅助原子层沉积制程。另一态样中,制程腔室603适于起初沉积含钌层,因此任何之前或后续元件制造步骤施行于其他处理腔室。于一态样中,之前或后续处理腔室与制程腔室603是附属在适于施行制造所需元件制程程序的群组工具(cluster tool)(未显示)。例如:沉积阻障层的制程程序在含钌层之前,阻障层可沉积于原子层沉积制程腔室,如Endura iCuB/STM腔室或ProducerTM型制程腔室,于制程腔室603内形成含钌层之前。再一态样中,制程腔室603为适于在低于大气压下沉积含钌层的真空处理腔室,如压力介于约0.1mTorr与约50Torr。于制程中使用真空处理腔室可为有利的,因在真空状态下处理可减少可与沉积薄膜结合的污染物数量。真空处理亦将改善四氧化钌至基材表面的扩散传输制程,并且倾向降低由对流型传输制程所引起的限制。在一实施例中,于处理中欲改变制程腔室压力介于0.1mTorr与约大气压。
制程腔室603大体上含处理封围404、气体分配淋浴头410、温控基材支撑623、远距等离子源670与连结至进口线671的气体源621B、与连结至制程腔室603的进口线426的制程气体传送***601。处理封围404大体包含侧壁405、顶板406、以及圈起制程腔室603与形成制程区域421的底板407。基材支撑623支撑基材422,固定于制程腔室603的底板407上。背侧气体提供(未显示)配置一气体(如氦气)于基材422背侧与基材支撑623间的缺口,以改善介于基材支撑623与基材422间的热传导。沉积腔室600的一实施例中,是通过由热交换装置620与温控器621加热及/或冷却基材支撑623,以改善与控制沉积于基材422表面上的钌层特性。于一态样中,热交换装置620为流体热交换装置,其包含与温控装置621(控制热交换流体温度)通信的嵌式热传递线625。另一态样中,热交换装置620为电阻式发热元件(resistive heater),于此例中嵌式热传递线625为与温控装置621通信的热阻元件。另一态样中,热交换装置620为适于加热与冷却基材支撑623的温差电装置(thermoelectric device)。真空泵435如涡轮泵、低温涡轮泵、鲁氏鼓风机(roots-type blower)、及/或粗抽泵(rough pump),控制制程腔室603内的压力。气体分配淋浴头410由连结至进口线426与制程气体提供425的气体分布空间420组成。进口线426与气体提供425于基材422上方经由复数个气体喷嘴开口430与制程区427通信。
本发明一态样中,于沉积制程中可能欲产生等离子以改善沉积的含钌层特性。于此配置中,淋浴头410由导电物质(如:电镀铝等等)制造,其用作等离子控制装置是通过使用附属于第一阻抗匹配元件475(impedance match element)与第一射频电源490。偏压射频产生器462经由阻抗匹配元件464提供射频偏压电力于基材支撑623与基材422。控制器480适于控制阻抗匹配元件(如475与464)、射频电源(如490与462)与所有其他态样的等离子制程。通过射频电源传递的电力频率可介于约0.4MHz(百万赫)至大于10GHz(十亿赫)。在一实施例中,通过调整频率及/或通过递送电力服务提供动态阻抗匹配至基材支撑623与淋浴头410。当图5图解一电容耦合等离子腔室,本发明其他实施例可含感应耦合等离子腔室或感应与电容耦合等离子腔室的组合但不悖离本发明基本范围。
在一实施例中,制程腔室603含远距等离子源(RPS)670是适于传送各式等离子产生物种或自由基至制程区域427。远距等离子源可适于与沉积腔室600(如Type AX7651)使用,反应性气体产生器则来自于美国麻萨诸塞州的威明顿公司产品MKS
Figure A20068003302400222
远距等离子源大体用于形成反应性成份如氢自由基,其可引入制程区域427。远距等离子源从而可改善激态气体物种的反应性以提高反应制程。典型远距等离子源制程可包含使用1000sccm(Standard Cubic Centimeter per Minute)氢、1000sccm氩、与350瓦与频率约13.56MHz的射频电源。组成气体的一态样中,可使用如一含4%氢其余为氮的气体。另一态样中,气体则可含联胺。一般而言,使用等离子激发产生可转换二氧化钌为钌的还原物种能使反应于较低温度下进行,且当其欲在低于约180℃下选择性沉积二氧化钌于预定义图案(例如:使用传统油墨或衍生自硅烷耦合剂(如胺基丙基三甲氧基甲硅烷)的自组装单层的喷墨定义影像),并接着于相同温度及/或相同腔室施行还原作用变成钌时更为有用。大体上此制程相较于纯热制程,其不利条件牵涉到腔室复杂度、更多微粒沉积可能性与沉积于腔室侧壁的钌选择性较小。
四氧化钌替代生产制程
图6是图解含四氧化钌溶剂的形成制程1001,其可通过使用含过钌酸盐源物质(如:过钌酸钠、或过钌酸钾)形成四氧化钌。分离水溶液制程(元件1002)的第一步骤开始是通过由先溶解过钌酸盐物质,如于第一室(如图7C的元件1021)水溶液内的过钌酸钠。另一实施例中,形成制程溶液可通过溶解钌金属于过量次氯酸钠溶液中,接着以硫酸滴定至酸碱值近7以释出四氧化钌。注意次氯酸盐物质如次氯酸钾或次氯酸钙,亦可用在取代次氯酸钠。四氧化钌很可能根据反应(4)生成。
2NaRuO4+H2SO4+NaOCl→2RuO4+NaCl+H2O+Na2SO4(4)
于一例中,生成制程溶液是通过混合50ml次氯酸钠(如10%次氯酸钠溶液)与1克细粉末钌金属,并搅拌直至完全溶解。足量的10%硫酸溶液于水中,接着添加至达酸碱值约7。通常任何不可氧化与不易挥发性的酸可用于取代硫酸,如磷酸。
含四氧化钌溶剂形成制程1001的一实施例中,于制程溶液中的附加纯化步骤1004可接着施行。步骤1005大体包含步骤为:1)于第一室加温制程溶液混合物至温度约50℃,以及2)使惰性气体或臭氧冒泡经由制程溶液以传送产生于第一室的蒸气至冷却的第二室(如小于等于20℃),产生的蒸气凝结以提供四氧化钌与水的混合物。于第一室产生的四氧化钌蒸气将因此收集在纯水中(包含于第二室)。值得注意的是在完成步骤1004后,第二室将包含水溶液组成,当第一室剩余成份可被丢弃或改造时将使用到含剩余四氧化钌溶剂的形成制程1001。步骤1004对帮助纯化用作如四氧化钌源物质的制程溶液可为有效。
于步骤1006中,添加若干数量溶剂至水溶液以溶解水溶液中所含的全部四氧化钌。适当溶剂大体上包含物质如全氟碳化物(CxFy)、氢氟碳化物(HxCyFz)、与氟氯碳化物(如:氟氯烷或氟氯碳化物)。任何溶剂物质大体上为非极性、不可氧化且具近50℃的沸点,较佳为低于约50℃(对施行此制程可为有效)。溶剂沸点较佳为介于约25℃至约50℃。一般而言,虽然氟氯烷与全氟碳化物较为有效,但较佳是使用全氟碳化物,其并不像臭氧层消耗物质(ozone depleting substances(ODS))。适合溶剂如全氟戊烷(C5F12)或全氟己烷(C6F14)。同时氟氯烷如氟氯烷11(三氯氟甲烷(CFCl3))、或氟氯烷113(1,1,2-三氯-1,2,2-三氟乙烷(CCl2FCClF2))或各式可用作溶剂的常见冷却剂,特别是如果整个制程可于密封***内施行可预防其释放至环境中。用在半导体工业的全氟碳化物具许多优势,因其纯形式容易购买、其非臭氧层破坏物质、以及为极度惰性,因此大体上将不与暴露于制程中的物质反应。
含四氧化钌溶剂形成制程1001的一实施例中,可接着完成选择性步骤1008于步骤1006中形成的溶剂混合物。此步骤增加经由第一室(如图7C的元件1021)所含溶剂混合物气泡化臭氧的动作,其保持近室温的较佳温度以确保四氧化钌完全形成。四氧化钌产生步骤的范例包含流动的含4%臭氧气体,以500ml/min的速率经由含1g过钌酸钠、50ml水与25g氟氯烷113的混合物,直至形成所欲数量的四氧化钌。
含四氧化钌溶剂形成制程1001的最后步骤1010大体需要在完成步骤1006及/或1008后将溶剂混合物与水分离的步骤,以形成无水的(anhydrous)溶剂混合物。于一态样中,通过选择不与水混溶的溶剂使得水可易于通过传统物理分离制程自溶剂混合物移除。如果未能自溶剂混合物完全分离出水,对后续制程步骤可能会造成困扰且会降低四氧化钌沉积于图案化层的选择性。如果选择溶剂与水不互溶且与水具不同密度,如全氟碳化物:氟氯烷11或氟氯烷113,多数水可轻易通过简单机械技术(如:分离漏斗、虹吸管或泵)自静态混合物分离。完全移除残余水可通过分子筛(如3A分子筛)接触液体完成,于传统过滤后使用多孔膜或织物(对四氧化钌为惰性),合适例子包含有铁氟龙膜或玻璃纤维织物。无水溶剂混合物可接着传送至标准化学气相沉积前驱物源设备中的工具上以及欲沉积含钌层的制程中。重要的是注意到固体纯四氧化钌大体不稳定,使得处理困难且难以自一地传送至他处。然而文中所述本发明的优势为设计有效传送及/或产生四氧化钌的方法,其可用于形成含钌层。于一态样中,欲于环境中不暴露于光的运送与安置四氧化钌可预防四氧化钌分解为二氧化钌与氧。
在一实施例中,于后续含钌层沉积制程步骤中,重要的是确保所有污染物自无水溶剂混合物移除,以预防或最小化基材表面污染物。在一态样中,确保移除所有或几乎所有的污染物,在混合物或其成份准备暴露于基材表面前各式纯化制程可于无水溶剂混合物中完成。在一态样中,纯化制程可包含于制程溶液中(形成于步骤1002)至少完成步骤1004一次。另一态样中,于含四氧化钌溶剂形成制程1001的制程步骤1010,于制程溶液中至少完成一次。
使用含四氧化钌溶剂形成钌层
于施行含四氧化钌溶剂形成制程1001后,无水溶剂混合物接着通过使用图7A所示的制程700B形成含钌层于基材表面上。在此实施例中,制程700B的步骤可重新安排、修改、可移除一或多个步骤、或可在不悖离本发明基本范围下结合二或多个步骤为单一步骤。例如:在一实施例中,自制程700B移除制程步骤704。
制程700B的第一步骤或步骤701,要求自剩余无水溶剂混合物分离出四氧化钌。在一实施例中,步骤701为一是列制程步骤(参照图7B的制程程序701A),其可利用分离硬体***1020(参照图7C)分离自剩余无水溶剂混合物分离出四氧化钌。图7B图解可用于施行制程步骤701的制程程序701A。制程程序701A通过传送与接触第一室1021(所含无水溶剂混合物(元素A)是通过使用含四氧化钌溶剂形成制程1001形成)至处理室组件1023开始。图7C所示硬体倾向可传送含四氧化钌气体至制程腔室。
制程程序701A的第一步骤(步骤701B)通过引入所需数量无水溶剂混合物至处理室组件1023开始,是使用液位泵1022或其他传统流体传送制程。接着通过温控装置1023A、真空泵1025、及/或一或多个气体源611B-C抽空处理室组件1023B至所需温度与压力(步骤701C),因此溶剂将因其较四氧化钌具较高蒸气压而蒸发,从而自留在处理室1023B(图7C的元素B)的四氧化钌物质分离。例如:如果氟氯烷113用作溶剂物质,小于约0℃的温度与约360Torr的压力可用来自溶剂混合物分离出固态四氧化钌。可用约3Torr的低压施行分离制程,但溶剂会带走较大量四氧化钌,因此当用以完成此步骤的压力较低时会流失。
制程程序701A的最后步骤,步骤701D,大体需要抽空处理室1023B直至处理室压力达所欲程度或至室内压力稳定时。大体而言,施行步骤701D直至仅小量溶剂、残余水、及/或其他可溶的外来物质残余在处理室1023B。无法自四氧化钌物质适当地分离其他物质,于后续沉积制程可能导致含钌层污染。在一态样中,控制处理室1023B温度移除溶剂或其他物质为有利的。
制程程序701A的一态样中,冷阱组件1024用于收集与回收蒸发的溶剂物质(由处理室1023B产生,通过真空泵1025抽空)。冷阱组件1024适于冷却真空线1025A的一部份至可导致蒸发溶剂物质凝结的温度,因此凝结溶剂于后续步骤可被收集至收集槽/***1024D。冷阱组件1024大体包含冷冻真空线1025A的收集区1024B、绝缘阀1026、温控装置1024A(如:流体热交换装置、电阻加热装置、及/或温差电装置)、与连结至溶剂收集槽/***1024D的收集线1024C。在一态样中,任何凝结溶剂中所收集的四氧化钌都是可以回收利用的。
处理室1023B所含的分离四氧化钌(于施行步骤701后),可接着通过制程步骤702A(图7A)于基材表面形成含钌层。制程步骤702A需控制处理室1023B所含四氧化钌物质温度与处理室1023B内部压力,以使残余固态四氧化钌可蒸发而传送至沉积腔室的制程区。在一实施例中,于步骤704中残余固态四氧化钌被蒸发接着凝结并收集至配置于处理室1023B与制程腔室间(如图5的元件603)的来源室(未显示)。于步骤704中,不凝结气体则自来源室以流动的惰性气体排出。于步骤704尾声,凝结的四氧化钌接着被蒸发并传送至制程腔室(以较纯的形式)。文中所用术语「蒸发(vaporize)」,倾向于描述导致物质由固态或液态转变为蒸气的制程。于一例中,维持四氧化钌物质于温度约25℃与抽空制程腔室至其基压(base pressure),大体上低于约0.1Torr,在介于四氧化钌与制程腔室间的阀打开后可改善四氧化钌蒸气传送至制程腔室而毋需载送气体(carrier gas)。参照图7C的一态样中,蒸发四氧化钌通过惰性载送气体流自一或多个气体源611B-C、经由处理室1023B、制程线648与阀637A传送至制程腔室(未显示)或来源室(未显示)。含四氧化钌气体的浓度与流率与制程气体流率以及处理室1023B内四氧化钌的蒸发率有关。蒸发率与处于处理室1023B内所维持的温度压力下的四氧化钌平衡分压有关。施行步骤702A后,可通过上文中钌制程化学与实施硬体段落中所述步骤,沉积含钌气体于基材表面上。在一实施例中,传送多个四氧化钌连续剂量至制程腔室(未显示)以形成多层含钌薄膜。欲施行多个连续剂量需至少一制程步骤701至制程步骤706(结合描述于图7A),重复许多次以形成多层含钌薄膜。另一实施例中,于含钌层沉积制程中,传送达所需浓度的含四氧化钌气体连续流扫过基材表面。为促进四氧化钌蒸气最佳利用率,较佳为抽空整个沉积***至其基线并仅用量足以沉积所需薄膜厚度的四氧化钌蒸气重新填充。
使用无水溶剂混合物的沉积制程
于基材表面形成含钌层制程的一实施例中,形成于含四氧化钌溶剂形成制程1001的无水溶剂混合物,可直接传送至位于制程腔室603(参照图5)的基材表面上。在一态样中,惰性溶剂(如全氟戊烷)大体不与四氧化钌、金属烷氧化物/氧化物前驱物油墨或图案化的基材反应,是用以稳定四氧化钌与帮助混合物至制程腔室603的液位。参照图5,在此实施例中,通过同时传送用在基材(配置于制程腔室603制程区域427内)表面上的四氧化钌蒸气与惰性溶剂,于加热的基材表面形成含钌层。当加热基材温度升高至大于约100℃时,仅限以油墨图案化区域的二氧化钌选择性沉积的有效性会降低;且当大于约180℃时,则横跨基材表面进行非选择性二氧化钌沉积。
参照图5的一实施例中,通过使用载送气体(自气体源611B传送)与含氢气体(如氢气),传送所需数量或质量纯化溶剂混合物(元素A)至制程区域427以形成钌层于基材表面上。在一态样中,替代氢的还原共反应物(co-reactant)可为联胺,其通过惰性载送气体(如氮)携入。在一态样中,经第一室1021自气体源611C传送的载送气体,其包含无水溶剂混合物接着直接经由出口线660至基材422(配置于制程腔室603制程区域427内)。另一实施例中,传送无水溶剂混合物多个连续剂量至制程腔室603以形成多层含钌层。为进行多个连续剂量,会将所需数量的无水溶剂混合物连续数次传送至基材以形成多层含钌层。
另一实施例中,于含钌层沉积制程中,无水溶剂混合物的连续流适于流经基材422表面。在一态样中,无水溶剂混合物流过基材表面并通过真空泵435收集。在一态样中,冷阱组件1024(图7C)与收集槽/***1024D(图7C)与制程区域427进行流体交换,且以真空泵435收集任何残余无水溶剂混合物成份(如:溶剂与任何未反应四氧化钌)。
蒸气相混合金属氧化物薄膜沉积制程
在一实施例中,一或多层二氧化钌与其他金属氧化物如二氧化钛、氧化锡(SnOx;x=1 or 2)或氧化锌(ZnOx;x=1 or 2)、氧化钨(WxOy)、氧化锆(ZrxOy)、氧化铪(HfxOy)、氧化钒(VxOy)、氧化钽(TaxOy)、或氧化铝(AlxOy)共同沉积于基材5的表面10上以产生具加强粘着与抗腐蚀的导电层。此种结构对应用在暴露层于强氧化介质时有效。大体而言,金属氧化物层可由第III族、第IV族、与过渡金属形成。对混合二氧化钌与金属氧化物层的较厚与较佳导电层所需制程,厚度可通过连续交替暴露于挥发性金属氧化物前驱物与含四氧化钌气体中轻易增加。例如:端视其选择前驱物的挥发性,此制程可通过交替暴露于蒸气相异丙醇钛与四氧化钌间轻易执行,而不需稀释或与惰性载送气体一同引入抽空的制程腔室。
参照图5的一实施例中,气体源组件250包含复数个适于传送沉积气体至进口线426、制程区域427与基材422的气体源251、252。气体源251、252的每一亦可含连接至控制器480的数个阀(未显示),因此含钌气体可自制程气体传送***601(图5)传送,及/或沉积气体可自气体源251、252传送。
图9描述根据文中所述实施例的制程程序900,是形成含多层金属氧化物与基材422表面上的含钌层的涂层。制程900包含步骤902-908,其中金属氧化物与含钌层是通过使用有助益的蒸气相挥发金属氧化物前驱物与含四氧化钌气体直接沉积于基材表面上。
于步骤902中,施行选择性预洁净步骤预处理基材表面以增加亲水性表面官能基如Si-OH部份,其可接着与金属烷氧化物反应以产生粘结金属氧化物前驱物。合适预洁净溶液范例已描述于上文中。
于步骤904中,沉积金属氧化物层于基材表面上是通过由自气体源(如图9所示气体源251)传送沉积气体至基材表面上。在一态样中,配置基材于具温控的基材支撑623,其温度维持介于约20℃至约100℃。当文中所述制程900以沉积金属氧化物层而非含钌层开始时应注意,此配置并非倾向限制在此所述的本发明范围。于一例中,当使用塑胶基材(如聚乙烯基材)时,通常会先形成含钌层于金属氧化物层之前,是因四氧化钌与聚合物基材物质反应产生反应性官能基与其他金属前驱物如烷氧化物,可容易反应。
在一实施例中,金属氧化物层含二氧化钛、氧化钨、氧化锆、氧化铪、氧化钒、氧化钽、氧化铝、氧化锡或氧化锌物质,是使用来自气体源组件250的沉积气体沉积。大体上金属氧化物及/或二氧化钌层可通过化学气相沉积或原子层沉积制程沉积或形成于基材上,虽一或其他可通过使用含金属氧化物油墨前驱物于逐步图案化制程(patternwise process)(使用任何前述技术)的起始沉积。另一实施例中,可以金属氧化物前驱物涂布(均匀或以其他方式)整个基材表面,于后续提供强固、粘着与抗腐蚀涂层的单或多蒸气相处理前,其与上述用以产生导电图案程序一致且可应用至实际上任何基材型式。
于一例中,以Si-OH结尾的二氧化硅基材表面产生于步骤902,其暴露于异丙醇钛蒸气中可产生单层或更多吸附Si-O-Ti(i-OPr)x官能基,为后续牵涉到的氧化作用(通过四氧化钌)以及通过产生的水与任何残余异丙氧化物基团水解的反应准备。于此例中,二氧化钛层可通过含约0.1%至约100%异丙醇钛、其余为惰性载送气体(如氩或氮)的沉积气体沉积于基材表面上。沉积的二氧化钛前驱物层厚度可介于约2埃与约500埃。典型制程腔室压力维持在总压低于约10Torr且加热基材至温度介于约25℃与约250℃,较佳为小于约100℃。
另一例中,使用传统钛前驱物形成金属氧化物层如四氯化钛、四二乙基胺钛(tetrakis diethylaminotitanium)、四二甲基胺钛(tetrakisdimethylaminotitanium)。再一例中,形成金属氧化物层的金属如锡、钨、锆、铪、钒、钽、与铝,使用传统前驱物如异丙醇钛、四氯化钛、四二乙基胺钛、四二甲基胺钛、异丙醇锡、四甲锡、四二甲基胺锡、乙醇钨(V)、乙醇钨(VI)、异丙醇锆、四二甲基胺二甲基酰胺锆、四乙基甲基胺乙基甲基酰胺铪、四二甲基酰胺铪、四-t-丁醇铪、四乙醇铪、三异丙醇氧化钒、乙醇铌(V)、乙醇钽(V)、与三甲铝。可于后续氧化沉积层以形成金属氧化物层或于沉积制程中注入氧化物质至腔室制程区域。于一例中,于后续使用含小量水蒸气(ppm范围)的气体氧化钛层,将其传送至温度维持在高温如约100℃的基材表面。
步骤904的一实施例中,沉积金属氧化物层于具导电表面(使用电化学制程)的基材上。于一例中,于使用传统物理气相沉积技术的基材上形成钛层。形成的钛层可接着通过加热基材与暴露于氧化气体(如50-250℃)而氧化。另一例中,使用传统电化学电镀技术其利用含四氯化锡电解质溶液于基材上形成锡层。形成的锡层可接着通过加热基材与暴露于氧化气体而氧化。再一例中,使用传统电化学电镀技术其利用含硫酸锌电解质溶液或来自蒸气相(使用二氯化锌或二乙锌)于基材上形成锌层。形成金属层于可产生导电接触的制程中,可暴露于含四氧化钌气体氧化。
于步骤906中,使用传送自四氧化钌源的含四氧化钌气体于基材表面上直接沉积含钌层,如上述图5中的制程气体传送***601。步骤906可包含制程700B描述于图7A中的全部步骤,其用于基材表面上沉积含钌层。步骤906大体用于形成薄混合钌-金属氧化物薄膜,可用作后续通过化学电镀金属化的粘着或起始层。于一例中,二氧化钌层沉积于温度维持在小于约100℃的基材表面上,使用的沉积气体含约0.1%至约100%四氧化钌、其余为惰性载送气体(如氩或氮)。在此例中,二氧化钌层厚度可介于约2埃与约50埃。典型制程腔室压力维持在总压低于约10Torr且加热基材至温度介于约25℃与约200℃。如果选择性沉积制程欲通过前述使用含金属氧化物前驱物油墨的策略覆盖表面,较佳温度为小于约100℃。
在一态样中,欲降低混合金属氧化物钌氧化态自+4(于二氧化钌)至较小值。自四氧化钌沉积二氧化钌后通过添加附加蒸气相程序可轻易完成,其牵涉到于相同或不同制程腔室内使用挥发性还原剂的处理。于一例中,分子氢用作还原剂。为增加还原剂活性如氢,可加热基材(如>200℃)或产生等离子放电使二氧化钌基材表面与氢离子、自由基、与电子产生交互作用。或者可于低温通过选择更具反应性的挥发性还原剂还原二氧化钌。用于温度小于100℃产生还原钌表面的适当还原剂包含联胺或联胺水合物的蒸气,或反应通过各式主族元素氢化物气体如磷化氢、硅烷、或二硼烷,然而于此例中产物将混合衍生自还原剂的固态氧化产物。
最后于步骤908中,基于步骤902与904重复施行的循环数,或达到含金属氧化物的涂层与二氧化钌层所需导电性,将结束制程程序900。于一例中,仅单层金属氧化物与单层二氧化钌沉积于基材表面上。另一例中,沉积多层金属氧化物与二氧化钌层直至总涂层厚度介于约50埃与约10,000埃。
另一实施例中,金属氧化物(如二氧化钛、二氧化锡、二氧化锌)与二氧化钌共沉积形成层,沉积层含所欲百分比的金属氧化物与二氧化钌。在一态样中,形成层可含约5%至约95%二氧化钛且其余为二氧化钌。此制程的优势在于,不论是通过连续暴露于四氧化钌与其他挥发性氧化物前驱物或混合挥发性前驱物蒸气施行,是用于产生薄、密、均相且无定形膜,特征为氧化钛与氧化钌大量的均相分布(其为互相分散而非仅二氧化钛与二氧化钌纳米微粒的复合),通常使用典型传统制程形成。
此结构通过四氧化钌于中间溶液扩散可使异丙氧化物一部分的氧化性取代,从而避免典型发生于制程(牵涉到胶体溶液热固以形成稠密金属氧化物)的容积大幅减少。四氧化钌的氧化特性导致异丙氧化物降解为二氧化碳与水,后续动作是改善异丙醇钛进一步水解产生低碳非有机混合钌-金属氧化物结构(全部含钌钛氧化物)。薄膜最后钛钌比例受此制程影响,可因物质含相较于所有金属少量的钌(0.5-10%钌摩尔分率)、或实质上100%二氧化钌表面(产生于位于基才表面上的烷氧化钛起始与粘着层的薄层上)大幅改变。当所给实例迁涉到本发明实施例的钛与异丙醇钛前驱物时,亦可扩张至其他列出的金属烷氧化物前驱物实例。于沉积制程中,典型腔室压力维持在介于1Torr与1atm(760Torr),较佳为介于2Torr与200Torr。
已经发现形成层结构及/或金属氧化物共沉积层(如二氧化钛与二氧化钌),可增加形成的导电混合金属氧化物层的粘着强度与抗腐蚀。同时亦相信文中所述实施例较传统通过烧结与退火微粒或用作前驱物的部分凝结胶体溶液混合物至含二氧化钌与二氧化钛混合物所形成混合金属氧化物具优势,因稠密连续导电薄膜可于较低温于各式基材(包含聚合物)上获得并具通常伴随替代方式的显著收缩。
于此例应注意,欲形成薄混合钌/钛金属氧化物层所涉及的第一步骤包含以烷氧化钛溶液于醇类溶剂的稀释溶液逐步图案化或覆盖涂布基材。任何于上文中所提到的制程程序可使用如:通过结合约1g异丙醇钛、约20g异丙醇与约0.1g水所产生的胶体溶液油墨施行。依据印刷方法与图案化或涂布基材,可增加异丙醇钛与水的浓度或改变溶剂以达所欲湿润特质与蒸发率。后续暴露于四氧化钌蒸气典型于100℃或低于100℃施行(不需高温退火步骤),以产生具良好导电度与稳定度的混合钌-钛氧化物。然而如果未能通过基材稳定度防止,则可用高温退火改善薄膜显示的晶状特性。
内连线形成制程
在一实施例中,形成内连线于通过使用印刷制程与含钌层沉积制程的元件间。图8A图解形成于基材5上的元件结构200的代表图,其具两元件210与212且其每一各自有电接触211与213。于下列制程步骤中,欲于各式电接触211与213间形成电内连线。制程大体包含下述步骤。
第一步骤图示于图8B中,是为沉积含硅物质220于基材表面上。含硅物质220沉积可通过喷墨印刷或其他允许沉积物质定位于基材表面上所欲位置的制程。例如:介电物质可为光固化或热固化硅系物质,大体组成为R2-xSiO1+.0.5x,其中R=CH3且x大体介于0.5<x<0.1。在一态样中,光固化硅系物质沉积横跨于基材表面上。接着暴露沉积硅物质所需部份于若干光源中以使所欲区域中的物质固化。在一实施例中,欲于形成于基材5表面上的邻近元件(如元件210与212)间产生绝缘层,可用光固化硅产生个别的隔室(cell)(参照第8B图的元件220)。于此例中,典型元件210与212以层形成且互相绝缘,通过激光或机械刻线制程(mechanicalscribing process)移除内连线层从而产生个别隔室。当这些层被移除以暴露出下方透明玻璃基材,施行此种暴露可通过经玻璃基材5的照明(自底部/背侧)于暴露区域产生自对准绝缘层(self aligned insulating layer),并于移除非暴露区后使用适当清洗溶剂。
接着置放基材于真空腔室内并暴露于含四氧化钌气体于温度小于180℃,较佳为介于20℃与100℃,以选择性形成含钌层225于绝缘硅桥上连接电接触211与213。四氧化钌将优先形成于含硅物质220上且接触暴露元件层(如211与213)。用于形成四氧化钌的示范制程与施行步骤112讨论于上文中「钌制程化学与实施硬体」段落且描述于美国专利公开案号20060165892,其全文在不与此处所述请求态样及内容相抵触下合并以供参考。
之后可形成主体金属层(bulk metal layer)(未显示)于含钌层225上,通过化学电镀制程于个别的光子伏特隔室(photovoltaic cells)或像素(pixels)间形成所需内连线层。
前述是针对本发明实施例,可设计其他与进一步本发明实施例但不悖离其基本范围且其范围通过权利要求定义。

Claims (25)

1.一种形成导电特征于基材表面上的方法,其至少包含:
沉积含有金属氧化物前驱物的耦合剂于基材的表面上;以及
暴露该耦合剂与该基材的表面于含四氧化钌的气体,以形成含钌层于该基材的表面上。
2.如权利要求1所述的方法,其更包含利用无电沉积制程沉积导电层于该含钌层上。
3.如权利要求1所述的方法,其中该耦合剂为氧化性催化前驱物,其包含选自钌、锇、钴、铑、铱、镍、钯、铂、铜、金与银所组成的群组的金属。
4.如权利要求2所述的方法,其中该导电层是由导电物质所形成,该导电物质是选自铜、钴、镍、钌、钯、铂、银与金所组成的群组。
5.如权利要求1所述的方法,其中该基材的表面是由一物质所形成,该物质是选自二氧化硅、玻璃、氮化硅、氮氧化物、掺碳氧化硅、非晶硅、掺杂非晶硅、氧化锌、氧化铟锡、过渡金属与聚合性物质所组成的群组。
6.如权利要求1所述的方法,其中上述沉积该耦合剂的步骤至少包含:
沉积该耦合剂至该基材的表面上的所欲区域;以及
于真空环境内,加热该基材至低于约100℃的温度。
7.一种形成导电特征于基材表面上的方法,其至少包含:
沉积含有机物质于基材的表面上;
暴露该有机物质与该基材的表面于含四氧化钌的气体,其中该四氧化钌可氧化该有机物质以选择性沉积含钌层于该基材的表面上;以及
利用无电沉积制程沉积导电层于该含钌层上。
8.如权利要求7所述的方法,其中该含有机物质为有机硅烷物质。
9.如权利要求7所述的方法,其中该导电层是由导电物质所形成,该导电物质是选自铜、钴、镍、钌、钯、铂、银与金所组成的群组。
10.如权利要求7所述的方法,其中该基材的表面是由一物质所形成,该物质是选自二氧化硅、玻璃、氮化硅、氮氧化物、掺碳氧化硅、非晶硅、掺杂非晶硅、氧化锌、氧化铟锡、过渡金属与聚合性物质所组成的群组。
11.一种形成导电特征于基材表面上的方法,其至少包含:
沉积包含金属氧化物前驱物的液态耦合剂于基材的表面上;
利用还原剂还原该金属氧化物前驱物;以及
利用无电沉积制程沉积导电层于该含钌层上。
12.如权利要求11所述的方法,其中该液态耦合剂包含选自钌、锇、钴、铑、铱、镍、钯、铂、铜、金与银所组成的群组的高氧化态金属。
13.如权利要求11所述的方法,其中该导电层是由导电物质所形成,该导电物质是选自铜、钴、镍、钌、钯、铂、银、与金所组成的群组。
14.如权利要求1所述的方法,其中该基材的表面是由一物质所形成,该物质是选自二氧化硅、玻璃、氮化硅、氮氧化物、掺碳氧化硅、非晶硅、掺杂非晶硅、氧化锌、氧化铟锡、过渡金属与聚合性物质所组成的群组。
15.如权利要求11所述的方法,其中上述沉积该耦合剂的步骤至少包含:
沉积该耦合剂至该基材的表面上的所欲区域;以及
于真空环境内,加热该基材至低于约100℃的温度。
16.一种选择性形成层于基材表面上的方法,其至少包含:
选择性应用液态耦合剂至基材表面上的所欲区域;以及
利用含四氧化钌气体于该所欲区域内形成含钌层。
17.如权利要求16所述的方法,其中该液态耦合剂包含金属烷氧化物(metal alkoxide)。
18.如权利要求16所述的方法,其中该金属烷氧化物的金属是选自钛、锆、铪、钒、铌、钽、钼、钨、硅、锗、锡、铅、铝、镓与铟所组成的群组。
19.如权利要求16所述的方法,其中上述选择性应用该液态耦合剂至少包含:
沉积该液态耦合剂至基材的表面的所欲区域;以及
于真空环境内,加热该基材至低于约100℃的温度。
20.一种将层状金属氧化物涂层形成于基材上的方法,其至少包含:
通过分解四氧化钌而形成含钌层涂层;以及
通过分解蒸气相含金属前驱物而形成金属氧化物涂层。
21.如权利要求20所述的方法,其中该蒸气相含金属前驱物是选自异丙醇钛、四氯化钛、四二乙基胺钛、四二甲基胺钛、异丙醇锡、四甲锡、四二甲基胺锡、乙醇钨(V)、乙醇钨(VI)、异丙醇锆、四二甲基胺二甲基酰胺锆、四乙基甲基胺乙基甲基酰胺铪、四二甲基酰胺铪、四-t-丁醇铪、四乙醇铪、三异丙醇氧化钒、乙醇铌(V)、乙醇钽(V)与三甲铝所组成的群组。
22.如权利要求20所述的方法,其中该金属氧化物包含选自钨、钼、钒、铝、铪、钛、铌、锆与锡所组成的群组的元素。
23.一种将导电涂层形成于基材上的方法,其至少包含通过传送含四氧化钌气体与一含挥发性金属氧化物之前驱物至基材表面,以沉积混合金属氧化物涂层于该基材表面上。
24.如权利要求23所述的方法,其中该含挥发性金属氧化物之前驱物是选自异丙醇钛、四氯化钛、四二乙基胺钛、四二甲基胺钛、异丙醇锡、四甲锡、四二甲基胺锡、乙醇钨(V)、乙醇钨(VI)、异丙醇锆、四二甲基胺二甲基酰胺锆、四乙基甲基胺乙基甲基酰胺铪、四二甲基酰胺铪、四-t-丁醇铪、四乙醇铪、三异丙醇氧化钒、乙醇铌(V)、乙醇钽(V)与三甲铝所组成的群组。
25.一种形成导电特征于基材表面上的方法,其至少包含:
通过沉积聚合性物质于该基材表面上,以在形成于该基材表面上的两个分离元件的间形成介电层;
暴露该介电层于含四氧化钌气体,其中该四氧化钌可氧化该介电层表面以形成含钌层;以及
利用无电沉积制程沉积导电层于该含钌层上。
CNA2006800330240A 2005-09-08 2006-09-07 大面积电子设备的图案化化学电镀金属化制程 Pending CN101578141A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US71502405P 2005-09-08 2005-09-08
US60/715,024 2005-09-08

Publications (1)

Publication Number Publication Date
CN101578141A true CN101578141A (zh) 2009-11-11

Family

ID=37836490

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006800330240A Pending CN101578141A (zh) 2005-09-08 2006-09-07 大面积电子设备的图案化化学电镀金属化制程

Country Status (7)

Country Link
US (1) US20070190362A1 (zh)
EP (1) EP1937419A4 (zh)
JP (1) JP2009508003A (zh)
KR (1) KR20080050612A (zh)
CN (1) CN101578141A (zh)
TW (1) TW200714741A (zh)
WO (1) WO2007030672A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104169464A (zh) * 2011-11-17 2014-11-26 表面创新有限公司 将氧化锌施加至基材的方法
CN113638017A (zh) * 2021-08-12 2021-11-12 深圳先进技术研究院 一种在金属导电图形上电镀Pt的方法

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1994555A4 (en) 2006-03-10 2009-12-16 Advanced Tech Materials PRECURSOR COMPOSITIONS FOR STORING ATOMIC LAYERS AND CHEMICAL PREVENTION OF TITANIUM, LANTHANATE AND DIELECTRIC TANTALATE FILMS
KR101483318B1 (ko) * 2007-02-21 2015-01-16 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 기판상에 루테늄계 막을 형성하는 방법
US8906501B2 (en) * 2007-10-05 2014-12-09 The United States Of America As Represented By The Secretary Of The Navy RuO2 coatings
CN101889480A (zh) * 2007-10-16 2010-11-17 Hcf合伙人股份两合公司 具有电致磷光质涂覆的发射量子点的有机发光二极管
EP2222889A4 (en) * 2007-11-06 2010-12-29 Hcf Partners L P ATOM LOCATION SEPARATION PROCESS
WO2009120343A1 (en) * 2008-03-24 2009-10-01 The Board Of Trustees Of The Leland Stanford Junior University Selective oxidative removal of a self-assembled monolayer for controlled nanofabrication
US20100116738A1 (en) * 2008-05-08 2010-05-13 Air Liquide Electronics U.S. Lp Process Of Purifying Ruthenium Precursors
TWI383455B (zh) * 2008-10-16 2013-01-21 Goldenchem Co Ltd Wafer Reduction Electroless Gold Plating Method
US8815335B2 (en) * 2008-12-16 2014-08-26 GM Global Technology Operations LLC Method of coating a substrate with nanoparticles including a metal oxide
US8871294B2 (en) * 2008-12-16 2014-10-28 GM Global Technology Operations LLC Method of coating a substrate with nanoparticles including a metal oxide
US8574675B2 (en) * 2009-03-17 2013-11-05 Advanced Technology Materials, Inc. Method and composition for depositing ruthenium with assistive metal species
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8859047B2 (en) 2010-02-23 2014-10-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Use of ruthenium tetroxide as a precursor and reactant for thin film depositions
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9223203B2 (en) * 2011-07-08 2015-12-29 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102012202627A1 (de) * 2012-02-21 2013-08-22 Innovent E.V. Verfahren zur Metallisierung eines Substrats
US9443736B2 (en) 2012-05-25 2016-09-13 Entegris, Inc. Silylene compositions and methods of use thereof
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10186570B2 (en) 2013-02-08 2019-01-22 Entegris, Inc. ALD processes for low leakage current and low equivalent oxide thickness BiTaO films
US9234112B2 (en) * 2013-06-05 2016-01-12 Korea Institute Of Machinery & Materials Metal precursor powder, method of manufacturing conductive metal layer or pattern, and device including the same
CN105308723B (zh) 2013-06-17 2019-01-01 应用材料公司 利用湿式晶片背面接触进行铜镀硅穿孔的方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6328576B2 (ja) * 2015-02-23 2018-05-23 東京エレクトロン株式会社 半導体装置、めっき処理方法、めっき処理システムおよび記憶媒体
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017127197A1 (en) 2016-01-21 2017-07-27 Applied Materials, Inc. Process and chemistry of plating of through silicon vias
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
JP6980406B2 (ja) * 2017-04-25 2021-12-15 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) * 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10914008B2 (en) * 2018-09-27 2021-02-09 Imec Vzw Method and solution for forming interconnects
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
KR102526810B1 (ko) * 2018-11-22 2023-04-27 미쓰이 가가쿠 가부시키가이샤 반도체 소자 중간체, 및 반도체 소자 중간체의 제조 방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102342124B1 (ko) 2019-02-14 2021-12-22 주식회사 히타치하이테크 반도체 제조 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2024061697A (ja) * 2021-10-26 2024-05-08 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ルテニウム含有層の形成方法及び積層体
EP4276219A1 (en) * 2022-05-09 2023-11-15 Atotech Deutschland GmbH & Co. KG Process for wet-chemical formation of a stable tin oxide layer for printed circuit boards (pcbs)

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3849880A (en) * 1969-12-12 1974-11-26 Communications Satellite Corp Solar cell array
JPS59103383A (ja) * 1982-12-03 1984-06-14 Sanyo Electric Co Ltd 光起電力装置の製造方法
US4717591A (en) * 1983-06-30 1988-01-05 International Business Machines Corporation Prevention of mechanical and electronic failures in heat-treated structures
DE3935798A1 (de) * 1989-10-27 1991-05-02 Basf Ag Verfahren zur gewinnung von rutheniumtetroxid durch oxidation von waessrigen loesungen von alkaliruthenaten
JP3512959B2 (ja) * 1996-11-14 2004-03-31 株式会社東芝 半導体装置及びその製造方法
US6103393A (en) * 1998-02-24 2000-08-15 Superior Micropowders Llc Metal-carbon composite powders, methods for producing powders and devices fabricated from same
JP4058777B2 (ja) * 1997-07-31 2008-03-12 日鉱金属株式会社 薄膜形成用高純度ルテニウム焼結体スパッタリングターゲット及び同ターゲットをスパッタリングすることによって形成される薄膜
US5897368A (en) * 1997-11-10 1999-04-27 General Electric Company Method of fabricating metallized vias with steep walls
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
SG79292A1 (en) * 1998-12-11 2001-03-20 Hitachi Ltd Semiconductor integrated circuit and its manufacturing method
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
TW490756B (en) * 1999-08-31 2002-06-11 Hitachi Ltd Method for mass production of semiconductor integrated circuit device and manufacturing method of electronic components
US6458183B1 (en) * 1999-09-07 2002-10-01 Colonial Metals, Inc. Method for purifying ruthenium and related processes
CA2392115A1 (en) * 1999-11-17 2001-05-25 Neah Power Systems, Inc. Fuel cells having silicon substrates and/or sol-gel derived support structures
US20020041991A1 (en) * 1999-11-17 2002-04-11 Chan Chung M. Sol-gel derived fuel cell electrode structures and fuel cell electrode stack assemblies
US6290880B1 (en) * 1999-12-01 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electrically conducting ruthenium dioxide-aerogel composite
JP3676958B2 (ja) * 1999-12-28 2005-07-27 株式会社日立製作所 半導体集積回路装置の製造方法
KR100377302B1 (ko) * 2000-10-25 2003-03-26 김광범 루테늄 옥사이드 수화물 박막 전극 제조 방법 및 장치
JP2002280360A (ja) * 2001-03-16 2002-09-27 Nec Corp 半導体装置の製造方法
US20020176927A1 (en) * 2001-03-29 2002-11-28 Kodas Toivo T. Combinatorial synthesis of material systems
US20020184969A1 (en) * 2001-03-29 2002-12-12 Kodas Toivo T. Combinatorial synthesis of particulate materials
KR100406534B1 (ko) * 2001-05-03 2003-11-20 주식회사 하이닉스반도체 루테늄 박막의 제조 방법
US6649211B2 (en) * 2002-02-28 2003-11-18 The United States Of America As Represented By The Secretary Of The Navy Selective deposition of hydrous ruthenium oxide thin films
KR100487528B1 (ko) * 2002-06-26 2005-05-03 삼성전자주식회사 피로 현상을 억제하기 위한 금속산화막을 갖는 강유전체캐패시터 및 그 제조방법
US7042035B2 (en) * 2002-08-02 2006-05-09 Unity Semiconductor Corporation Memory array with high temperature wiring
JP4554881B2 (ja) * 2002-11-08 2010-09-29 旭化成株式会社 有機半導体素子の製造方法
US7825516B2 (en) * 2002-12-11 2010-11-02 International Business Machines Corporation Formation of aligned capped metal lines and interconnections in multilevel semiconductor structures
US6855453B2 (en) * 2002-12-30 2005-02-15 Utc Fuel Cells, Llc Fuel cell having a corrosion resistant and protected cathode catalyst layer
JP2005032800A (ja) * 2003-07-08 2005-02-03 Renesas Technology Corp 半導体装置の製造方法
JP2005314713A (ja) * 2004-04-27 2005-11-10 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude ルテニウム膜またはルテニウム酸化物膜の製造方法
US20060174933A1 (en) * 2005-02-09 2006-08-10 Debra Rolison TiO2 aerogel-based photovoltaic electrodes and solar cells
US20070004587A1 (en) * 2005-06-30 2007-01-04 Intel Corporation Method of forming metal on a substrate using a Ruthenium-based catalyst

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104169464A (zh) * 2011-11-17 2014-11-26 表面创新有限公司 将氧化锌施加至基材的方法
CN113638017A (zh) * 2021-08-12 2021-11-12 深圳先进技术研究院 一种在金属导电图形上电镀Pt的方法

Also Published As

Publication number Publication date
EP1937419A2 (en) 2008-07-02
WO2007030672A2 (en) 2007-03-15
TW200714741A (en) 2007-04-16
US20070190362A1 (en) 2007-08-16
EP1937419A4 (en) 2009-11-04
WO2007030672A3 (en) 2009-05-07
KR20080050612A (ko) 2008-06-09
JP2009508003A (ja) 2009-02-26

Similar Documents

Publication Publication Date Title
CN101578141A (zh) 大面积电子设备的图案化化学电镀金属化制程
US6992018B2 (en) Chemical fluid deposition for the formation of metal and metal alloy films on patterned and unpatterned substrates
US8663735B2 (en) In situ generation of RuO4 for ALD of Ru and Ru related materials
KR100845541B1 (ko) 패턴 기판 및 무패턴 기판 상에 금속 및 금속 합금 필름형성용 화학적 유체 석출
US6162712A (en) Platinum source compositions for chemical vapor deposition of platinum
JP3677218B2 (ja) 多成分金属含有物質の堆積のため液体前駆体混合物
US20070271751A1 (en) Method of forming a reliable electrochemical capacitor
Blackburn et al. Reactive deposition of conformal palladium films from supercritical carbon dioxide solution
KR100958332B1 (ko) 신규 루테늄 화합물 및 이를 이용한 박막 증착 방법
JP4195659B2 (ja) 金属酸化物粒子と貴金属粒子とを基板表面に堆積させる方法
US20100143608A1 (en) Method and device for preparing a multilayer coating on a substrate
TWI378936B (en) Organometallic compounds and methods of use thereof
US8557339B2 (en) Method for the deposition of a Ruthenium containing film
TW201100582A (en) Atomic layer deposition processes
JP2009533830A (ja) 信頼性のある燃料電池電極の設計
KR20030047337A (ko) 단원자층증착을 이용한 고유전체 박막 형성방법
CN102097295A (zh) 处理室的清洗方法
CN102046839B (zh) 五氧化二钽取向膜的制造方法
Lee et al. Atomic layer deposition of Ru by using a new Ru-precursor
JP2003282449A (ja) 気化器及び半導体製造装置の洗浄方法
TW589671B (en) Method of producing semiconductor device
JP2009007270A (ja) ルテニウム化合物の製造方法および薄膜の製造方法
CN1935819A (zh) 新的有机-金属前体材料和利用它制备金属薄膜的方法
Ruiz Conversion Reactions of Atomic Layer Deposited Thin Films
WO2002046493A1 (fr) Fabrication d'une electrode a couche mince de metal noble pour systemes de super-integration

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20091111