CN101233456A - 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物 - Google Patents

金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物 Download PDF

Info

Publication number
CN101233456A
CN101233456A CNA2006800281530A CN200680028153A CN101233456A CN 101233456 A CN101233456 A CN 101233456A CN A2006800281530 A CNA2006800281530 A CN A2006800281530A CN 200680028153 A CN200680028153 A CN 200680028153A CN 101233456 A CN101233456 A CN 101233456A
Authority
CN
China
Prior art keywords
preparation
water
hydrogen fluoride
glycol
water preparation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800281530A
Other languages
English (en)
Other versions
CN101233456B (zh
Inventor
梅利莎·K·拉斯
大卫·D·伯恩哈德
大卫·W·明赛克
托马斯·H·鲍姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN101233456A publication Critical patent/CN101233456A/zh
Application granted granted Critical
Publication of CN101233456B publication Critical patent/CN101233456B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/10Salts
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)

Abstract

本发明公开了用于从其上具有牺牲性抗反射涂层(SARC)材料的基板上去除所述牺牲性抗反射涂层材料的液体去除组合物及方法。所述液体去除组合物包括至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂。所述组合物在集成电路的制造中实现了SARC材料的至少部分的去除,而在基板上的例如铝、铜和钴合金的金属物质的蚀刻最少,并且不破坏在半导体结构中所使用的低-k介电材料。

Description

金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
技术领域
本发明涉及适用于硅酸盐剥离的液体去除组合物及方法,所述硅酸盐剥离为例如从具有在其上沉积的牺牲性抗反射硅酸盐材料和/或蚀刻后残留物的微电子器件上的所述材料的液体去除,特别是当所述牺牲性抗反射硅酸盐材料与之下的硅酸盐材料和例如铝、铜和钴合金的互连金属一起存在时,理想的是所述互连金属不会受到所述液体去除组合物的影响。
背景技术
目前,存在四种在光刻工业中使用的辐射波长——436nm、365nm、248nm和193nm——近期努力的重点则集中于157nm光刻的工艺上。从理论上讲,随着每次的波长减小,可以在半导体芯片上构造出更小的图形。然而,由于微电子器件基板的反射率与光刻波长成反比,干涉及不均匀曝光的光致抗蚀剂已限制了微电子器件临界尺寸的一致性。
例如,当曝光于DUV辐射时,公知的是,光致抗蚀剂的透射率与基板对DUV波长的高反射率的结合将导致DUV辐射被反射回到光致抗蚀剂中,从而在光致抗蚀剂层中产生驻波。该驻波引发在光致抗蚀剂中进一步的光化学反应,造成光致抗蚀剂的不均匀曝光,其中包括不打算暴露于辐射的掩蔽部分,这导致线宽、间距以及其它临界尺寸的变化。
为了解决透射率和反射率的问题,已经开发出二层和三层的光致抗蚀剂、底部抗反射涂层(BARC)以及牺牲性抗反射涂层(SARC);在施加光致抗蚀剂之前将这些涂层施加到基板上。所有这些抗反射涂层都对在典型的双镶嵌集成中所遇到的晶片表面具有平坦化效果,并且均将UV发色团并入到吸收入射UV辐射的旋涂式聚合物基体中。
当与基于SiOC的电介质一起使用时,SARC具有两个重要的优点:SARC是基于TEOS的,并且因而蚀刻速率与SiOC电介质相同,这使得蚀刻的均匀性及控制性要大得多,从而沟槽蚀刻中止可以被消除及,通孔蚀刻中止的厚度的减少可达50%;并且蚀刻的SARC可以用液体去除组合物除去,因为相对于蚀刻的光致抗蚀剂和蚀刻的BARC而言,蚀刻的SARC中的蚀刻后交联没有显着增加。
已证明从微电子器件晶片上清洗去除SARC材料是困难的和/或昂贵的。若不去除的话,所述层可能干扰后续的硅化或触点的形成。通常情况下,所述层通过氧化或还原性等离子体灰化或湿法清洗而被去除。然而,基板暴露于氧化或还原性等离子体蚀刻的等离子体灰化可能会通过改变图形的形状和尺寸或通过增大介电常数而导致对介电材料的破坏。当低-k介电材料,例如有机硅酸盐玻璃(OSG)或碳掺杂氧化物玻璃是所述的之下的介电材料时,后者的问题更为突出。因此,常常希望能避免使用等离子体灰化去除SARC层。
当在后端工序(BEOL)应用中使用清洗剂/蚀刻剂组合物处理铝、铜、钴合金或者被低容抗(低-k)绝缘材料或电介质分开的其它互连金属或互联阻挡物时,重要的是用于去除SARC的组合物具有良好的金属相容性,例如对铜、铝、钴等的蚀刻速率低,以及所述之下的硅酸盐材料不受清洗组合物的影响,水基去除溶液是优选的,因为其处理的技术更简单,然而已知的是,水基去除溶液能蚀刻或腐蚀所述金属互连材料。
因此,本领域中需要一种去除组合物,其具有低水含量,其可以完全和有效地从微电子器件的表面上去除SARC层,同时最大限度地减少对共存的介电材料和/或互连金属的破坏。
发明内容
本发明涉及适用于从具有在其上沉积的牺牲性抗反射硅酸盐材料的微电子器件表面上去除所述材料的液体去除组合物及方法,特别是当所述牺牲性抗反射硅酸盐材料与之下的硅酸盐材料和互连金属一起存在时,理想的是后者不会受到液体去除组合物的影响。具体而言,本发明涉及与铝、铜和钴合金相容的SARC去除组合物。
一方面,本发明涉及一种液体去除组合物,其包含至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂,其中所述液体去除组合物适用于从其上具有牺牲性抗反射涂层(SARC)材料和/或蚀刻后残留物的微电子器件上去除这些材料和/或残留物。
另一方面,本发明涉及一种试剂盒,其在一个或多个容器中包含液体去除组合物的试剂,其中所述液体去除组合物包含至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂,并且其中所述试剂盒适于形成适用于从其上具有SARC材料和/或蚀刻后残留物的微电子器件上去除所述材料和/或残留物的液体去除组合物。
在进一步的一个方面中,本发明涉及一种从其上具有SARC材料和/或蚀刻后残留物的微电子器件上去除所述材料和残留物的方法,所述方法包括使所述微电子器件与液体去除组合物接触足够的时间,从而至少部分地从所述微电子器件上去除所述材料和残留物,其中所述液体去除组合物包含至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂。
在又一方面中,本发明涉及一种液体去除组合物,其包含至少一种含氟化合物、任选的至少一种有机溶剂、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂和任选的水,其中所述液体去除组合物适用于从其上具有牺牲性抗反射涂层(SARC)材料的微电子器件上去除这些材料和残留物。
另一方面,本发明涉及一种试剂盒,其在一个或多个容器中包含液体去除组合物的试剂,其中所述液体去除组合物包含至少一种含氟化合物、任选的至少一种有机溶剂、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂和任选的水,并且其中所述试剂盒适于形成适用于从其上具有SARC材料的微电子器件上去除所述材料的液体去除组合物。
在进一步的方面中,本发明涉及一种从其上具有SARC材料的微电子器件上去除所述材料的方法,所述方法包括使所述微电子器件与液体去除组合物接触足够的时间,从而至少部分地从所述微电子器件上去除所述材料,其中所述液体去除组合物包含至少一种含氟化合物、任选的至少一种有机溶剂、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂和任选的水。
另一方面,本发明涉及微电子器件的制造方法,所述方法包括使所述微电子器件与液体去除组合物接触足够的时间,从而至少部分地从其上具有SARC材料和/或蚀刻后残留物的微电子器件上去除所述材料和/或残留物,其中所述液体去除组合物包括至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂。
本发明的又一方面涉及改进的微电子器件和结合了这种器件的产品,它们是通过采用本发明的方法制造的,本发明的方法包括至少部分地从其上具有SARC和蚀刻后残留物的微电子器件上去除所述材料,使用本文中所述的方法和/或组合物,和任选将所述微电子器件并入到产品中。
本发明的其它方面、特征和实施方案将从随后的公开内容和所附的权利要求而更加显而易见。
发明详述及最佳实施方式
本发明设想的液体去除组合物适用于从其上具有牺牲性抗反射涂层(SARC)材料和/或蚀刻后残留物的微电子器件表面上去除所述材料。
为便于参考,“微电子器件”对应于为微电子、集成电路或计算机芯片应用制造的半导体基板、平板显示器和微机电***(MEMS)。应所述理解的是,术语“微电子器件”不具有任何方式的限制意义,并包括最终将成为微电子器件或微电子组件的任何基板。
如本文中定义的,“低-k介电材料”对应于在层状微电子器件中用作介电材料的任何材料,其中所述材料具有的介电常数小于约3.5。优选的是,所述低-k介电材料包括低极性材料,例如含硅有机聚合物、含硅混合有机/无机材料、有机硅酸盐玻璃(OSG)、TEOS、氟化硅酸盐玻璃(FSG)、二氧化硅和碳掺杂氧化物(CDO)玻璃。应理解的是,所述低-k介电材料可以具有不同的密度和不同的孔隙度。
如本文中所用的,“约”意指对应于所提及的值的±5%。
如本文中所用的,从其上具有SARC材料和/或蚀刻后残留物的微电子器件上去除所述材料的“适合性”对应于至少部分地从所述微电子器件上去除所述SARC和/或蚀刻后残留物材料。优选的是,采用本发明的组合物从所述微电子器件上去除至少约90%、更优选至少95%、最优选至少99%的所述材料。
如本文中所用的,“蚀刻后残留物”对应于在例如BEOL双镶嵌处理的气相等离子体蚀刻工艺之后残留的材料。所述蚀刻后残留物在本质上可以是有机的、有机金属的、有机硅的或无机的,例如为含硅材料、碳基有机材料以及包括但不限于氯和氟的蚀刻气体残留物。
如本文中所定义的,“SARC材料”对应于二层和三层的光致抗蚀剂、底部抗反射涂层(BARC)以及牺牲性抗反射涂层(SARC),并且在本质上可以是有机的和/或无机的。此外,SARC材料可以包括SARC层和/或包含SARC的残留物。
如下文中更详细描述的那样,本发明的组合物可以具体表现为多种具体的制剂。
在所有的此类组合物中,其中是按照包括零下限的重量百分比范围讨论组合物的具体组分,应该理解的是,在所述组合物的各种具体实施方案中,这种组分可以存在也可以不存在,并且在这些组分存在的情况下,它们的存在浓度可以是基于使用这些组分的组合物总重量计的低至0.001重量百分比。
实施方案A
一方面,本发明涉及适用于从微电子器件上去除SARC层和/或蚀刻后残留物的液体去除组合物。在广泛描述本发明的下文中所具体提及的SARC层旨在提供本发明的说明性实施例,并且不意味着具有任何方式的限制意义。实施方案A的制剂包括基于所述组合物总重量计的按以下范围存在的至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂/钝化剂:
    组分     重量%
    含氟化合物     约0.01%至约25.0%
    有机溶剂     约0.01%至约99.9%
    螯合剂/钝化剂     0%至约10.0%
    水     0%至约10.0%
在本发明的广泛实践中,实施方案A的液体去除组合物可以包含至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂/钝化剂,或者可以由上述物质组成,或基本上由上述物质组成。一般而言,含氟化合物、有机溶剂、任选的水和任选的螯合剂/钝化剂彼此之间的具体比例和量可以作适当的改动,从而使所述液体组合物对SARC层物质和/或处理设备提供理想的去除效果,如本领域技术人员无需进行过多的努力而可以容易地确定的。
有机溶剂相对于含氟化合物的摩尔比范围是约1∶1至约120∶1,优选为约20∶1至约80∶1,和最优选为约30∶1至约65∶1;有机溶剂相对于水(当存在时)的摩尔比范围是约1∶1至约150∶1,优选为约20∶1至约80∶1,最优选为约30∶1至约60∶1;和有机溶剂相对于螯合剂(当存在时)的摩尔比范围是约1∶1至约300∶1。
实施方案A的组合物优选的pH值范围是约1至约5,更优选低于约4。
这种组合物可任选包括另外的组分,包括活性及非活性成分,例如表面活性剂、稳定剂、分散剂、抗氧化剂、渗透剂、助剂、添加剂、填充剂、赋形剂等。此外,本文中还设想的是,可以将实施方案A的组合物配制成泡沫、雾剂、亚临界或超临界流体。例如,可以将实施方案A的组合物加入到例如二氧化碳的超临界流体中,比例从约100份SCF对1份的实施方案A的制剂物到约6∶1,优选为约20∶1。
优选的是,实施方案A的清洗组合物包括以下组分:
    组分     重量%
    含氟化合物     约0.01%至约5.0%
    有机溶剂     约90.0%至约99.9%
    水     约0%至约5.0%
其中所述组分的百分比为重量百分比,基于所述组合物的计,并且其中所述组合物的这种组分的重量百分比总计不超过100重量%。在特别优选的实施方案中,水的存在量为约0.01wt.%至约1wt.%,是去离子化及非臭氧化的,并且被添加到所述组合物中,或者残存于其它组分之一当中。此外,优选的是,所述组合物中基本上不含有胆碱类化合物和例如过氧化氢的氧化剂。
需要有氟离子源,因为氟的存在对于在酸性溶液中腐蚀硅酸盐材料是必需的。含氟化合物的合适来源包括但不限于氟化氢、氟化铵和三乙醇胺氢氟酸盐。或者,可以使用二氟化物盐,包括氟化氢铵((NH4)HF2)和四烷基氟化氢铵((R)4NHF2,其中R为甲基、乙基、丙基、丁基、苯基、苄基或氟化的C1-C4烷基)。本文中还可设想两种或更多种氟化物物质的组合。在优选的实施方案中,所述含氟化合物包括氟化氢。值得注意的是,氟化氢的运输中通常带有残余水量,因此即使之后不故意添加水,在所述去除组合物中也可能有水的存在。或者,可以使用气态的无水氟化氢,这样在所述制剂中仅存的水为来自所述溶剂的微量水。包含无水氟化氢的制剂典型地显示出比含水的制剂更好的金属及电介质相容性。
所述有机溶剂物质作为溶剂,并且帮助可能存在于SARC和/或蚀刻后残留物中的有机残留物的溶解。这种组合物的合适溶剂物质包括但不限于:四氢噻吩砜;直链或支链的C1-C6醇,包括但不限于甲醇、乙醇、1-丙醇、2-丙醇、1-丁醇、2-丁醇、叔丁醇、1-戊醇和己醇;二醇类,例如乙二醇、丙二醇(1,2-丙二醇)、四亚甲基二醇(1,4-丁二醇)和新戊二醇;或二醇醚,例如二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单***、三乙二醇单***、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、丙二醇甲醚、二丙二醇甲醚、三丙二醇甲醚、丙二醇正丙醚、二丙二醇正丙醚、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚和三丙二醇正丁醚。其它适用的溶剂为典型的极性溶剂,如二甲基乙酰胺、甲酰胺、二甲基甲酰胺、1-甲基-2-吡咯烷酮、二甲亚砜以及其它的极性溶剂。本文中还可设想两种或更多种溶剂物质的组合。对于多孔性低-k介电材料,所述有机溶剂物质优选包括1-丁醇和1,4-丁二醇。对于致密电介质最优选的清洗溶液为二醇、极性溶剂和二醇醚的组合,更优选为乙二醇、四氢噻吩砜和三丙二醇甲醚或者为乙二醇、四氢噻吩砜和二丙二醇正丁醚。
可以加入螯合剂以减少对下层中例如铜和/或钴的金属的侵蚀。在这种组合物中的螯合剂/钝化剂可以为任何适当的类型,并且可以包括但不限于:***,例如1,2,4-***,或用例如C1-C8烷基、氨基、硫醇、巯基、亚氨基、羧基及硝基的取代基取代的***,例如苯并***、甲苯基***、5-苯基-苯并***、5-硝基-苯并***、3-氨基-5-巯基-1,2,4-***、1-氨基-1,2,4-***、羟基苯并***、2-(5-氨基-戊基)-苯并***、1-氨基-1,2,3-***、1-氨基-5-甲基-1,2,3-***、3-氨基-1,2,4-***、3-巯基-1,2,4-***、3-异丙基-1,2,4-***、5-苯硫基-苯并***、卤代苯并***(卤=F、Cl、Br或I)、萘并***等,以及噻唑、四唑、咪唑、磷酸酯、硫醇和吖嗪,例如2-巯基苯并咪唑、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、5-氨基-1,3,4-噻二唑-2-硫醇、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑啉酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨甲基三嗪、巯基苯并噻唑、咪唑啉硫酮、巯基苯并咪唑、4-甲基-4H-1,2,4-***-3-硫醇、5-氨基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、苯并异二唑(indiazole)等。合适的螯合剂物质还包括甘油、氨基酸、羧酸、醇、酰胺和喹啉,例如鸟嘌呤、腺嘌呤、甘油、硫代甘油、次氮基三乙酸、水杨酰胺、亚氨基二乙酸、苯并胍胺、三聚氰胺、硫氰尿酸、邻氨基苯甲酸、没食子酸、抗坏血酸、水杨酸、8-羟基喹啉、5-羧酸-苯并***、3-巯基丙醇、硼酸、亚氨基二乙酸等。本文中还可设想两种或更多种螯合剂的组合。所述螯合剂适用于增加所述组合物与用于微电子器件中的金属和介电材料的相容性。
在各种优选的实施方案中,将实施方案A的去除组合物配制成在如下实施方案A1-A7中,其中所有的百分比均按重量计,基于所述制剂的总重量:
实施方案A1
  组分     重量% 优选(重量%)   最优选(重量%)
  含氟化合物     约0.01%至约25% 约0.01%至约5%   约0.25%至约1%
  醇     约0.01%至约99.9% 约50%至约99.9%   约98%至约99%
  水     约0.01%至约10% 约0.01%至约5%   约0.25%至约1%
实施方案A2
    组分   %重量   优选(重量%) 最优选(重量%)
    含氟化合物   约0.01%至约25%   约0.01%至约5% 约0.25%至约0.75%
    醇   约0.01%至约99%   约50%至约95% 约73.5%至约74.5%
    二醇醚   约0.01%至约99%   约5%至约40% 约25%
    水   约0.01%至约10%   约0.01%至约5% 约0.25%至约0.75%
实施方案A3
  组分     重量% 优选(重量%) 最优选(重量%)
  含氟化合物     约0.01%至约25% 约0.01%至约5% 约0.35%至约0.75%
  醇     约0.01%至约99% 约5%至约90% 约8.5%至约73.5%
  二醇     约0.01%至约99% 约10%至约99% 约25%至约90%
  水     约0.01%至约10% 约0.01%至约5% 约0.35%至约0.8%
实施方案A4
    组分   重量% 优选(重量%) 最优选(重量%)
    含氟化合物   约0.01%至约25% 约0.01%至约5% 约0.35%至约0.4%
    醇   约0.01%至约99% 约5%至约60% 约10%至约49.5%
    1,4-丁二醇   约0.01%至约99% 约30%至约99% 约50%至约89.5%
    水   约0.01%至约10% 约0.01%至约5% 约0.35%至约0.4%
实施方案A5
    组分   %重量   优选(重量%)   最优选(重量%)
    含氟化合物   约0.01%至约25%   约0.01%至约5%   约0.25%至约1%
    二醇   约0.01%至约99.9%   约50%至约99.9%   约98%至约99.5%
    水   约0.01%至约10%   约0.01%至约5%   约0.25%至约1%
实施方案A6
  组分   重量%   优选(重量%)   最优选(重量%)
  含氟化合物   约0.01%至约25%   约0.01%至约5%   约0.35%至约0.65%
  四氢噻吩砜   约0.01%至约99%   约20%至约70%   约35%至约50%
  二醇   约0.01%至约99%   约30%至约99%   约49%至约64.5
  水   约0.01%至约10%   约0.01%至约5%   约0.35%至约0.65%
实施方案A7
    组分   %重量   优选(重量%)   最优选(重量%)
    含氟化合物   约0.01%至约25%   约0.01%至约5%   约0.35%至约0.4%
    四氢噻吩砜   约0.01%至约99%   约20%至约60%   约35%至约40%
    二醇   约0.01%至约99%   约20%至约70%   约40%至约50%
    二醇醚   约0.01%至约99%   约5%至约30%   约15%至约20%
    附加组分   0至约5%   0至约1%   0至约0.2%
在各种优选的实施方案中,将实施方案A的去除组合物配制成如下制剂AA-AI3,其中所有的百分比均按重量计,基于所述制剂的总重量:
制剂AA:99.5%乙醇;0.245%氟化氢;0.255%水
制剂AB:98.5%乙醇;0.735%氟化氢;0.765%水
制剂AC:74.5%乙醇;25.0%二丙二醇甲醚;0.245%氟化氢;0.255%水
制剂AD:73.5%乙醇;25.0%二丙二醇甲醚;0.735%氟化氢;0.765%水
制剂AE:74.5%乙醇;25.0%二乙二醇甲醚;0.245%氟化氢;0.255%水
制剂AF:73.5%乙醇;25.0%二乙二醇甲醚;0.735%氟化氢;0.765%水
制剂AG:98.0%乙醇;0.98%氟化氢;1.02%水
制剂AH:98.5%甲醇;0.735%氟化氢;0.765%水
制剂AI:98.5%乙二醇;0.735%氟化氢;0.765%水
制剂AJ:98.5%丙二醇;0.735%氟化氢;0.765%水
制剂AK:98.5%二乙二醇丁醚;0.735%氟化氢;0.765%水
制剂AL:98.5%2-丙醇;0.735%氟化氢;0.765%水
制剂AM:98.5%1,4-丁二醇;0.735%氟化氢;0.765%水
制剂AN:98.5%1-丙醇;0.735%氟化氢;0.765%水
制剂AO:98.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AP:25.0%乙二醇;73.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AQ:98.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AR:50.0%乙二醇;48.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AS:75.0%乙二醇;23.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AT:25.0%乙二醇;73.5%乙醇;0.735%氟化氢;0.765%水
制剂AU:50.0%乙二醇;48.5%乙醇;0.735%氟化氢;0.765%水
制剂AV:75.0%乙二醇;23.5%乙醇;0.735%氟化氢;0.765%水
制剂AW:70.0%乙二醇;28.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AX:50.0%乙二醇;48.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AY:75.0%乙二醇;23.5%1,4-丁二醇;0.735%氟化氢;0.765%水
制剂AZ:60.0%乙二醇;38.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AA2:50.0%乙二醇;48.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AB2:80.0%乙二醇;18.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AC2:90.0%乙二醇;8.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AD2:99.25%1,4-丁二醇;0.3675%氟化氢;0.3825%水
制剂AE2:90.0%乙二醇;9.25%1-戊醇;0.3675%氟化氢;0.3825%水
制剂AF2:99.51%1,4-丁二醇;0.49%氟化氢
制剂AG2:99.265%1,4-丁二醇;0.735%氟化氢
制剂AH2:89.25%1,4-丁二醇;10.0%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AI2:80.0%1,4-丁二醇;19.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AJ2:70.0%1,4-丁二醇;29.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AK2:60.0%1,4-丁二醇;39.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AL2:50.0%1,4-丁二醇;49.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AM2:98.875%1,4-丁二醇;0.55125%氟化氢;0.57375%水
溶液   四氢噻吩砜    氢氟酸      水          乙二醇
AN2    35%          0.3675%    0.3825%    64.25%
AO2    35%          0.6125%    0.6375%    63.75%
AP2    45%          0.3675%    0.3825%    54.25%
AQ2    45%          0.6125%    0.6375%    53.75%
AR2    40%          0.49%      0.51%      59%
AS2    40%          0.49%      0.51%      59%
AT2    35%    0.49%      0.51%      64%
AU2    45%    0.49%      0.51%      54%
AV2    40%    0.3675%    0.3825%    59.25%
AW2    40%    0.6125%    0.6375%    58.75%
AX2    50%    0.3675%    0.3825%    49.25%
制剂AY2:79.25%乙醇;20%1-甲基-2-吡咯烷酮;0.3675%氟化氢;0.3825%水
制剂AZ2:79.25%乙二醇;20%二甲基乙酰胺;0.3675%氟化氢;0.3825%水
制剂AA3:99.25%四氢噻吩砜;0.3675%氟化氢;0.3825%水
制剂AB3:50%乙二醇;49.25%四氢噻吩砜;0.3675%氟化氢;0.3825%水
制剂AC3:50%乙二醇;49.625%四氢噻吩砜;0.375%氟化氢
制剂AD3:45%乙二醇;39.625%四氢噻吩砜;15%三丙二醇甲醚;0.375%氟化氢
制剂AE3:45%乙二醇;34.625%四氢噻吩砜;20%三丙二醇甲醚;0.375%氟化氢
制剂AF3:45%乙二醇;39.625%四氢噻吩砜;15%二丙二醇正丁醚;0.375%氟化氢
制剂AG3:45%乙二醇;39.625%四氢噻吩砜;15%二丙二醇甲醚;0.375%氟化氢
制剂AH3:70.0%1,4-丁二醇;29.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AI3:44.882%乙二醇;15%二(丙二醇)丁醚;39.64%环丁砜0.375%HF;0.1%聚季铵盐2;0.003%四甲基氢氧化铵硅酸盐。
优选的是,为获得最大的多孔性低-k电介质相容性,实施方案A的液体去除组合物包括1,4-丁二醇和1-丁醇。最优选的是,所述液体去除组合物对应于制剂AL2、AM2、AH3和AI3
实施方案A的组合物在从微电子器件基底上去除SARC层和蚀刻后残留物时是特别有效的,其对金属互连物质和/或低-k介电材料的破坏最小。有关的金属包括但不限于铜、钨、钴、铝、钽和钌。此外,本文中可设想用例如水的溶剂稀释实施方案A的组合物并用作化学机械抛光后(CMP)组合物,以去除CMP后残留物,包括但不限于来自抛光浆料的颗粒、富碳颗粒、抛光垫颗粒、刷下料颗粒(brush deloadingparticle)、构造颗粒的设备材料、铜、铜氧化物以及作为CMP工艺副产物的任何其它材料。
在另一个实施方案中,为获得最大的致密电介质相容性,实施方案A的液体去除组合物包括乙二醇、四氢噻吩砜和二醇醚。最优选的是,所述液体去除组合物对应于制剂AS2或AV2
在又一个实施方案中,实施方案A的液体去除组合物包括至少一种含氟化合物、至少一种有机溶剂,用于残留物材料的去除,并且任选包括水和任选的至少一种螯合剂/钝化剂,其中所述残留物材料包括SARC和/或蚀刻后残留物。重要的是,所述残留物材料可以溶解和/或悬浮在本发明的液体去除组合物中。
通过简单地添加各成分并混合至均匀的状态可以很容易地配制本发明的液体去除组合物。此外,可以很容易地将所述液体去除组合物配制为单包装制剂或配制为在使用之时或之前进行混合的多部分制剂,例如,可以在用具或用具上游的储槽中将所述多部分制剂的单独的各部分进行混合。在本发明的广泛实践中,各成分的浓度可以有很大的变化,为所述液体去除组合物的特定倍数,即更稀或更浓,且应理解的是,本发明的液体去除组合物可以在不同的情况下且选择性地包含与本文中公开的成分一致的任意组合,或者由上述的组合构成,或基本上由上述的组合构成。
由此,本发明的另一方面涉及试剂盒,其在一个或多个容器中包括适合于形成本发明组合物的一种或多种组分。例如,所述试剂盒可以在一个或多个容器中包括至少一种含氟化合物、至少一种有机溶剂和任选的至少一种螯合剂/钝化剂,用于在加工或使用时与任选的水组合。或者,所述试剂盒可以在一个或多个容器中包括至少一种含氟化合物和任选的至少一种螯合剂/钝化剂,用于在加工或使用时与至少一种溶剂和任选的水组合。在另一个实施方案中,所述试剂盒可以在一个或多个容器中包括至少一种含氟化合物、至少一种有机溶剂和水,用以输送至加工或使用点。所述试剂盒的容器必须适合储存和运输所述的液体去除组合物,例如,NOWPak容器(Advanced TechnologyMaterials,Inc.Danbury,Conn.USA)。
实施方案B
在另一方面,本发明的制剂包括基于组合物总重量计的按以下范围存在的至少一种含氟化合物、任选的至少一种有机溶剂、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂和任选的水:
    组分     重量%
    含氟化合物     约0.01%至约70.0%
    有机溶剂     约0.01至约80.0%
    酸/碱缓冲剂     0至约30%
    螯合剂/钝化剂     0%至约10.0%
    水     0%至约30.0%
在本发明的广泛实践中,实施方案B的液体去除组合物可以包含至少一种含氟化合物、任选的至少一种有机溶剂、任选的酸碱缓冲剂和任选的至少一种螯合剂/钝化剂和任选的水,或者可以由上述物质组成,或基本上由上述物质组成。一般而言,含氟化合物、任选的水、任选的有机溶剂、任选的酸碱缓冲剂和任选的螯合剂/钝化剂彼此之间的具体比例和量可以作适当的改动,从而使所述液体组合物对SARC层物质和/或处理设备提供理想的去除效果,如本领域技术人员无需进行过多的努力便可以容易地确定的。
如下文中更详细描述的那样,本发明的组合物可以具体表现为多种具体的制剂。
实施方案B的组合物优选的pH值范围是约4至约9,优选约5至约7的范围。
这种组合物可任选包括另外的组分,包括活性及非活性成分,如表面活性剂、稳定剂、分散剂、抗氧化剂、渗透剂、助剂、添加剂、填充剂、赋形剂等。此外,本文中还设想的是,可以将实施方案B的组合物配制成泡沫、雾剂、亚临界或超临界流体。例如,可以将实施方案B的组合物加入到例如二氧化碳的超临界流体中,比例从约100份SCF对1份的实施方案B的制剂到约6∶1,优选为约20∶1。
需要有氟离子源,因为氟的存在对于在酸性溶液中腐蚀硅酸盐材料是必需的。从制备和处理的安全性考虑,中性氟化物盐是优选的。包含非挥发性胺的胺-氢氟化物盐是最优选的,这可以避免由于胺蒸发所带来的pH值变化。含氟化合物的合适来源包括但不限于氟化铵、三乙胺三氢氟酸盐((C2H5)3N·3HF)、甲基二乙醇胺-氢氟酸盐、三乙醇胺-氢氟酸盐和羟乙基吗啉-氢氟酸盐。或者,可以使用二氟化物盐,包括氟化氢铵((NH4)HF2)和四烷基氟化氢铵((R)4NHF2,其中R为甲基、乙基、丁基、苯基或氟化的C1-C4烷基)。本文中还可设想两种或多种含氟化合物的组合。在一个优选的实施方案中,所述含氟化合物为氟化铵或甲基二乙醇胺-氢氟酸盐。
所述有机溶剂物质用作溶剂,并帮助溶解可能存在于SARC中的有机残留物。这种组合物的合适溶剂物质包括但不限于:二醇和二醇醚,例如上文中对于实施方案A所列举的那些;二甲亚砜(DMSO);二甲基乙酰胺;和胺,例如单乙醇胺、三乙醇胺、三乙撑二胺、甲基乙醇胺、甲基二乙醇胺、五甲基二亚乙基三胺、二甲基二甘醇胺、1,8-二氮杂二环[5.4.0]十一烯、氨丙基吗啉、羟乙基吗啉、氨乙基吗啉、羟丙基吗啉、二甘醇胺、N-甲基吡咯烷酮(NMP)、N-辛基吡咯烷酮、N-苯基吡咯烷酮、环己基吡咯烷酮、咪唑烷酮和乙烯基吡咯烷酮。本文中还可设想两种或更多种有机溶剂的组合。优选的是,所述有机溶剂包括二乙二醇、二甲基二甘醇胺、二乙二醇甲醚和NMP。
所述酸/碱缓冲剂用于稳定pH值和控制所述溶液对SARC、其它残留物以及例如电介质和互连金属的之下材料的蚀刻速率选择性。适用于本发明的缓冲***的有机酸包括但不限于:甲酸、三氟乙酸、丙酸、丁酸、戊酸、庚酸、乳酸、草酸、苹果酸、丙二酸、丁二酸、富马酸、己二酸、苯甲酸、邻苯二甲酸和柠檬酸。适用于本发明的缓冲***的共轭碱包括但不限于:有机酸盐和上文有机溶剂列表中所列举的胺。本文中还可设想两种或更多种酸/碱缓冲剂的组合。在优选的实施方案中,含氟化合物本发明的缓冲***包含丙二酸和二甲基二甘醇胺。
可以加入螯合剂以减少对下层中例如铜和/或钴的金属的侵蚀。在这种组合物中的螯合剂/钝化剂可以为任何适当的类型,例如上文中关于实施方案A所列出的那些。
本文中可设想的是,即使不故意加入水,实施方案B的去除组合物中也可以有水存在。
在各种优选的实施方案中,将实施方案B的去除组合物配制成如下制剂BA-BJ2,其中所有的百分比均按重量计,基于所述制剂的总重量:
制剂BA:0.5%氟化铵;2.58%丙二酸;68.08%二乙二醇;3%二甲基二甘醇胺;25.64%水;0.2%3-氨基-5-巯基-1,2,4-***
制剂BB:0.5%氟化铵;2.58%丙二酸;68.08%二乙二醇;3%二甲基二甘醇胺;25.64%水;0.2%4-甲基-2-苯基咪唑
制剂BC:0.5%氟化铵;2.58%丙二酸;68.08%二乙二醇;3%二甲基二甘醇胺;25.64%水;0.2%5-氨基-1,3,4-噻二唑-2-硫醇
组分              制剂
                  BD      BE      BF      BG      BH      BI
氟化铵            0.5%   0.5%   0.5%   0.5%   0.5%   0.5%
丙二酸            2.58%  2.58%  2.58%  2.58%  2.58%  2.58%
二乙二醇          72.92% 79.92% 86.92% 87.92% 88.92% 89.92%
二甲基二甘醇胺    3%     3%     3%     3%     3%     3%
水                21%    14%    7%     6%     5%     4%
组分              制剂
                  BJ      BK      BL      BM
氟化铵            0.5%   0.5%   0.5%   0.5%
丙二酸            2.58%  2.58%  2.58%  2.58%
二乙二醇          90.92% 91.92% 92.92% 93.92%
二甲基二甘醇胺    3%     3%     3%     3%
水                3%     2%     1%     0%
组分                          制剂
                              BN      BO      BP      BQ
氟化铵                        0.5%   0.5%   0.5%   0.5%
丙二酸                        2.58%  2.58%  2.58%  2.58%
二乙二醇                      93.82% 92.82% 91.82% 90.82%
二甲基二甘醇胺                3%     3%     3%     3%
水                            0%     1%     2%     3%
5-氨基-1,3,4-噻二唑-2-硫醇  0.1%   0.1%   0.1%   0.1%
制剂BR:2.0%氟化铵;2.58%丙二酸;89.52%二乙二醇;3%二甲基二甘醇胺;2.9%柠檬酸
制剂BS:1.0%氟化铵;5.16%丙二酸;87.84%二乙二醇;3%二甲基二甘醇胺;3%马来酸
制剂BT:75%甲基二乙醇胺-氢氟酸盐;25%水
制剂BU:67.5%甲基二乙醇胺-氢氟酸盐;22.5%水;10%二乙二醇甲醚
制剂BV:60%甲基二乙醇胺-氢氟酸盐;20%水;20%二乙二醇甲醚
制剂BW:67.5%甲基二乙醇胺-氢氟酸盐;22.5%水;10%咪唑烷酮
制剂BX:60%甲基二乙醇胺-氢氟酸盐  20%水;20%NMP
制剂BY:74.85%甲基二乙醇胺-氢氟酸盐;24.95%水;0.2%3-氨基-5-巯基-1,2,4-***
制剂BZ:74.85%甲基二乙醇胺-氢氟酸盐;24.95%水;0.2%5-氨基-1,3,4-噻二唑-2-硫醇
制剂BA2:74.85%甲基二乙醇胺-氢氟酸盐;24.95%水;0.2%4-甲基-4H-1,2,4-***-3-硫醇
制剂BB2:60%甲基二乙醇胺-氢氟酸盐;20%水;20%五甲基二亚乙基三胺
制剂BC2:59.88%甲基二乙醇胺-氢氟酸盐;19.96%水;19.96%NMP;0.2%5-氨基-1,3,4-噻二唑-2-硫醇
制剂BD2:59.88%甲基二乙醇胺-氢氟酸盐;19.96%水;19.96%NMP;0.2%4-甲基-4H-1,2,4-***-3-硫醇
制剂BE2:37.5%甲基二乙醇胺-氢氟酸盐;18.75%三乙醇胺-氢氟酸盐;22.1%羟乙基吗啉-氢氟酸盐;21.65%水
制剂BF2:60%甲基二乙醇胺-氢氟酸盐;7.5%三乙醇胺-氢氟酸盐;8.84%羟乙基吗啉-氢氟酸盐;23.66%水
制剂BG2:45%甲基二乙醇胺-氢氟酸盐;29.88%甲基二乙醇胺-磷酸盐;25.12%水
制剂BH2:40.55%甲基二乙醇胺-氢氟酸盐26.89%甲基二乙醇胺-磷酸盐;10%二甲基乙酰胺;22.6%水
制剂BI2:40.55%甲基二乙醇胺-氢氟酸盐;26.89%甲基二乙醇胺-磷酸盐;10%NMP;22.6%水
制剂BJ2:40.55%甲基二乙醇胺-氢氟酸盐;26.89%甲基二乙醇胺-磷酸盐;10%DMSO;22.6%水
此外,本文中可设想用例如水的溶剂稀释实施方案B的组合物并用作化学机械抛光后(CMP)组合物,以去除CMP后残留物,包括但不限于来自抛光浆料的颗粒、富碳颗粒、抛光垫颗粒、刷下料颗粒、构造颗粒的设备材料、铜、铜氧化物以及作为CMP工艺副产物的任何其它材料。
通过简单地添加各成分并混合至均匀的状态可以很容易地配制本发明的液体去除组合物。此外,可以很容易地将所述液体去除组合物配制为单包装制剂或配制为在使用之时或之前进行混合的多部分制剂,例如,可以在用具或用具上游的储槽中将所述多部分制剂的单独的各部分进行混合。在本发明的广泛实践中,各成分的浓度可以有很大的变化,为所述液体去除组合物的特定倍数,即更稀或更浓,且应理解的是,本发明的液体去除组合物可以在不同的情况下且选择性地包含与本文中公开的成分一致的任意组合,或者由上述的组合构成,或基本上由上述的组合构成。
由此,本发明的另一方面涉及试剂盒,其在一个或多个容器中包括适合于形成本发明组合物的一种或多种组分。例如,所述试剂盒可以在一个或多个容器中包括至少一种含氟化合物、任选的至少一种有机溶剂、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂,用于在加工或使用时与任选的水组合。或者,所述试剂盒可以在一个或多个容器中包括至少一种含氟化合物、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂,用于在加工或使用时与任选的水和任选的至少一种有机溶剂组合。所述试剂盒的容器必须适合储存和运送所述的液体去除组合物,例如,NOWPak容器(Advanced Technology Materials,Inc.Danbury,Conn.USA)。
在又一个实施方案中,实施方案B的液体去除组合物包括至少一种含氟化合物,用于残留物材料的去除,任选包括至少一种有机溶剂、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂和任选的水,其中所述残留物材料包括SARC和/或蚀刻后残留物。重要的是,所述残留物材料可以溶解和/或悬浮在本发明的液体去除组合物中。
液体去除组合物的使用方法
通过简单地添加各成分并混合至均匀的状态可以很容易地配制本发明的去除组合物。
在去除的应用中,以任何适当的方式将去除组合物施加到待被清洗的微电子器件上,例如,在待清洗微电子器件的表面上喷淋所述去除组合物,在大量的所述清洗组合物中浸渍微电子器件,使待被清洗的微电子器件与以所述去除组合物饱和的另一种材料,例如垫或纤维吸附剂涂敷器元件接触,使微电子器件与循环的去除组合物接触,或者任何其它适当方法、方式或技术,通过其使所述去除组合物与待被清洗的微电子器件发生旨在去除的接触。
当施加于半导体制造操作时,本发明的清洗组合物适用于从其上具有SARC和/或蚀刻后残留物材料的微电子器件结构上去除所述材料。
相对于可能在所述微电子器件上存在并暴露于所述去除组合物的其它材料,例如ILD结构、金属化布线、阻挡层等,本发明的组合物利用它们对这种SARC材料的选择性,以高效的方式实现SARC和/或蚀刻后残留物材料的至少部分的去除。
重要的是,本发明的组合物具有低量的水,低于约1重量%,尤其是实施方案A的组合物,并且这样的话与例如铜、铝和钴的金属互连层相容。在本发明组合物的存在下铜和/或钴的蚀刻速率优选低于5/min,更优选低于2/min,最优选低于1/min。
在将本发明的组合物用于从其上具有SARC材料的微电子器件基板上去除所述SARC材料时,通常在约20℃至约80℃的温度下使清洗组合物与所述器件基板接触约1至约60分钟的时间,优选约20至约30分钟。这样的接触时间及温度是示例性的,在本发明的广泛实践中,可以采用对至少部分地从所述器件基板上去除SARC材料是有效的任何其它的合适时间和温度条件。如本文中定义的,“至少部分地去除”对应于至少去除50%的SARC材料,优选至少去除80%的SARC材料。最优选的是,使用本发明的组合物去除至少90%的SARC材料。
在达到所需的清洗效果后,可以容易地将所述清洗组合物从其先前施加的器件上去除掉,例如通过漂洗、冲洗或其它的一个或多个去除步骤,如在本发明的组合物应用的给定最终用途上可能是理想的和有效的。例如,可以用去离子水对所述器件进行漂洗。
本发明进一步的实施方案涉及包括微电子器件的制品的制造方法,所述方法包括使所述微电子器件与液体去除组合物接触足够的时间,从而从其上具有SARC和/或蚀刻后残留物材料的微电子器件上去除所述材料,并将所述微电子器件并入到所述制品之中,其中所述液体去除组合物包括至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂/钝化剂。
本发明另一实施方案涉及包括微电子器件的制品的制造方法,所述方法包括使所述微电子器件与液体去除组合物接触足够的时间,从而从其上具有SARC和/或蚀刻后残留物材料的微电子器件上去除所述材料,并将所述微电子器件并入到所述制品之中,其中所述液体去除组合物包括至少一种含氟化合物、任选的至少一种有机溶剂、任选的酸碱缓冲剂、任选的至少一种螯合剂/钝化剂和任选的水。
通过以下讨论的说明性实施例可以更充分地显示本发明的特征及优点。
实施例1
SARC的去除在包括SARC层的图案化低-k电介质基板的样品上进行。将该样品在实施方案A的去除溶液中于40℃下浸泡30分钟(表1和2)或于20℃下浸泡15分钟(表3),然后用大量的去离子水漂洗。使用扫描电子显微方法估计图案化晶片上的SARC材料的百分比去除率。结果列于下文的表1-3中。
除了估计从所述图案化晶片上的SARC层的去除率外,确定在去除溶液中铜和/或钴金属的蚀刻速率。将铜和/或钴的覆盖金属晶片浸没到所述去除溶液中,并基于电阻率采用四点探针测量方法确定各金属的蚀刻速率。结果列于下文表1中。
表1.SARC去除率和蚀刻速率的结果
溶液     SARC去除率30min,40℃     Cu蚀刻速率40℃,(/min)     钴蚀刻速率40℃,(/min)
    AH     50%     7.6     未测量
    AI     95%     1.4     3.9
    AJ     40%     1.7     未测量
    AL     20%     未测量     未测量
    AM     75%     1.2     1.36
    AN     50%     1.2     1.53
    AQ     95%     1.1     0.75
表2.SARC去除率结果
溶液     SARC去除率30min,40℃ 溶液     SARC去除率30min,40℃
    AP     99%     AC2     100%
    AR     98%     AD2     100%
    AS     98%     AE2     100%
    AT     99%     AF2     100%
    AU     99%     AG2     100%
    AV     100%     AH2     100%
    AW     99%     AI2     100%
    AX     95%     AL2     100%
    AB2     100%     AM2     100%
表3.SARC去除率结果
    溶液     SARC去除率20℃,15min
    AN2     98%
    AO2     98%
    AP2     98%
    AQ2     98%
    AR2     99%
    AS2     99%
    AT2     98%
    AU2     98%
    AV2     98%
    AW2     99%
    AX2     100%
实施例2
实验确定铜和/或钴金属在实施方案B的去除溶液中的蚀刻速率。将铜和/或钴的覆盖金属晶片浸没到去除溶液中,并采用原电池法确定各金属的蚀刻速率。结果列于下文表4中。
表4.金属的相容性
    溶液     Cu蚀刻速率(/min)     Co蚀刻速率(/min)
    BD     0.59     6.81
    BE     0.39     5.83
    BF     0.28     5.11
    BG     0.39     5.82
    BH     0.38     5.38
    BI     0.34     5.27
    BJ     0.33     4.57
    BK     0.34     3.54
    BL     0.26     1.72
    BM     0.26     0.59
实施例3
在40℃下将覆盖的电介质、铜和钴晶片在制剂AL2和AM2中静态浸泡30分钟,之后确定介电材料、铜和钴的蚀刻速率。采用电化学导出的Tafe1图确定蚀刻速率。
在制剂AL2中,介电材料、钴和铜的蚀刻速率分别确定为1.7min-1、0.043min-1和0.07min-1。在制剂AM2中,介电材料、钴和铜的蚀刻速率分别确定为0.6min-1、0.028min-1和0.055min-1
因此,虽然已参照本发明的具体方面、特征和示例的实施方案对本发明进行了描述,但应理解的是,本发明的效用并不因此而受到限制,而是延伸并涵盖了许多其它的方面、特征和实施方案。因此,应将以下阐明的权利要求相应地广义解释为包括在其实质和范围之内的所有这些方面、特征和实施方案。

Claims (35)

1.一种液体去除组合物,其包含至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂,其中所述液体去除组合物适用于从其上具有牺牲性抗反射涂层(SARC)材料和蚀刻后残留物的微电子器件上去除这些材料和残留物。
2.权利要求1的液体去除组合物,其中有机溶剂相对于含氟化合物的摩尔比为约1∶1至约120∶1。
3.权利要求1的液体去除组合物,其中有机溶剂相对于含氟化合物的摩尔比为约30∶1至约65∶1。
4.权利要求1的液体去除组合物,其中所述至少一种含氟化合物包括氟化氢。
5.权利要求1的液体去除组合物,其中所述至少一种有机溶剂包括选自如下的化合物:四氢噻吩砜、甲醇、乙醇、1-丙醇、2-丙醇、1-丁醇、2-丁醇、叔丁醇、1-戊醇、乙二醇、丙二醇、1,4-丁二醇、新戊二醇、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单***、三乙二醇单***、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、丙二醇甲醚、二丙二醇甲醚、三丙二醇甲醚、丙二醇正丙醚、二丙二醇正丙醚、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、二甲基乙酰胺、甲酰胺、二甲基甲酰胺、1-甲基-2-吡咯烷酮、二甲亚砜及其组合。
6.权利要求1的液体去除组合物,其中至少一种有机溶剂包括选自如下的化合物:甲醇、乙醇、乙二醇、丙二醇、2-丙醇、1-丙醇、1-丁醇、1,4-丁二醇、1-戊醇、二乙二醇甲醚、四氢噻吩砜、三丙二醇甲醚、二丙二醇正丁醚、二丙二醇甲醚及其组合。
7.权利要求1的液体去除组合物,其中所述至少一种有机溶剂包括选自如下的化合物:1-丁醇、1,4-丁二醇及其组合。
8.权利要求1的液体去除组合物,其中所述至少一种有机溶剂包括选自如下的化合物:乙二醇、四氢噻吩砜、三丙二醇甲醚、二丙二醇正丁醚及其组合。
9.权利要求1的液体去除组合物,其包含水,其中有机溶剂相对于水的摩尔比为约1∶1至约150∶1。
10.权利要求1的液体去除组合物,其包含水,其中有机溶剂相对于水的摩尔比为约30∶1至约60∶1。
11.权利要求1的液体去除组合物,其包含至少一种选自如下的螯合剂:苯并***、甲苯基***、5-苯基-苯并***、5-硝基-苯并***、3-氨基-5-巯基-1,2,4-***、1-氨基-1,2,4-***、羟基苯并***、2-(5-氨基-戊基)-苯并***、1-氨基-1,2,3-***、1-氨基-5-甲基-1,2,3-***、3-氨基-1,2,4-***、3-巯基-1,2,4-***、3-异丙基-1,2,4-***、5-苯硫基-苯并***、卤代苯并***、萘并***、2-巯基苯并咪唑、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、5-氨基-1,3,4-噻二唑-2-硫醇、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑啉酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨甲基三嗪、巯基苯并噻唑、咪唑啉硫酮、巯基苯并咪唑、4-甲基-4H-1,2,4-***-3-硫醇、5-氨基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、苯并异二唑、鸟嘌呤、腺嘌呤、甘油、硫代甘油、次氮基三乙酸、水杨酰胺、亚氨基二乙酸、苯并胍胺、三聚氰胺、硫氰尿酸、邻氨基苯甲酸、没食子酸、抗坏血酸、水杨酸、8-羟基喹啉、5-羧酸-苯并***、3-巯基丙醇、硼酸、亚氨基二乙酸及其组合。
12.权利要求9的液体去除组合物,其中水的存在量为基于所述组合物总重量计的约0.01wt.%至约1wt.%。
13.权利要求1的液体去除组合物,其中该组合物的pH值为约1至约5。
14.权利要求1的液体去除组合物,其中该组合物去除超过99%的SARC材料,并且在40℃下的铜蚀刻速率小于约0.1min-1
15.权利要求1的液体去除组合物,其中该组合物去除超过99%的SARC材料,并且在40℃下的钴蚀刻速率小于约0.1min-1
16.权利要求1的液体去除组合物,其中该组合物去除超过99%的SARC材料,并且在40℃下的介电材料蚀刻速率小于约2min-1
17.权利要求1的液体去除组合物,其还包含选自如下的残留材料:SARC残留物、蚀刻后残留物及其组合。
18.权利要求1的液体去除组合物,其还包含至少一种酸碱缓冲剂。
19.权利要求18的液体去除组合物,其中该组合物的pH值为约4至约9。
20.权利要求1的液体去除组合物,其选自制剂AA-AI3和BA-BJ2,其中所有的百分比按重量计,基于所述制剂的总重量:
制剂AA:99.5%乙醇;0.245%氟化氢;0.255%水
制剂AB:98.5%乙醇;0.735%氟化氢;0.765%水
制剂AC:74.5%乙醇;25.0%二丙二醇甲醚;0.245%氟化氢;0.255%水
制剂AD:73.5%乙醇;25.0%二丙二醇甲醚;0.735%氟化氢;0.765%水
制剂AE:74.5%乙醇;25.0%二乙二醇甲醚;0.245%氟化氢;0.255%水
制剂 AF:73.5%乙醇;25.0%二乙二醇甲醚;0.735%氟化氢;0.765%水
制剂AG:98.0%乙醇;0.98%氟化氢;1.02%水
制剂AH:98.5%甲醇;0.735%氟化氢;0.765%水
制剂AI:98.5%乙二醇;0.735%氟化氢;0.765%水
制剂AJ:98.5%丙二醇;0.735%氟化氢;0.765%水
制剂AK:98.5%二乙二醇丁醚;0.735%氟化氢;0.765%水
制剂AL:98.5%2-丙醇;0.735%氟化氢;0.765%水
制剂AM:98.5%1,4-丁二醇;0.735%氟化氢;0.765%水
制剂AN:98.5%1-丙醇;0.735%氟化氢;0.765%水
制剂AO:98.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AP:25.0%乙二醇;73.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AQ:98.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AR:50.0%乙二醇;48.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AS:75.0%乙二醇;23.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AT:25.0%乙二醇;73.5%乙醇;0.735%氟化氢;0.765%水
制剂AU:50.0%乙二醇;48.5%乙醇;0.735%氟化氢;0.765%水
制剂AV:75.0%乙二醇;23.5%乙醇;0.735%氟化氢;0.765%水
制剂AW:70.0%乙二醇;28.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AX:50.0%乙二醇;48.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AY:75.0%乙二醇;23.5%1,4-丁二醇;0.735%氟化氢;0.765%水
制剂AZ:60.0%乙二醇;38.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AA2:50.0%乙二醇;48.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AB2:80.0%乙二醇;18.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AC2:90.0%乙二醇;8.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AD2:99.25%1,4-丁二醇;0.3675%氟化氢;0.3825%水
制剂AE2:90.0%乙二醇;9.25%1-戊醇;0.3675%氟化氢;0.3825%水
制剂AF2:99.51%1,4-丁二醇;0.49%氟化氢
制剂AG2:99.265%1,4-丁二醇;0.735%氟化氢
制剂AH2:89.25%1,4-丁二醇;10.0%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AI2:80.0%1,4-丁二醇;19.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AJ2:70.0%1,4-丁二醇;29.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AK2:60.0%1,4-丁二醇;39.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AL2:50.0%1,4-丁二醇;49.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AM2:98.875%1,4-丁二醇;0.55125%氟化氢;0.57375%水
溶液    四氢噻吩砜    氢氟酸    水          乙二醇
AN2     35%          0.3675%  0.3825%    64.25%
AO2     35%          0.6125%  0.6375%    63.75%
AP2     45%          0.3675%  0.3825%    54.25%
AQ2     45%          0.6125%  0.6375%    53.75%
AR2    40%    0.49%    0.51%    59%
AS2    40%    0.49%    0.51%    59%
AT2    35%    0.49%    0.51%    64%
AU2    45%    0.49%    0.51%    54%
AV2    40%    0.3675%  0.3825%  59.25%
AW2    40%    0.6125%  0.6375%  58.75%
AX2    50%    0.3675%  0.3825%  49.25%
制剂AY2:79.25%乙醇;20%1-甲基-2-吡咯烷酮;0.3675%氟化氢;0.3825%水
制剂AZ2:79.25%乙二醇;20%二甲基乙酰胺;0.3675%氟化氢;0.3825%水
制剂AA3:99.25%四氢噻吩砜;0.3675%氟化氢;0.3825%水
制剂AB3:50%乙二醇;49.25%四氢噻吩砜;0.3675%氟化氢;0.3825%水
制剂AC3:50%乙二醇;49.625%四氢噻吩砜;0.375%氟化氢
制剂AD3:45%乙二醇;39.625%四氢噻吩砜;15%三丙二醇甲醚;0.375%氟化氢
制剂AE3:45%乙二醇;34.625%四氢噻吩砜;20%三丙二醇甲醚;0.375%氟化氢
制剂AF3:45%乙二醇;39.625%四氢噻吩砜;15%二丙二醇正丁醚;0.375%氟化氢
制剂AG3:45%乙二醇;39.625%四氢噻吩砜;15%二丙二醇甲醚;0.375%氟化氢
制剂AH3:70.0%1,4-丁二醇;29.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AI3:44.882%乙二醇;15%二(丙二醇)丁醚;39.64%环丁砜;0.375%HF;0.1%聚季铵盐2;0.003%四甲基氢氧化铵硅酸盐
制剂BA:0.5%氟化铵;2.58%丙二酸;68.08%二乙二醇;3%二甲基二甘醇胺;25.64%水;0.2%3-氨基-5-巯基-1,2,4-***
制剂BB:0.5%氟化铵;2.58%丙二酸;68.08%二乙二醇;3%二甲基二甘醇胺;25.64%水;0.2%4-甲基-2-苯基咪唑
制剂BC:0.5%氟化铵;2.58%丙二酸;68.08%二乙二醇;3%二甲基二甘醇胺;25.64%水;0.2%5-氨基-1,3,4-噻二唑-2-硫醇
组分               制剂
                   BD       BE       BF       BG       BH       BI
氟化铵             0.5%    0.5%    0.5%    0.5%    0.5%    0.5%
丙二酸             2.58%   2.58%   2.58%   2.58%   2.58%   2.58%
二乙二醇           72.92%  79.92%  86.92%  87.92%  88.92%  89.92%
二甲基二甘醇胺     3%      3%      3%      3%      3%      3%
水                 21%     14%     7%      6%      5%      4%
组分               制剂
                   BJ       BK       BL       BM
氟化铵             0.5%    0.5%    0.5%    0.5%
丙二酸             2.58%   2.58%   2.58%   2.58%
二乙二醇           90.92%  91.92%  92.92%  93.92%
二甲基二甘醇胺     3%      3%      3%      3%
水                 3%      2%      1%      0%
组分                         制剂
                             BN       BO       BP       BQ
氟化铵                       0.5%    0.5%    0.5%    0.5%
丙二酸                       2.58%   2.58%   2.58%   2.58%
二乙二醇                     93.82%  92.82%  91.82%  90.82%
二甲基二甘醇胺               3%      3%      3%      3%
水                           0%      1%      2%      3%
5-氨基-1,3,4-噻二唑-2-硫醇  0.1%    0.1%    0.1%    0.1%
制剂BR:2.0%氟化铵;2.58%丙二酸;89.52%二乙二醇;3%二甲基二甘醇胺;2.9%柠檬酸
制剂BS:1.0%氟化铵;5.16%丙二酸;87.84%二乙二醇;3%二甲基二甘醇胺;3%马来酸
制剂BT:75%甲基二乙醇胺-氢氟酸盐;25%水
制剂BU:67.5%甲基二乙醇胺-氢氟酸盐;22.5%水;10%二乙二醇甲醚
制剂BV:60%甲基二乙醇胺-氢氟酸盐;20%水;20%二乙二醇甲醚
制剂BW:67.5%甲基二乙醇胺-氢氟酸盐;22.5%水;10%咪唑烷酮
制剂BX:60%甲基二乙醇胺-氢氟酸盐;20%水;20%NMP
制剂BY:74.85%甲基二乙醇胺-氢氟酸盐;24.95%水;0.2%3-氨基-5-巯基-1,2,4-***
制剂BZ:74.85%甲基二乙醇胺-氢氟酸盐;24.95%水;0.2%5-氨基-1,3,4-噻二唑-2-硫醇
制剂BA2:74.85%甲基二乙醇胺-氢氟酸盐;24.95%水;0.2%4-甲基-4H-1,2,4-***-3-硫醇
制剂BB2:60%甲基二乙醇胺-氢氟酸盐;20%水; 20%五甲基二亚乙基三胺
制剂BC2:59.88%甲基二乙醇胺-氢氟酸盐;19.96%水;19.96%NMP;0.2%5-氨基-1,3,4-噻二唑-2-硫醇
制剂BD2:59.88%甲基二乙醇胺-氢氟酸盐;19.96%水;19.96%NMP;0.2%4-甲基-4H-1,2,4-***-3-硫醇
制剂BE2:37.5%甲基二乙醇胺-氢氟酸盐;18.75%三乙醇胺-氢氟酸盐;22.1%羟乙基吗啉-氢氟酸盐;21.65%水
制剂BF2:60%甲基二乙醇胺-氢氟酸盐;7.5%三乙醇胺-氢氟酸盐;8.84%羟乙基吗啉-氢氟酸盐;23.66%水
制剂BG2:45%甲基二乙醇胺-氢氟酸盐;29.88%甲基二乙醇胺-磷酸盐;25.12%水
制剂BH2:40.55%甲基二乙醇胺-氢氟酸盐;26.89%甲基二乙醇胺-磷酸盐;10%二甲基乙酰胺;22.6%水
制剂BI2:40.55%甲基二乙醇胺-氢氟酸盐;26.89%甲基二乙醇胺-磷酸盐;10%NMP;22.6%水
制剂BJ2:40.55%甲基二乙醇胺-氢氟酸盐;26.89%甲基二乙醇胺-磷酸盐;10%DMSO;22.6%水。
21.权利要求1的液体去除组合物,其中所述微电子器件包括选自如下的制品:半导体基板、平板显示器和微机电***(MEMS)。
22.一种试剂盒,其在一个或多个容器中包含液体去除组合物的试剂,其中所述液体去除组合物包含至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂,并且其中该试剂盒适于形成适用于从其上具有SARC材料和/或蚀刻后残留物的微电子器件上去除所述材料和残留物的液体去除组合物。
23.一种从其上具有SARC材料和/或蚀刻后残留物的微电子器件上去除所述材料和残留物的方法,所述方法包括使所述微电子器件与液体去除组合物接触足够的时间,从而至少部分地从所述微电子器件上去除所述材料和残留物,其中所述液体去除组合物包含至少一种含氟化合物、至少一种有机溶剂、任选的水和任选的至少一种螯合剂。
24.权利要求23的方法,其中所述液体去除组合物包含水,其中有机溶剂相对于水的摩尔比为约1∶1至约150∶1。
25.权利要求23的方法,其中所述液体去除组合物包含水,其中有机溶剂相对于水的摩尔比为约30∶1至约60∶1。
26.权利要求23的方法,其中所述微电子器件为选自如下的制品:半导体基板、平板显示器和微机电***(MEMS)。
27.权利要求23的方法,其中所述接触进行的时间为约1分钟至约60分钟。
28.权利要求23的方法,其中在约20℃至约80℃的温度下进行所述接触。
29.权利要求23的方法,其中所述至少一种含氟化合物包括氟化氢;且
其中所述至少一种有机溶剂包括选自如下的化合物:四氢噻吩砜、甲醇、乙醇、1-丙醇、2-丙醇、1-丁醇、2-丁醇、叔丁醇、1-戊醇、乙二醇、丙二醇、1,4-丁二醇、新戊二醇、二乙二醇单甲醚、三乙二醇单甲醚、二乙二醇单***、三乙二醇单***、乙二醇单丙醚、乙二醇单丁醚、二乙二醇单丁醚、三乙二醇单丁醚、丙二醇甲醚、二丙二醇甲醚、三丙二醇甲醚、丙二醇正丙醚、二丙二醇正丙醚、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、二甲基乙酰胺、甲酰胺、二甲基甲酰胺、1-甲基-2-吡咯烷酮、二甲亚砜及其组合。
30.权利要求23的方法,其中有机溶剂相对于含氟化合物的摩尔比为约1∶1至约120∶1。
31.权利要求23的方法,其中有机溶剂相对于含氟化合物的摩尔比为约30∶1至约60∶1。
32.权利要求23的方法,其中所述接触包括选自于如下的过程:
将所述液体去除组合物喷淋到所述微电子器件的表面上;将所述微电子器件浸渍到足够体积的液体去除组合物中;使所述微电子器件的表面与用所述液体去除组合物饱和的另一种材料相接触;和使所述微电子器件与循环的液体去除组合物接触。
33.权利要求23的方法,还包括在与所述液体去除组合物接触之后用去离子水漂洗所述微电子器件。
34.权利要求23的方法,其中所述液体去除组合物还包含至少一种酸碱缓冲剂。
35.权利要求23的方法,其中所述液体去除组合物选自制剂AA-AI3和BA-BJ2,其中所有的百分比按重量计,基于所述制剂的总重量:
制剂AA:99.5%乙醇;0.245%氟化氢;0.255%水
制剂AB:98.5%乙醇;0.735%氟化氢;0.765%水
制剂AC:74.5%乙醇;25.0%二丙二醇甲醚;0.245%氟化氢;0.255%水
制剂AD:73.5%乙醇;25.0%二丙二醇甲醚;0.735%氟化氢;0.765%水
制剂AE:74.5%乙醇;25.0%二乙二醇甲醚;0.245%氟化氢;0.255%水
制剂AF:73.5%乙醇;25.0%二乙二醇甲醚;0.735%氟化氢;0.765%水
制剂AG:98.0%乙醇;0.98%氟化氢;1.02%水
制剂AH:98.5%甲醇;0.735%氟化氢;0.765%水
制剂AI:98.5%乙二醇;0.735%氟化氢;0.765%水
制剂AJ:98.5%丙二醇;0.735%氟化氢;0.765%水
制剂AK:98.5%二乙二醇丁醚;0.735%氟化氢;0.765%水
制剂AL:98.5%2-丙醇;0.735%氟化氢;0.765%水
制剂AM:98.5%1,4-丁二醇;0.735%氟化氢;0.765%水
制剂AN:98.5%1-丙醇;0.735%氟化氢;0.765%水
制剂AO:98.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AP:25.0%乙二醇;73.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AQ:98.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AR:50.0%乙二醇;48.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AS:75.0%乙二醇;23.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AT:25.0%乙二醇;73.5%乙醇;0.735%氟化氢;0.765%水
制剂AU:50.0%乙二醇;48.5%乙醇;0.735%氟化氢;0.765%水
制剂AV:75.0%乙二醇;23.5%乙醇;0.735%氟化氢;0.765%水
制剂AW:70.0%乙二醇;28.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AX:50.0%乙二醇;48.5%1-丁醇;0.735%氟化氢;0.765%水
制剂AY:75.0%乙二醇;23.5%1,4-丁二醇;0.735%氟化氢;0.765%水
制剂AZ:60.0%乙二醇;38.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AA2:50.0%乙二醇;48.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AB2:80.0%乙二醇;18.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AC2:90.0%乙二醇;8.5%1-戊醇;0.735%氟化氢;0.765%水
制剂AD2:99.25%1,4-丁二醇;0.3675%氟化氢;0.3825%水
制剂AE2:90.0%乙二醇;9.25%1-戊醇;0.3675%氟化氢;0.3825%水
制剂AF2:99.51%1,4-丁二醇;0.49%氟化氢
制剂AG2:99.265%1,4-丁二醇;0.735%氟化氢
制剂AH2:89.25%1,4-丁二醇;10.0%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AI2:80.0%1,4-丁二醇;19.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AJ2:70.0%1,4-丁二醇;29.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AK2:60.0%1,4-丁二醇;39.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AL2:50.0%1,4-丁二醇;49.25%1-丁醇;0.3675%氟化氢;0.3825%水
制剂AM2:98.875%1,4-丁二醇;0.55 125%氟化氢;0.57375%水
溶液   四氢噻吩砜    氢氟酸    水        乙二醇
AN2    35%          0.3675%  0.3825%  64.25%
AO2    35%          0.6125%  0.6375%  63.75%
AP2    45%          0.3675%  0.3825%  54.25%
AQ2    45%          0.6125%  0.6375%  53.75%
AR2    40%          0.49%    0.51%    59%
AS2    40%          0.49%    0.51%    59%
AT2    35%          0.49%    0.51%    64%
AU2    45%          0.49%    0.51%    54%
AV2    40%          0.3675%  0.3825%  59.25%
AW2    40%          0.6125%  0.6375%  58.75%
AX2    50%          0.3675%  0.3825%  49.25%
制剂AY2:79.25%乙醇;20%1-甲基-2-吡咯烷酮;0.3675%氟化氢;0.3825%水
制剂AZ2:79.25%乙二醇;20%二甲基乙酰胺;0.3675%氟化氢;0.3825%水
制剂AA3:99.25%四氢噻吩砜;0.3675%氟化氢;0.3825%水
制剂AB3:50%乙二醇;49.25%四氢噻吩砜;0.3675%氟化氢;0.3825%水
制剂AC3:50%乙二醇;49.625%四氢噻吩砜;0.375%氟化氢
制剂AD3:45%乙二醇;39.625%四氢噻吩砜;15%三丙二醇甲醚;0.375%氟化氢
制剂AE3:45%乙二醇;34.625%四氢噻吩砜;20%三丙二醇甲醚;0.375%氟化氢
制剂AF3:45%乙二醇;39.625%四氢噻吩砜;15%二丙二醇正丁醚;0.375%氟化氢
制剂AG3:45%乙二醇;39.625%四氢噻吩砜;15%二丙二醇甲醚;0.375%氟化氢
制剂AH3:70.0%1,4-丁二醇;29.25%1-丁醇;0.3675%氟化氢;0.3 825%水
制剂AI3:44.882%乙二醇;15%二(丙二醇)丁醚;39.64%环丁砜;0.375%HF;0.1%聚季铵盐2;0.003%四甲基氢氧化铵硅酸盐
制剂BA:0.5%氟化铵;2.58%丙二酸;68.08%二乙二醇;3%二甲基二甘醇胺;25.64%水;0.2%3-氨基-5-巯基-1,2,4-***
制剂BB:0.5%氟化铵;2.58%丙二酸;68.08%二乙二醇;3%二甲基二甘醇胺;25.64%水;0.2%4-甲基-2-苯基咪唑
制剂BC:0.5%氟化铵;2.58%丙二酸;68.08%二乙二醇;3%二甲基二甘醇胺;25.64%水;0.2%5-氨基-1,3,4-噻二唑-2-硫醇
组分              制剂
                  BD      BE      BF      BG      BH      BI
氟化铵            0.5%   0.5%   0.5%   0.5%   0.5%   0.5%
丙二酸            2.58%  2.58%  2.58%  2.58%  2.58%  2.58%
二乙二醇          72.92% 79.92% 86.92% 87.92% 88.92% 89.92%
二甲基二甘醇胺    3%     3%     3%     3%     3%     3%
水                21%    14%    7%     6%     5%     4%
组分              制剂
                  BJ      BK      BL      BM
氟化铵            0.5%   0.5%   0.5%   0.5%
丙二酸            2.58%  2.58%  2.58%  2.58%
二乙二醇          90.92% 91.92% 92.92% 93.92%
二甲基二甘醇胺    3%     3%     3%     3%
水                3%     2%     1%     0%
组分              制剂
                                BN         BO         BP         BQ
氟化铵                          0.5%      0.5%      0.5%      0.5%
丙二酸                          2.58%     2.58%     2.58%     2.58%
二乙二醇                        93.82%    92.82%    91.82%    90.82%
二甲基二甘醇胺                  3%        3%        3%        3%
水                              0%        1%        2%        3%
5-氨基-1,3,4-噻二唑-2-硫醇    0.1%      0.1%      0.1%      0.1%
制剂BR:2.0%氟化铵;2.58%丙二酸;89.52%二乙二醇;3%二甲基二甘醇胺;2.9%柠檬酸
制剂BS:1.0%氟化铵;5.16%丙二酸;87.84%二乙二醇;3%二甲基二甘醇胺;3%马来酸
制剂BT:75%甲基二乙醇胺-氢氟酸盐;25%水
制剂BU:67.5%甲基二乙醇胺-氢氟酸盐;22.5%水;10%二乙二醇甲醚
制剂BV:60%甲基二乙醇胺-氢氟酸盐;20%水;20%二乙二醇甲醚
制剂BW:67.5%甲基二乙醇胺-氢氟酸盐;22.5%水;10%咪唑烷酮
制剂BX:60%甲基二乙醇胺-氢氟酸盐;20%水;20%NMP
制剂BY:74.85%甲基二乙醇胺-氢氟酸盐;24.95%水;0.2%3-氨基-5-巯基-1,2,4-***
制剂BZ:74.85%甲基二乙醇胺-氢氟酸盐;24.95%水;0.2%5-氨基-1,3,4-噻二唑-2-硫醇
制剂BA2:74.85%甲基二乙醇胺-氢氟酸盐;24.95%水;0.2%4-甲基-4H-1,2,4-***-3-硫醇
制剂BB2:60%甲基二乙醇胺-氢氟酸盐;20%水;20%五甲基二亚乙基三胺
制剂BC2:59.88%甲基二乙醇胺-氢氟酸盐;19.96%水;19.96%NMP;0.2%5-氨基-1,3,4-噻二唑-2-硫醇
制剂BD2:59.88%甲基二乙醇胺-氢氟酸盐;19.96%水;19.96%NMP;0.2%4-甲基-4H-1,2,4-***-3-硫醇
制剂BE2:37.5%甲基二乙醇胺-氢氟酸盐;18.75%三乙醇胺-氢氟酸盐;22.1%羟乙基吗啉-氢氟酸盐;21.65%水
制剂BF2:60%甲基二乙醇胺-氢氟酸盐;7.5%三乙醇胺-氢氟酸盐;8.84%羟乙基吗啉-氢氟酸盐;23.66%水
制剂BG2:45%甲基二乙醇胺-氢氟酸盐;29.88%甲基二乙醇胺-磷酸盐;25.12%水
制剂BH2:40.55%甲基二乙醇胺-氢氟酸盐;26.89%甲基二乙醇胺-磷酸盐;10%二甲基乙酰胺;22.6%水
制剂BI2:40.55%甲基二乙醇胺-氢氟酸盐;26.89%甲基二乙醇胺-磷酸盐;10%NMP;22.6%水
制剂BJ2:40.55%甲基二乙醇胺-氢氟酸盐;26.89%甲基二乙醇胺-磷酸盐;10%DMSO;22.6%水。
CN2006800281530A 2005-06-07 2006-06-07 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物 Expired - Fee Related CN101233456B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US68820405P 2005-06-07 2005-06-07
US60/688,204 2005-06-07
PCT/US2006/022049 WO2006133253A1 (en) 2005-06-07 2006-06-07 Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201210452842.1A Division CN102981377B (zh) 2005-06-07 2006-06-07 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物

Publications (2)

Publication Number Publication Date
CN101233456A true CN101233456A (zh) 2008-07-30
CN101233456B CN101233456B (zh) 2013-01-02

Family

ID=37498777

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201210452842.1A Expired - Fee Related CN102981377B (zh) 2005-06-07 2006-06-07 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
CN2006800281530A Expired - Fee Related CN101233456B (zh) 2005-06-07 2006-06-07 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201210452842.1A Expired - Fee Related CN102981377B (zh) 2005-06-07 2006-06-07 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物

Country Status (9)

Country Link
US (2) US8951948B2 (zh)
EP (2) EP1891482B1 (zh)
JP (1) JP2008546036A (zh)
KR (3) KR101332501B1 (zh)
CN (2) CN102981377B (zh)
IL (1) IL187956A0 (zh)
SG (2) SG10201504423QA (zh)
TW (3) TWI408212B (zh)
WO (1) WO2006133253A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1916772B (zh) * 2005-07-28 2010-08-11 罗门哈斯电子材料有限公司 剥离剂
CN107004575A (zh) * 2014-06-04 2017-08-01 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物
CN103777475B (zh) * 2012-10-23 2018-09-21 弗萨姆材料美国有限责任公司 清洁制剂
CN110714213A (zh) * 2019-10-31 2020-01-21 武汉奥邦表面技术有限公司 一种无氰碱性亚铜电镀铜络合剂
CN112859552A (zh) * 2021-02-04 2021-05-28 上海新阳半导体材料股份有限公司 一种氧化钒缓蚀含氟剥离液、其制备方法及应用
CN113430065A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG10201504423QA (en) 2005-06-07 2015-07-30 Entegris Inc Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
KR20080015027A (ko) * 2005-06-13 2008-02-15 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 규화물 형성 후 금속 또는 금속 합금의 선택적인제거를 위한 조성물 및 방법
EP1949424A2 (en) * 2005-10-05 2008-07-30 Advanced Technology Materials, Inc. Composition and method for selectively etching gate spacer oxide material
JP2009512194A (ja) 2005-10-05 2009-03-19 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド ポストエッチング残渣を除去するための酸化性水性洗浄剤
WO2007120259A2 (en) * 2005-11-08 2007-10-25 Advanced Technology Materials, Inc. Formulations for removing copper-containing post-etch residue from microelectronic devices
CN101356629B (zh) 2005-11-09 2012-06-06 高级技术材料公司 用于将其上具有低k介电材料的半导体晶片再循环的组合物和方法
SG177915A1 (en) * 2006-12-21 2012-02-28 Advanced Tech Materials Liquid cleaner for the removal of post-etch residues
TWI516573B (zh) * 2007-02-06 2016-01-11 安堤格里斯公司 選擇性移除TiSiN之組成物及方法
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
WO2009020199A1 (ja) * 2007-08-08 2009-02-12 Arakawa Chemical Industries, Ltd. 鉛フリーハンダフラックス除去用洗浄剤組成物、および鉛フリーハンダフラックスの除去方法
EP2268765A4 (en) * 2008-03-07 2011-10-26 Advanced Tech Materials UNSELECTIVE OXIDIZE WET CLEANING AGENT AND USE
TWI460557B (zh) * 2008-03-07 2014-11-11 Wako Pure Chem Ind Ltd 半導體表面用處理劑組成物及使用半導體表面用處理劑組成物之半導體表面處理方法
WO2010048139A2 (en) * 2008-10-21 2010-04-29 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
JP5498768B2 (ja) * 2009-12-02 2014-05-21 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
BR112013003854A2 (pt) 2010-08-20 2016-06-07 Advanced Tech Materials processo sustentável para reivindicação de metais preciosos e metais de base oriundo de resíduo e
WO2012048079A2 (en) 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
EP2652104A1 (en) * 2010-12-16 2013-10-23 Kyzen Corporation Cleaning agent for removal of soldering flux
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
SG11201400840UA (en) 2011-10-05 2014-04-28 Avantor Performance Mat Inc Microelectronic substrate cleaning compositions having copper/azole polymer inhibition
SG11201403556WA (en) 2011-12-28 2014-07-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
JP2015517691A (ja) 2012-05-18 2015-06-22 インテグリス,インコーポレイテッド 窒化チタンを含む表面からフォトレジストを剥離するための組成物およびプロセス
KR102118964B1 (ko) 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Iii-v 반도체 물질을 세척하기 위한 조성물 및 이를 사용하는 방법
JP6363116B2 (ja) 2013-03-04 2018-07-25 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
US9502231B2 (en) 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
US9245751B2 (en) 2013-03-12 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-reflective layer and method
US9543147B2 (en) 2013-03-12 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist and method of manufacture
US9256128B2 (en) * 2013-03-12 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
CN104102028A (zh) * 2013-04-10 2014-10-15 第一毛织株式会社 表面处理氧化铟锌基板的有机溶液及显示基板制备方法
SG11201509933QA (en) 2013-06-06 2016-01-28 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
US10138117B2 (en) 2013-07-31 2018-11-27 Entegris, Inc. Aqueous formulations for removing metal hard mask and post-etch residue with Cu/W compatibility
EP3039098B1 (en) 2013-08-30 2020-09-30 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
WO2015095175A1 (en) 2013-12-16 2015-06-25 Advanced Technology Materials, Inc. Ni:nige:ge selective etch formulations and method of using same
KR102352475B1 (ko) 2013-12-20 2022-01-18 엔테그리스, 아이엔씨. 이온-주입된 레지스트의 제거를 위한 비-산화성 강산의 용도
KR20150077076A (ko) 2013-12-27 2015-07-07 삼성디스플레이 주식회사 표시 패널, 이를 포함하는 표시 장치 및 이의 제조 방법
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
TWI659098B (zh) 2014-01-29 2019-05-11 美商恩特葛瑞斯股份有限公司 化學機械研磨後配方及其使用方法
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
WO2016003729A1 (en) * 2014-06-30 2016-01-07 Entegris, Inc. Aqueous and semi-aqueous cleaners for the removal of post-etch residues with tungsten and cobalt compatibility
US11286402B2 (en) * 2014-12-22 2022-03-29 Basf Se Use of a chemical mechanical polishing (CMP) composition for polishing of cobalt and / or cobalt alloy comprising substrates
JP6504911B2 (ja) * 2015-05-19 2019-04-24 キヤノン株式会社 液体吐出ヘッドの製造方法
TWI818893B (zh) * 2015-07-14 2023-10-21 美商富士軟片電子材料美國股份有限公司 清潔組成物及其使用方法
KR102384908B1 (ko) * 2015-11-25 2022-04-08 삼성전자주식회사 자성 패턴 세정 조성물, 자성 패턴 형성 방법 및 자기 메모리 장치의 제조 방법
WO2017165637A1 (en) * 2016-03-24 2017-09-28 Avantor Performance Materials, Llc Non-aqueous tungsten compatible metal nitride selective etchants and cleaners
KR102077953B1 (ko) * 2016-05-10 2020-02-14 아토테크더치랜드게엠베하 비수성 스트리핑 조성물 및 기판으로부터 유기 코팅을 스트리핑하는 방법
JP6970675B2 (ja) * 2016-09-29 2021-11-24 富士フイルム株式会社 処理液および積層体の処理方法
JP2020504460A (ja) * 2017-01-18 2020-02-06 インテグリス・インコーポレーテッド セリア粒子を表面から除去するための組成物及び方法
SG11202001057VA (en) * 2017-08-22 2020-03-30 Fujifilm Electronic Materials Usa Inc Cleaning compositions
US20190103282A1 (en) * 2017-09-29 2019-04-04 Versum Materials Us, Llc Etching Solution for Simultaneously Removing Silicon and Silicon-Germanium Alloy From a Silicon-Germanium/Silicon Stack During Manufacture of a Semiconductor Device
JP7137586B2 (ja) * 2018-02-05 2022-09-14 富士フイルム株式会社 処理液、及び、処理方法
CN113574458A (zh) 2019-03-14 2021-10-29 Asml荷兰有限公司 计量方法和设备、计算机程序和光刻***
CA3039238A1 (en) * 2019-04-05 2020-10-05 Fluid Energy Group Ltd. Novel inhibited hydrofluoric acid composition
CN113433807A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 离子注入光刻胶清洗液、其制备方法及应用
CA3083522A1 (en) * 2020-06-12 2021-12-12 Fluid Energy Group Ltd. Process to manufacture novel inhibited hydrofluoric acid composition
CN115466651A (zh) * 2022-10-09 2022-12-13 福建省腾达洁环保工程有限公司 一种电力电子设备带电清洗剂及其制备方法

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4343677A (en) 1981-03-23 1982-08-10 Bell Telephone Laboratories, Incorporated Method for patterning films using reactive ion etching thereof
US5320709A (en) 1993-02-24 1994-06-14 Advanced Chemical Systems International Incorporated Method for selective removal of organometallic and organosilicon residues and damaged oxides using anhydrous ammonium fluoride solution
JP3074634B2 (ja) 1994-03-28 2000-08-07 三菱瓦斯化学株式会社 フォトレジスト用剥離液及び配線パターンの形成方法
US5571447A (en) * 1995-03-20 1996-11-05 Ashland Inc. Stripping and cleaning composition
JP3236220B2 (ja) 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
US5855811A (en) * 1996-10-03 1999-01-05 Micron Technology, Inc. Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication
US5698503A (en) * 1996-11-08 1997-12-16 Ashland Inc. Stripping and cleaning composition
US6224785B1 (en) * 1997-08-29 2001-05-01 Advanced Technology Materials, Inc. Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
KR100234541B1 (ko) * 1997-03-07 1999-12-15 윤종용 반도체장치 제조용 웨이퍼의 세정을 위한 세정조성물 및 그를 이용한 세정방법
JPH1167632A (ja) 1997-08-18 1999-03-09 Mitsubishi Gas Chem Co Inc 半導体装置用洗浄剤
US6280651B1 (en) 1998-12-16 2001-08-28 Advanced Technology Materials, Inc. Selective silicon oxide etchant formulation including fluoride salt, chelating agent, and glycol solvent
US6211126B1 (en) 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
JPH11323394A (ja) 1998-05-14 1999-11-26 Texas Instr Japan Ltd 半導体素子製造用洗浄剤及びそれを用いた半導体素子の製造方法
KR20010025043A (ko) 1998-05-18 2001-03-26 바누치 유진 지. 반도체 기판용 스트립팅 조성물
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
US6395194B1 (en) 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
JP4224652B2 (ja) 1999-03-08 2009-02-18 三菱瓦斯化学株式会社 レジスト剥離液およびそれを用いたレジストの剥離方法
JP3626100B2 (ja) * 1999-04-27 2005-03-02 博 三輪 ガラスエッチング組成物およびこれを用いたガラス表面のフロスト加工法
US6248704B1 (en) 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6235693B1 (en) 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
JP3389166B2 (ja) 1999-09-10 2003-03-24 日本電気株式会社 レジスト用剥離液組成物
US6723691B2 (en) 1999-11-16 2004-04-20 Advanced Technology Materials, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6194366B1 (en) 1999-11-16 2001-02-27 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
US6492308B1 (en) 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
WO2001081525A1 (fr) * 2000-04-26 2001-11-01 Daikin Industries, Ltd. Composition de detergent
KR20010113396A (ko) * 2000-06-19 2001-12-28 주식회사 동진쎄미켐 암모늄 플로라이드를 함유하는 포토레지스트 리무버 조성물
US6566315B2 (en) 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
EP1357092A1 (en) * 2000-12-27 2003-10-29 Hiroshi Miwa Method for preparing decorative glass using glass etching composition
US6627587B2 (en) * 2001-04-19 2003-09-30 Esc Inc. Cleaning compositions
US6645867B2 (en) 2001-05-24 2003-11-11 International Business Machines Corporation Structure and method to preserve STI during etching
US20030022800A1 (en) 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
TWI297102B (en) 2001-08-03 2008-05-21 Nec Electronics Corp Removing composition
US20030171239A1 (en) * 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
US7419945B2 (en) * 2002-06-07 2008-09-02 Mallinckrodt Baker, Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
BR0311830A (pt) 2002-06-07 2005-03-29 Mallinckrodt Baker Inc Composições removedoras de arco e de limpeza de microeletrÈnicos
JP2004029276A (ja) * 2002-06-25 2004-01-29 Mitsubishi Gas Chem Co Inc 銅配線基板向け含フッ素レジスト剥離液
US20040050406A1 (en) 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
KR20050024432A (ko) * 2002-07-23 2005-03-10 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 희생 항반사 물질의 웨트 스트리핑 제거를 위한 조성물 및방법
JP4434950B2 (ja) 2002-08-22 2010-03-17 ダイキン工業株式会社 剥離液
US7267727B2 (en) * 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
WO2004094581A1 (en) * 2003-04-18 2004-11-04 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
US7309448B2 (en) 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US20050079803A1 (en) * 2003-10-10 2005-04-14 Siddiqui Junaid Ahmed Chemical-mechanical planarization composition having PVNO and associated method for use
US7888301B2 (en) 2003-12-02 2011-02-15 Advanced Technology Materials, Inc. Resist, barc and gap fill material stripping chemical and method
US8338087B2 (en) 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US7122484B2 (en) 2004-04-28 2006-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Process for removing organic materials during formation of a metal interconnect
US7497959B2 (en) 2004-05-11 2009-03-03 International Business Machines Corporation Methods and structures for protecting one area while processing another area on a chip
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US20060063687A1 (en) 2004-09-17 2006-03-23 Minsek David W Composition and process for ashless removal of post-etch photoresist and/or bottom anti-reflective material on a substrate
WO2006054996A1 (en) * 2004-11-19 2006-05-26 Honeywell International Inc. Selective removal chemistries for semiconductor applications, methods of production and uses thereof
US20060255315A1 (en) * 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
US20060154186A1 (en) 2005-01-07 2006-07-13 Advanced Technology Materials, Inc. Composition useful for removal of post-etch photoresist and bottom anti-reflection coatings
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
US7365045B2 (en) 2005-03-30 2008-04-29 Advanced Tehnology Materials, Inc. Aqueous cleaner with low metal etch rate comprising alkanolamine and tetraalkylammonium hydroxide
SG10201504423QA (en) 2005-06-07 2015-07-30 Entegris Inc Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US20070179072A1 (en) * 2006-01-30 2007-08-02 Rao Madhukar B Cleaning formulations
EP2082024A4 (en) * 2006-09-25 2010-11-17 Advanced Tech Materials COMPOSITIONS AND METHODS FOR REMOVING A PHOTORESISTANT AGENT FOR RECYCLING A SILICON GALETTE

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1916772B (zh) * 2005-07-28 2010-08-11 罗门哈斯电子材料有限公司 剥离剂
CN103777475B (zh) * 2012-10-23 2018-09-21 弗萨姆材料美国有限责任公司 清洁制剂
CN107004575A (zh) * 2014-06-04 2017-08-01 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物
CN115368982A (zh) * 2014-06-04 2022-11-22 恩特格里斯公司 具有金属、电介质及氮化物兼容性的抗反射涂层清洗及蚀刻后残留物去除组成物
CN110714213A (zh) * 2019-10-31 2020-01-21 武汉奥邦表面技术有限公司 一种无氰碱性亚铜电镀铜络合剂
CN113430065A (zh) * 2020-03-23 2021-09-24 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
CN113430065B (zh) * 2020-03-23 2024-06-07 上海新阳半导体材料股份有限公司 抗反射涂层清洗及刻蚀后残留物去除组合物、制备方法及用途
CN112859552A (zh) * 2021-02-04 2021-05-28 上海新阳半导体材料股份有限公司 一种氧化钒缓蚀含氟剥离液、其制备方法及应用
CN112859552B (zh) * 2021-02-04 2024-01-05 上海新阳半导体材料股份有限公司 一种氧化钒缓蚀含氟剥离液的应用

Also Published As

Publication number Publication date
US20080242574A1 (en) 2008-10-02
KR101477455B1 (ko) 2014-12-29
EP1891482A4 (en) 2013-01-09
TW201336973A (zh) 2013-09-16
CN102981377A (zh) 2013-03-20
TWI516574B (zh) 2016-01-11
TW200708597A (en) 2007-03-01
WO2006133253A1 (en) 2006-12-14
TW201610104A (zh) 2016-03-16
KR20130069825A (ko) 2013-06-26
US20150094248A1 (en) 2015-04-02
KR101431406B1 (ko) 2014-08-18
TWI622639B (zh) 2018-05-01
EP1891482B1 (en) 2014-04-30
US8951948B2 (en) 2015-02-10
KR20140007020A (ko) 2014-01-16
TWI408212B (zh) 2013-09-11
IL187956A0 (en) 2008-03-20
SG162757A1 (en) 2010-07-29
US9422513B2 (en) 2016-08-23
CN101233456B (zh) 2013-01-02
EP2759881A1 (en) 2014-07-30
CN102981377B (zh) 2014-11-12
JP2008546036A (ja) 2008-12-18
SG10201504423QA (en) 2015-07-30
EP1891482A1 (en) 2008-02-27
KR101332501B1 (ko) 2013-11-27
KR20080019049A (ko) 2008-02-29

Similar Documents

Publication Publication Date Title
CN101233456B (zh) 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
JP7018989B2 (ja) 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物
CN101421386B (zh) 金属相容的光致抗蚀剂和/或牺牲性抗反射涂层去除组合物
TWI426361B (zh) 用於有效地移除後蝕刻光阻劑及底層抗反射塗料之組成物
CN101366107B (zh) 用于除去蚀刻后残余物的含水氧化清洗剂
US20070149430A1 (en) Formulation for removal of photoresist, etch residue and BARC

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150408

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20150408

Address after: Massachusetts, USA

Patentee after: MYKROLIS Corp.

Address before: American Connecticut

Patentee before: Advanced Technology Materials, Inc.

C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Massachusetts, USA

Patentee after: Entergris Co.

Address before: Massachusetts, USA

Patentee before: MYKROLIS Corp.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130102

Termination date: 20170607

CF01 Termination of patent right due to non-payment of annual fee