WO2009150694A1 - 半導体集積回路および試験装置 - Google Patents

半導体集積回路および試験装置 Download PDF

Info

Publication number
WO2009150694A1
WO2009150694A1 PCT/JP2008/001469 JP2008001469W WO2009150694A1 WO 2009150694 A1 WO2009150694 A1 WO 2009150694A1 JP 2008001469 W JP2008001469 W JP 2008001469W WO 2009150694 A1 WO2009150694 A1 WO 2009150694A1
Authority
WO
WIPO (PCT)
Prior art keywords
circuit
signal
load balance
semiconductor integrated
integrated circuit
Prior art date
Application number
PCT/JP2008/001469
Other languages
English (en)
French (fr)
Inventor
藤部亮
永田祐仁
須田昌克
Original Assignee
株式会社アドバンテスト
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社アドバンテスト filed Critical 株式会社アドバンテスト
Priority to JP2010516660A priority Critical patent/JPWO2009150694A1/ja
Priority to KR1020117000488A priority patent/KR101226404B1/ko
Priority to US12/996,756 priority patent/US8555098B2/en
Priority to PCT/JP2008/001469 priority patent/WO2009150694A1/ja
Priority to TW098119225A priority patent/TW201000914A/zh
Publication of WO2009150694A1 publication Critical patent/WO2009150694A1/ja

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31721Power aspects, e.g. power supplies for test circuits, power saving during test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/319Tester hardware, i.e. output processing circuits
    • G01R31/31917Stimuli generation or application of test patterns to the device under test [DUT]
    • G01R31/31922Timing generation or clock distribution

Definitions

  • the present invention relates to a semiconductor integrated circuit and a test apparatus that operate based on a multilevel digital signal, and more particularly to a power supply stabilization technique.
  • test apparatus When testing semiconductor integrated circuits such as CPU (Central Processing Unit), DSP (Digital Signal Processor), and memory using CMOS (Complementary Metal Oxide Semiconductor) technology using a semiconductor test apparatus (hereinafter referred to as test apparatus)
  • a test pattern is supplied from a test apparatus to a semiconductor integrated circuit which is a device under test (hereinafter referred to as a DUT), the DUT is subjected to predetermined signal processing, and the data obtained as a result is compared with an expected value. Make a decision.
  • the power supply circuit that supplies the power supply voltage to the DUT is configured using a regulator, and ideally, a constant power supply voltage can be supplied regardless of the load current.
  • an actual power supply circuit has an output impedance that cannot be ignored and there is a limit to followability to load fluctuations, when the current consumption of the DUT changes in a burst state, the power supply voltage also fluctuates accordingly.
  • the present invention has been made in view of these problems, and an object thereof is to provide a semiconductor integrated circuit and a test apparatus capable of suppressing power supply voltage fluctuation during a test.
  • the semiconductor integrated circuit becomes an operating state when a multi-value digital signal is input, and its current consumption increases, and decreases when the input of the multi-value digital signal is stopped. Therefore, by detecting the presence or absence of the input of the multi-value digital signal, the load balance circuit can be suitably controlled, the current consumption of the semiconductor integrated circuit can be kept constant, and the fluctuation of the power supply voltage can be suppressed.
  • the detection unit may detect the clock signal as a multi-value digital signal.
  • the digital circuit current consumption increases when a clock is input, so that the load balance circuit can be suitably controlled.
  • the detection unit may detect a binary data signal having a high level or a low level as a multi-value digital signal.
  • the binary data signal includes, for example, a pseudo random signal, an address signal, a data signal, and the like.
  • the detection unit may extend the duty ratio of each pulse of the multilevel digital signal to 100% or more, and output a signal including the extended pulse as a signal indicating the presence or absence of the input of the multilevel digital signal.
  • the pulses of the multi-value digital signal are continuously input, the pulses whose duty ratios are extended overlap each other and the high level is maintained, so that the presence or absence of the multi-value digital signal can be suitably detected.
  • the detection unit applies a multistage delay to the multilevel digital signal, generates a plurality of delayed digital signals with different delays, and performs predetermined signal processing on the plurality of delayed digital signals output from the multistage delay circuit. And a detection processing unit that determines whether or not a multi-value digital signal is input.
  • the detection processing unit may perform a logical operation on the plurality of delayed digital signals and output the calculation result as a detection result of the input of the multilevel digital signal.
  • the detection processing unit may generate a logical sum of a plurality of delayed digital signals.
  • the detection processing unit may integrate a plurality of delayed digital signals and output the integration result as a detection result of the input of the multi-value digital signal.
  • the integrated value when the multilevel digital signal is supplied becomes larger than the integrated value when the multilevel digital signal is stopped. Therefore, the presence or absence of a multi-value digital signal can be determined according to the integration result.
  • the frequency counter may measure the frequency for a predetermined period after the detection unit detects the stop of the input of the multilevel digital signal, and may generate a state detection signal when the load balance circuit is active. Further, the frequency counter may generate a state detection signal when the load balance circuit is inactive by measuring a frequency during a predetermined period when the load balance circuit is inactive. The frequency measurement during the inactive period may be started when the trigger signal is externally asserted.
  • the power control circuit may adjust the power consumption by the load balance circuit so that the frequency difference between the active and inactive load balance circuits is minimized.
  • the load balance circuit When transitioning from a state in which a multi-value digital signal is supplied to a stop state, the load balance circuit is turned on and the current consumption fluctuates, and further, the voltage drop in the internal impedance of the power supply fluctuates, so that the power supply voltage fluctuates. There is a case.
  • the power supply voltage fluctuation can be suitably suppressed.
  • the apparatus includes a power supply circuit that generates a power supply voltage, a multi-strobe generator that generates a multi-strobe signal including a plurality of pulses having edges at different timings, and a circuit block that receives the multi-strobe signal and performs predetermined signal processing And a load balance circuit that consumes predetermined power, and a multi-strobe detector that receives the multi-strobe signal and detects whether the multi-strobe signal is generated by the multi-strobe generator. At least the circuit block and the load balance circuit operate by receiving a common power supply voltage, and when the multi-strobe signal generation stop is detected by the multi-strobe detection unit, the load balance circuit is activated.
  • the current consumption of the circuit block increases when the multi-strobe signal is supplied, and decreases when the supply of the multi-strobe signal is stopped. Therefore, by detecting the presence or absence of multi-strobe signal generation, the load balance circuit can be suitably controlled, the current consumption in the test apparatus can be kept constant, and fluctuations in the power supply voltage generated by the power supply circuit Can be suppressed. As a result, the jitter of the multi-strobe signal itself, timing signals generated by other circuits, and pattern signals can be reduced.
  • the multi-strobe detection unit may integrate a plurality of pulses included in the multi-strobe signal and output the integration result as a detection result.
  • the load balance circuit may be configured such that its power consumption can be controlled.
  • the test apparatus detects a state of the semiconductor integrated circuit, generates a state detection signal corresponding to the detected state, and a value of the state detection signal when the load balance circuit is active when the value of the state detection signal is inactive. You may further provide the power control circuit which adjusts the power consumption by a load balance circuit so that it may correspond with the value of a state detection signal.
  • the state measurement circuit may include an oscillator that operates by receiving a power supply voltage, and a frequency counter that measures the frequency of the oscillator, and may output a state detection signal corresponding to the measured frequency.
  • the circuit block may latch the input data at the edge of the multi-strobe signal and perform processing on each latched data.
  • 1 is a circuit diagram showing a configuration of a semiconductor integrated circuit according to a first embodiment. It is a circuit diagram which shows the structural example of a clock detection part and a load balance circuit. 3 is a time chart showing the operation of the clock detection unit of FIG. 2. It is a circuit diagram which shows the structure of the clock detection part which concerns on a modification. 2 is a time chart showing a state of load regulation by the semiconductor integrated circuit of FIG. It is a block diagram which shows the structure of the semiconductor test apparatus which concerns on 2nd Embodiment.
  • the state where the member A and the member B are connected means that the member A and the member B are physically directly connected, or the member A and the member B are electrically connected. It includes a case where the connection is indirectly made through another member that does not substantially or substantially affect the connection state.
  • a semiconductor integrated circuit having a mechanism for suppressing fluctuations in a power supply voltage built in a test apparatus during a test will be described.
  • a description will be given of a test apparatus having a mechanism for suppressing power supply voltage fluctuations accompanying fluctuations in current consumption inside the second embodiment.
  • FIG. 1 is a circuit diagram showing a configuration of a semiconductor integrated circuit 100 according to the first embodiment. In addition to the semiconductor integrated circuit 100, FIG. 1 shows a test apparatus 200 for testing it.
  • the semiconductor integrated circuit 100 includes a circuit block 10, a clock detection unit 20, a load balance circuit 40, a state measurement circuit 44, and a power control circuit 46.
  • the circuit block 10 is a circuit that performs the original function of the semiconductor integrated circuit 100, and receives the power supply voltage Vdd and the clock CLK to perform predetermined signal processing.
  • the configuration and processing of the circuit block 10 are not limited. That is, the semiconductor integrated circuit 100 may be any of a CPU, DSP, memory, other digital circuit, digital / analog mixed circuit, and the like.
  • the clock detection unit 20 detects an input of an external clock CLK.
  • the clock detection unit 20 generates an enable signal EN that becomes a predetermined level (high level) when the clock CLK is detected, and supplies the enable signal EN to the load balance circuit 40. That is, when the clock detection unit 20 detects the input of the clock CLK, the load balance circuit 40 becomes active, and a current (hereinafter referred to as a balance current Ibal) is consumed in the load balance circuit 40.
  • the clock detection unit 20, the load balance circuit 40, the state measurement circuit 44, and the power control circuit 46 are circuits that are mainly used at the time of testing, but may be used even when the semiconductor integrated circuit 100 is mounted on a final product. Good.
  • the above is the basic configuration of the semiconductor integrated circuit 100. An operation at the time of testing the semiconductor integrated circuit 100 will be described. At the time of testing, the semiconductor integrated circuit 100 is mounted on a socket board (performance board) of the test apparatus 200.
  • the test apparatus 200 includes a power supply circuit 202 that generates a power supply voltage Vdd to be supplied to the semiconductor integrated circuit 100 that is a DUT, a test signal generation unit 204 that supplies a test signal S1 to the DUT, and a determination unit 206 that determines pass / fail. .
  • the semiconductor integrated circuit 100 receives the test signal S1 supplied from the test signal generation unit 204 and performs predetermined signal processing. Data S2 obtained as a result of the signal processing is read out to the test apparatus 200.
  • the determination unit 206 compares the expected value according to the test signal S1 with the signal S2 generated by the semiconductor integrated circuit 100, and determines whether the semiconductor integrated circuit 100 is good or bad.
  • a clock CLK for operating the semiconductor integrated circuit 100 is output together with or independently of the test data S1.
  • the circuit block 10 consumes a certain current Idd.
  • the current consumption Idd of the circuit block 10 decreases. If the load balance circuit 40 is not operated at this time, the load viewed from the power supply circuit 202 becomes light, and the power supply voltage Vdd varies. The fluctuation of the power supply voltage Vdd gives jitter to various signals generated by the test signal generation unit 204.
  • the clock detection unit 20 of the semiconductor integrated circuit 100 determines whether or not the clock CLK is input, and activates the load balance circuit 40 while the input of the clock CLK is stopped, that is, while the operation of the circuit block 10 is stopped.
  • the decrease in the current Idd due to the operation stop of the circuit block 10 is offset by the balance current Ibal flowing through the load balance circuit 40, and the load viewed from the power supply circuit 202 is kept constant.
  • fluctuations in the power supply voltage Vdd can be suppressed, and the operation of the test signal generation unit 204 can be stabilized.
  • FIG. 2 is a circuit diagram showing a configuration example of the clock detection unit 20 and the load balance circuit 40.
  • the clock detection unit 20 includes an input buffer 22, an output buffer 24, a plurality of NAND gates NAND1 to NAND8, a plurality of OR gates OR1 to OR8, and a plurality of delay circuits DLY1 to DLY7 (partially not shown).
  • the clock CLK is distributed to a plurality of NAND gates via the input buffer 22.
  • a control signal XCNT is input to the other inputs of the gates NAND1 to NAND8.
  • the control signal XCNT is stored in the register 26, and the level can be set from the outside. Focusing on the i-th NAND gate NANDi, when the control signal XCNT [i ⁇ 1] is at a low level, the path is invalidated and the clock is not distributed to the subsequent stage.
  • the clock distributed by the gates NAND1 to NAND8 is input to the other input of each of the OR gates OR1 to OR8.
  • the output of the OR gate OR8 in the final stage is output as an enable signal EN via the output buffer 24.
  • FIG. 3 is a time chart showing the operation of the clock detection unit 20 of FIG.
  • the output CLKi of the i-th OR gate is delayed by a predetermined time ⁇ by the i-th delay circuit DLYi.
  • the delayed clock CLKid is logically ORed with the original clock CLK by the OR gate OR (i + 1) at the next stage. By sequentially performing this process while increasing i, the original clock CLK is smoothed and the enable signal EN is generated.
  • the clock detection unit 20 of FIG. 2 can be understood as a circuit that generates a pulse delayed from the clock CLK and integrates it.
  • the clock detection unit 20 extends the duty ratio of each pulse of the clock CLK to 100% or more. Then, a signal including the extended pulse is output as a signal (EN) indicating whether or not the clock CLK is input.
  • the enable signal EN is supplied to the load balance circuit 40 at the subsequent stage.
  • the load balance circuit 40 includes a plurality of (for example, 10) load circuits HT that can be individually turned on and off, and AND gates AND1 to AND10 provided for each load circuit HT, and the power consumption thereof can be controlled. Composed. Since the load circuit HT consumes electric power and generates heat, it is also called a heater. The heater HT is turned on when the output of the corresponding AND gate is at a high level, and turned off when the output of the corresponding AND gate is at a low level.
  • the enable signal EN is input to one input of each of the plurality of AND gates AND1 to AND10, and the control signals HT [0] to HT [9] are input to the other.
  • the control signal HT [9: 0] is stored in the register 42, and the level can be set from the outside. Focusing on the i-th heater HTi, when the control signal HT [i-1] is at a low level, it is turned off regardless of the enable signal EN. When the control signal HT [i ⁇ 1] is at a high level, on / off is controlled according to the level of the enable signal EN.
  • the clock detection unit 20 in FIG. 2 operates effectively under the condition that the clock CLK is fixed at a low level in a stopped state. If the clock CLK is fixed at a high level in a stopped state, the enable signal EN that must be fixed at a low level becomes a high level, and the load balance circuit 40 malfunctions. Therefore, the edge detection circuit 28 is provided to cope with both cases where the clock CLK is fixed at a high level and fixed at a low level.
  • the edge detection circuit 28 detects the edge of the clock CLK, and generates a pulse train PS having a predetermined level (high level) for each edge.
  • the selector 29 selects either the clock CLK or the pulse train PS from the edge detection circuit 28 and outputs it to the clock detection unit 20.
  • edge detection circuit 28 and the selector 29 may not be provided when the clock CLK is fixed at a low level in a supply stop state. On the contrary, when the clock CLK is fixed at a high level in a supply stop state, only the edge detection circuit 28 may be provided. Naturally, when the edge detection circuit 28 and the selector 29 are provided as shown in FIG. 2, whether or not the clock is input is appropriate regardless of whether the logic value in the stop state of the clock CLK is high or low. Can be detected.
  • the multistage delay circuit 30 gives a multistage delay to the clock CLK, and generates a plurality of delay clocks CLKd0 to CLKdn with different delays.
  • the detection processing unit 32 performs predetermined signal processing on the plurality of delayed clocks CLKd to determine whether the clock CLK is input. Most simply, the detection processing unit 32 can be configured by an OR gate, but may be configured by other circuits.
  • the processing of the clock detection unit 20 can be understood as clock integration processing.
  • the 32 detection processing unit 32 in FIG. 4 can also be regarded as integrating a plurality of delay clocks CLKd0 to CLKdn and outputting the integration result as the detection result of the input of the clock CLK.
  • the state measurement circuit 44 detects the state of the semiconductor integrated circuit 100 and generates a state detection signal S3 corresponding to the detected state.
  • the power control circuit 46 adjusts the power consumption by the load balance circuit 40 so that the value of the state detection signal S3 when the load balance circuit 40 is active matches the value of the state detection signal S3 when the load balance circuit 40 is inactive. .
  • the power consumption can be adjusted by writing data in the register 42 in FIG.
  • the load balance circuit 40 In addition to switching the load balance circuit 40 on and off, by controlling the amount of current consumed by the load balance circuit 40, the total of the semiconductor integrated circuit and the load balance circuit generated by switching the load balance circuit between active and inactive The amount of fluctuation in current consumption can be suppressed.
  • the state measurement circuit 44 a ring oscillator whose oscillation frequency changes according to the power supply voltage Vdd or temperature of the semiconductor integrated circuit 100 can be suitably used.
  • the state measurement circuit 44 is connected to the power supply terminal 102 common to the circuit block 10 and measures the frequency of the ring oscillator (OSC) that oscillates at a frequency corresponding to the power supply voltage Vdd of the power supply terminal 102 and the ring oscillator.
  • a frequency counter (not shown). The frequency measured by the frequency counter is output to the power control circuit 46 as the state detection signal S3.
  • FIG. 5 is a time chart showing the state of load regulation by the semiconductor integrated circuit 100 of FIG.
  • the circuit block 10 consumes the current Idd. This current has a ripple synchronized with the clock CLK.
  • the load balance circuit 40 becomes active, and the consumption current Idd is kept constant.
  • the power supply voltage Vdd overshoots at the timing when the load balance circuit 40 transitions from OFF to ON. This overshoot occurs in the time order of 100 ⁇ s to several ms.
  • the frequency counter may measure the frequency during a predetermined period T2 after the clock detector 20 detects the stop of the input of the clock CLK, and may generate the state detection signal S3 when the load balance circuit 40 is active. Further, the frequency of the predetermined period T1 during which the clock CLK is input is measured, and the state detection signal S3 when the load balance circuit 40 is inactive is generated.
  • the power control circuit 46 controls the amount of current generated by the load balance circuit 40 so that the state detection signals S3 acquired in the two periods T1 and T2 match.
  • the load balance circuit 40 is activated when the input of the clock CLK is stopped.
  • the present invention is not limited to this.
  • a data signal such as a pseudo random signal
  • the detection method may be the same as that for the clock CLK.
  • the density of data change points (edges) changes randomly, and as a result, the current consumption of the circuit also varies.
  • the fluctuation of the consumption current according to the density of the data signal can be canceled and eventually supplied to the semiconductor integrated circuit 100. Fluctuations in power supply voltage can be suppressed.
  • the clock is regenerated based on the edge embedded in the data signal.
  • detecting the input of the data signal is synonymous with detecting the clock, so that the current consumption can be kept constant and fluctuations in the power supply voltage can be suppressed.
  • FIG. 6 is a block diagram showing a configuration of a semiconductor test apparatus 200 according to the second embodiment.
  • the test apparatus 200 includes a power supply circuit 50, a multi-strobe generation unit 52, a circuit block 54, a load balance circuit 56, and a multi-strobe detection unit 58.
  • the power supply circuit 50 is a regulator or the like and generates a power supply voltage Vdd.
  • Multi-strobe generator 52 generates multi-strobe signal MSTRB.
  • the multi-strobe signal MSTRB includes a plurality of pulses having edges at different timings.
  • the multi-strobe is generated by giving a multistage delay to the input single strobe signal by a multistage delay circuit 30 as shown in FIG.
  • the circuit block 54 receives the multi-strobe signal MSTRB and performs predetermined signal processing.
  • the content of the signal processing is not particularly limited.
  • a test using a multi-strobe signal is disclosed in Japanese Patent Application Laid-Open Nos. 2004-125552 and 2004-125573.
  • the circuit block 54 includes a comparator 60, a latch circuit 62, and a change point detector 64.
  • the comparator 60 receives a data signal DQ output from a DUT (not shown).
  • the comparator 60 compares the data signal DQ with a predetermined threshold voltage and performs level determination.
  • the latch circuit 62 latches the signal S4 indicating the determination result at the timing of each edge of the pulse included in the multi-strobe signal MSTRB.
  • the value of the latched data S5 changes at the change point of the level transition of the data signal DQ.
  • the change point detector 64 detects the timing of the change point of the data signal DQ based on the latched data S5. For example, jitter can be measured by measuring change points for several data signals DQ. If this test is continuously performed, the eye margin and the like can be measured.
  • the load balance circuit 56 receives the power supply voltage Vdd common to the circuit block 54 and consumes predetermined power.
  • the function and purpose of the load balance circuit 56 are the same as those of the load balance circuit 40 of FIG. 1 described in the first embodiment.
  • the multi-strobe signal MSTRB is also supplied to the multi-strobe detector 58.
  • Multi-strobe detection unit 58 detects whether multi-strobe signal MSTRB is generated by multi-strobe generation unit 52.
  • the multi-strobe detection unit 58 sets the enable signal EN to a predetermined level (high level) and activates (turns on) the load balance circuit 56.
  • the current consumption of the circuit block 54 increases when the multi-strobe signal MSTRB is supplied, and decreases when the supply of the multi-strobe signal MSTRB is stopped. Therefore, by detecting the presence or absence of generation of the multi-strobe signal MSTRB, the load balance circuit 40 can be suitably controlled, the current consumption in the test apparatus 200 can be kept constant, and is generated by the power supply circuit 50. Variations in the power supply voltage Vdd can be suppressed. As a result, the jitter of the multi-strobe signal itself, timing signals generated by other circuits, and pattern signals can be reduced.
  • the multi-strobe signal MSTRB is a signal equivalent to a plurality of delay clocks CLKd generated by the multistage delay circuit 30 of FIG. Therefore, the multi-strobe detector 58 can generate the enable signal EN by taking the logical sum of the pulses included in the multi-strobe signal MSTRB, similarly to the detection processor 32 of FIG.
  • the edge detection circuit 28 described in the first embodiment can also be applied to the second embodiment. Further, by mounting the state measurement circuit 44 and the power control circuit 46 in the test apparatus 200, more stable power stabilization can be realized.
  • the semiconductor integrated circuit 100 is a test target of the test apparatus 200 .
  • the semiconductor integrated circuit 100 itself may be a functional IC mounted inside the test apparatus 200.
  • the load on the power supply circuit 202 is kept constant when the clock is stopped by using the semiconductor integrated circuit 100 of FIG. Can do.
  • the present invention can be used for testing technology.

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

 回路ブロック10は、外部からクロックCLKを受けて動作する。ロードバランス回路40は、回路ブロック10と共通の電源端子102に接続され、所定の電力を消費する。クロック検出部20は、外部からのクロックCLKの入力を検出する。クロック検出部20によりクロックの入力停止が検出されたとき、ロードバランス回路40をアクティブとする。

Description

半導体集積回路および試験装置
 本発明は、多値デジタル信号にもとづいて動作する半導体集積回路および試験装置に関し、特に電源の安定化技術に関する。
 CMOS(Complementary Metal Oxide Semiconductor)テクノロジを用いたCPU(Central Processing Unit)、DSP(Digital Signal Processor)、メモリなどの半導体集積回路を、半導体試験装置(以下、試験装置という)を用いて試験する場合、試験装置から被試験デバイス(以下、DUTという)である半導体集積回路に対して、試験パターンを供給し、DUTに所定の信号処理を行わせ、その結果得られるデータを期待値と比較して良否判定を行う。
国際公開第06/035604号パンフレット 特開平11-74768号公報 特開2004-125552号公報 特開2004-125573号公報
 DUT内のフリップフロップやラッチは、試験パターンを受けて信号処理を行う最中に電流を消費し、信号処理が停止すると回路が静的な状態となるため、消費電流が減少する。したがって、DUTに対して試験パターンが間欠的に供給される場合、DUTの消費電流も間欠的にバースト状に流れることになる。DUTに電源電圧を供給する電源回路はレギュレータを用いて構成され、理想的には負荷電流にかかわらず一定の電源電圧を供給可能である。しかしながら実際の電源回路は、無視できない出力インピーダンスを有し、負荷変動に対する追従性にも限界があるため、DUTの消費電流がバースト状に変化すると、電源電圧もこれに併せて変動してしまう。
 電源電圧の変動は、試験装置内のその他の回路ブロック、たとえばDUTに供給するパターンを生成するパターン発生器や、パターンの遷移タイミングを制御するためのタイミング発生器の動作に影響を及ぼし、生成される信号にジッタが重畳されてしまうという問題が発生する。
 また、試験装置の内部においても、間欠的に動作するブロックが存在すると、その電源電圧が変動するという問題がある。
 本発明はこうした課題に鑑みてなされたものであり、その目的は、試験時の電源電圧変動を抑制可能な半導体集積回路および試験装置の提供にある。
 本発明のある態様の半導体集積回路は、外部から多値デジタル信号を受けて動作する回路ブロックと、回路ブロックと共通の電源端子に接続され、所定の電力を消費するロードバランス回路と、外部からの多値デジタル信号の入力を検出する検出部と、を備える。半導体集積回路は、検出部により多値デジタル信号の入力停止が検出されたとき、ロードバランス回路をアクティブとする。
 半導体集積回路は、多値デジタル信号が入力されるとき、動作状態となってその消費電流が増加し、多値デジタル信号の入力が停止すると減少する。したがって多値デジタル信号の入力の有無を検出することにより、ロードバランス回路を好適に制御することができ、半導体集積回路の消費電流を一定に保つことができ、電源電圧の変動を抑制できる。
 検出部は、多値デジタル信号としてクロック信号を検出してもよい。デジタル回路は、クロックが入力されると消費電流が増加するため、ロードバランス回路を好適に制御できる。
 また検出部は、多値デジタル信号として、ハイレベルまたはローレベルをとる2値のデータ信号を検出してもよい。2値のデータ信号には、たとえば疑似ランダム信号、アドレス信号、データ信号などが含まれる。
 検出部は、多値デジタル信号の各パルスのデューティ比を100%以上に引き延ばし、引き延ばされたパルスを含む信号を、多値デジタル信号の入力の有無を示す信号として出力してもよい。
 この場合、連続して多値デジタル信号のパルスが入力されると、デューティ比が引き延ばされたパルス同士が重なり合ってハイレベルが持続するため、多値デジタル信号の有無を好適に検出できる。
 検出部は、複数の遅延回路と、複数の2入力論理ゲートと、を備えてもよい。複数の遅延回路と複数の2入力論理ゲートは交互にカスケード接続され、複数の2入力論理ゲートの残りの入力端子には、多値デジタル信号が入力されてもよい。
 この場合、多値デジタル信号を遅延して元の多値デジタル信号と合成し、合成された多値デジタル信号を再度遅延してもとの多値デジタル信号と合成するという処理を繰り返すことにより、多値デジタル信号が供給される間、所定レベルとなる信号を生成することができる。
 論理ゲートは、2つの入力の論理和を生成してもよい。
 ある態様の半導体集積回路は、多値デジタル信号のエッジを検出し、エッジごとに所定レベルとなるパルス列を生成するエッジ検出回路をさらに備えてもよい。複数の2入力論理ゲートの残りの入力端子に、多値デジタル信号に代えてパルス列を入力してもよい。
 この場合、外部からの多値デジタル信号の供給が停止するとき、その電位がハイレベル、ローレベルのいずれで固定される場合であっても、多値デジタル信号の供給停止を示す信号を好適に生成できる。
 検出部は、多値デジタル信号に多段遅延を与え、異なる遅延が与えられた複数の遅延デジタル信号を生成する多段遅延回路と、多段遅延回路から出力される複数の遅延デジタル信号に所定の信号処理を施し、多値デジタル信号の入力の有無を判定する検出処理部と、を含んでもよい。
 検出処理部は、複数の遅延デジタル信号を論理演算し、演算結果を多値デジタル信号の入力の検出結果として出力してもよい。
 検出処理部は、複数の遅延デジタル信号の論理和を生成してもよい。
 ある態様の半導体集積回路は、多値デジタル信号のエッジを検出し、エッジごとに所定レベルとなるパルス列を生成するエッジ検出回路をさらに備えてもよい。多段遅延回路は、多値デジタル信号に代えてパルス列に多段遅延を与えてもよい。
 検出処理部は、複数の遅延デジタル信号を積分し、積分結果を多値デジタル信号の入力の検出結果として出力してもよい。複数の遅延デジタル信号を積分すると、多値デジタル信号が供給されるときの積分値は、多値デジタル信号が停止したときの積分値より大きくなる。したがって、積分結果に応じて多値デジタル信号の有無を判定できる。
 ロードバランス回路は、その消費電力が制御可能に構成されてもよい。半導体集積回路は、半導体集積回路の状態を検出し、検出した状態に応じた状態検出信号を生成する状態測定回路と、ロードバランス回路がアクティブのときの状態検出信号の値が、非アクティブのときの状態検出信号の値と一致するように、ロードバランス回路による消費電力を調節する電力制御回路と、をさらに備えてもよい。
 ロードバランス回路の消費電流を調節することにより、ロードバランス回路のアクティブ、非アクティブの切り換えによって生ずる半導体集積回路とロードバランス回路の総消費電流の変動量を、抑制することができる。
 状態測定回路は、回路ブロックと共通の電源端子に接続され、電源端子の電源電圧に応じた周波数で発振する発振器と、発振器の周波数を測定する周波数カウンタと、を含み、測定した周波数に応じた状態検出信号を出力してもよい。
 周波数カウンタは、検出部により、多値デジタル信号の入力停止が検出されてから所定期間の周波数を測定し、ロードバランス回路がアクティブのときの状態検出信号を生成してもよい。さらに周波数カウンタは、ロードバランス回路が非アクティブである所定期間の周波数を測定することにより、ロードバランス回路が非アクティブのときの状態検出信号を生成してもよい。非アクティブである期間の周波数測定は、外部からのトリガ信号のアサートを契機として開始してもよい。電力制御回路は、ロードバランス回路がアクティブ、非アクティブそれぞれのときの周波数の差分が最小となるように、ロードバランス回路による消費電力を調節してもよい。
 多値デジタル信号が供給された状態から停止状態に遷移すると、ロードバランス回路がオンとなって消費電流が変動し、さらには電源の内部インピーダンスにおける電圧降下が変動することにより、電源電圧が変動する場合がある。この電源電圧の変動期間の状態をモニタして、ロードバランス回路を制御することにより、電源電圧の変動を好適に抑制できる。
 本発明の別の態様は、試験装置である。この装置は、電源電圧を生成する電源回路と、異なるタイミングにエッジを有する複数のパルスを含むマルチストローブ信号を生成するマルチストローブ発生部と、マルチストローブ信号を受け、所定の信号処理を行う回路ブロックと、所定の電力を消費するロードバランス回路と、マルチストローブ信号を受け、マルチストローブ発生部によるマルチストローブ信号の生成の有無を検出するマルチストローブ検出部と、を備える。少なくとも回路ブロックおよびロードバランス回路は、共通の電源電圧を受けて動作し、マルチストローブ検出部によりマルチストローブ信号の生成停止が検出されたとき、ロードバランス回路をアクティブとする。
 この態様によると、回路ブロックの消費電流は、マルチストローブ信号が供給されるとき増加し、マルチストローブ信号の供給が停止すると減少する。したがってマルチストローブ信号の生成の有無を検出することにより、ロードバランス回路を好適に制御することができ、試験装置内の消費電流を一定に保つことができ、電源回路により生成される電源電圧の変動を抑制できる。その結果、マルチストローブ信号自体や、その他の回路により生成されるタイミング信号、パターン信号のジッタを低減できる。
 マルチストローブ検出部は、マルチストローブ信号に含まれる複数のパルスの論理和を、検出結果として出力してもよい。
 マルチストローブ検出部は、マルチストローブ信号に含まれる複数のパルスを積分し、積分結果を検出結果として出力してもよい。
 ロードバランス回路は、その消費電力が制御可能に構成されてもよい。試験装置は、半導体集積回路の状態を検出し、検出した状態に応じた状態検出信号を生成する状態測定回路と、ロードバランス回路がアクティブのときの状態検出信号の値が、非アクティブのときの状態検出信号の値と一致するように、ロードバランス回路による消費電力を調節する電力制御回路と、をさらに備えてもよい。
 状態測定回路は、電源電圧を受けて動作する発振器と、発振器の周波数を測定する周波数カウンタと、を含み、測定した周波数に応じた状態検出信号を出力してもよい。
 周波数カウンタは、マルチストローブ検出部により、マルチストローブ信号の生成停止が検出されてから所定期間の周波数を測定し、ロードバランス回路がアクティブのときの状態検出信号を生成してもよい。さらに周波数カウンタは、ロードバランス回路が非アクティブである所定期間の周波数を測定することにより、ロードバランス回路が非アクティブのときの状態検出信号を生成してもよい。非アクティブである期間の周波数測定は、外部からのトリガ信号のアサートを契機として開始してもよい。電力制御回路は、ロードバランス回路がアクティブ、非アクティブそれぞれのときの周波数の差分が最小となるように、ロードバランス回路による消費電力を調節してもよい。
 回路ブロックは、入力されたデータを、マルチストローブ信号のエッジでラッチし、ラッチした各データに対して処理を行ってもよい。
 なお、以上の構成要素の任意の組み合わせや本発明の構成要素や表現を、方法、装置などの間で相互に置換したものもまた、本発明の態様として有効である。
 本発明によれば、試験装置の電源電圧変動を抑制できる。
第1の実施の形態に係る半導体集積回路の構成を示す回路図である。 クロック検出部およびロードバランス回路の構成例を示す回路図である。 図2のクロック検出部の動作を示すタイムチャートである。 変形例に係るクロック検出部の構成を示す回路図である。 図1の半導体集積回路によるロードレギュレーションの様子を示すタイムチャートである。 第2の実施の形態に係る半導体試験装置の構成を示すブロック図である。
符号の説明
10…回路ブロック、20…クロック検出部、22…入力バッファ、24…出力バッファ、26…レジスタ、28…エッジ検出回路、30…多段遅延回路、32…検出処理部、40…ロードバランス回路、42…レジスタ、44…状態測定回路、46…電力制御回路、50…電源回路、52…マルチストローブ発生部、54…回路ブロック、56…ロードバランス回路、58…マルチストローブ検出部、60…コンパレータ、62…ラッチ回路、64…変化点検出部28、100…半導体集積回路、102…電源端子、104…クロック端子、106…データ入力端子、108…データ出力端子、200…試験装置、202…電源回路、204…試験信号生成部、206…判定部。
 以下、本発明を好適な実施の形態をもとに図面を参照しながら説明する。各図面に示される同一または同等の構成要素、部材、処理には、同一の符号を付するものとし、適宜重複した説明は省略する。また、実施の形態は、発明を限定するものではなく例示であって、実施の形態に記述されるすべての特徴やその組み合わせは、必ずしも発明の本質的なものであるとは限らない。
 また、本明細書において、「部材Aと部材Bが接続」された状態とは、部材Aと部材Bが物理的に直接的に接続される場合や、部材Aと部材Bが、電気的な接続状態に実質的あるいは本質的な影響を及ぼさない他の部材を介して間接的に接続される場合も含む。
 第1の実施の形態では、試験時に、試験装置に内蔵される電源電圧の変動を抑制するための機構を有する半導体集積回路について説明する。第2の実施の形態では、その内部の消費電流の変動にともなう電源電圧変動を抑制する機構を有する試験装置について説明する。
(第1の実施の形態)
 図1は、第1の実施の形態に係る半導体集積回路100の構成を示す回路図である。図1には半導体集積回路100に加えて、それを試験する試験装置200が示される。
 半導体集積回路100は、電源電圧Vddを受けるための電源端子102、クロックCLKを受けるためのクロック端子104、外部からのデータS1を受けるためのデータ入力端子106、外部にデータS2を出力するためのデータ出力端子108を備え、ひとつの半導体基板上に集積化された機能IC(Integrated Circuit)である。
 半導体集積回路100は、回路ブロック10、クロック検出部20、ロードバランス回路40、状態測定回路44、電力制御回路46を備える。
 回路ブロック10は、半導体集積回路100の本来の機能を実行する回路であり、電源電圧VddおよびクロックCLKを受けて所定の信号処理を行う。回路ブロック10の構成、処理は限定されない。つまり半導体集積回路100は、CPU、DSP、メモリ、その他のデジタル回路、デジタルアナログ混載回路等のいずれであってもよい。
 ロードバランス回路40は、回路ブロック10と共通の電源端子102に接続され、所定の電力(電流)を消費する。ロードバランス回路40は、電源端子102を介して外部から供給される電流を一定に保つために設けられたダミーの負荷(電流源)として設けられている。ロードバランス回路40は、外部からのイネーブル信号ENに応じて、オン(アクティブ)とオフ(非アクティブ)が切り換え可能に構成される。
 クロック検出部20は、外部からのクロックCLKの入力を検出する。クロック検出部20はクロックCLKの検出時に所定レベル(ハイレベル)となるイネーブル信号ENを生成し、ロードバランス回路40へと供給する。
 つまり、クロック検出部20によりクロックCLKの入力が検出されたとき、ロードバランス回路40がアクティブとなり、ロードバランス回路40にて電流(以下、バランス電流Ibalという)が消費される。
 クロック検出部20、ロードバランス回路40、状態測定回路44、電力制御回路46は、主として試験時において使用される回路であるが、半導体集積回路100が最終製品に搭載される状態において使用してもよい。
 以上が半導体集積回路100の基本構成である。半導体集積回路100の試験時の動作を説明する。試験時において半導体集積回路100は、試験装置200のソケットボード(パフォーマンスボード)に装着される。試験装置200は、DUTである半導体集積回路100に供給すべき電源電圧Vddを生成する電源回路202と、DUTに試験信号S1を供給する試験信号生成部204、良否判定を行う判定部206を備える。半導体集積回路100は、試験信号生成部204から供給される試験信号S1を受け、所定の信号処理を行う。信号処理の結果得られたデータS2は、試験装置200に読み出される。判定部206は、試験信号S1に応じた期待値と、半導体集積回路100により生成された信号S2を比較し、半導体集積回路100の良否を判定する。
 半導体集積回路100を試験する際には、試験データS1とともに、あるいはこれと独立して、半導体集積回路100を動作させるためのクロックCLKが出力される。
 クロックCLKが入力されて半導体集積回路100が信号処理を行うとき、回路ブロック10はある電流Iddを消費する。半導体集積回路100の処理が停止すると回路ブロック10の消費電流Iddは減少する。このときロードバランス回路40を動作させなければ、電源回路202から見た負荷が軽くなり、電源電圧Vddが変動してしまう。電源電圧Vddの変動は、試験信号生成部204により生成される各種信号にジッタを与えることになる。
 半導体集積回路100のクロック検出部20はクロックCLKの入力の有無を判定し、クロックCLKの入力が停止する間、つまり回路ブロック10の動作が停止する間、ロードバランス回路40をアクティブとする。その結果、回路ブロック10の動作停止にともなう電流Iddの減少分が、ロードバランス回路40に流れるバランス電流Ibalによって相殺され、電源回路202から見た負荷が一定に保たれる。その結果、電源電圧Vddの変動を抑制することができ、ひいては試験信号生成部204の動作を安定化できる。
 図2は、クロック検出部20およびロードバランス回路40の構成例を示す回路図である。クロック検出部20は、入力バッファ22、出力バッファ24、複数のNANDゲートNAND1~NAND8、複数のORゲートOR1~OR8、複数の遅延回路DLY1~DLY7(一部不図示)を備える。
 入力バッファ22および複数のNANDゲート(NAND1~NAND8)は、クロックCLKを分配し、分配されたクロックを個別にオン、オフするために設けられる。図2の回路では、クロックCLKは最大で8個に分配される。分配数は、後段のORゲートの個数と一致する。
 クロックCLKは、入力バッファ22を介して複数のNANDゲートに分配される。ゲートNAND1~NAND8の他方の入力には、制御信号XCNTが入力される。制御信号XCNTは、レジスタ26に格納され、外部からレベルが設定可能である。i番目のNANDゲートNANDiに着目すると、制御信号XCNT[i-1]がローレベルのとき、その経路は無効化され、後段にクロックは分配されない。
 複数のORゲートおよび複数の遅延回路DLY1~DLY7は、交互にカスケード接続される。つまり、i番目の遅延回路DLYiには、i番目のORゲートORiの出力信号CLKiが入力され、(i+1)番目のORゲートの一方の入力には、i番目の遅延回路DLYiの出力信号(遅延クロックともいう)CLKdiが入力される。
 ORゲートOR1~OR8それぞれの他方の入力には、ゲートNAND1~NAND8により分配されたクロックが入力される。最終段のORゲートOR8の出力は出力バッファ24を介してイネーブル信号ENとして出力される。
 図3は、図2のクロック検出部20の動作を示すタイムチャートである。i番目の遅延回路DLYiによって、i番目のORゲートの出力CLKiは所定時間τだけ遅延する。遅延されたクロックCLKidは、次段のORゲートOR(i+1)によってもとのクロックCLKと論理和がとられる。この処理をiを増加させて順次行うことにより、もとのクロックCLKが平滑化され、イネーブル信号ENが生成される。
 つまりクロック検出部20は、クロックCLKを遅延して元のクロックCLKと合成し、合成されたクロックを再度遅延してもとのクロックと合成するという処理を繰り返すことにより、クロックが供給される間、所定レベルとなる信号を生成することができる。
 なお各信号の論理レベルを適宜反転することにより、ORゲートに代えて、その他の2入力の論理ゲートを用いても同様の機能が実現できる。
 図3のタイムチャートを参照すると、図2のクロック検出部20は、クロックCLKを遅延したパルスを生成してこれを積分する回路と把握することもできる。
 さらにクロック検出部20によるクロック検出処理を別の観点からみると、以下の包括的な概念が把握される。すなわちクロック検出部20は、クロックCLKの各パルスのデューティ比を100%以上に引き延ばす。そして、引き延ばされたパルスを含む信号を、クロックCLKの入力の有無を示す信号(EN)として出力する。
 図2に戻る。イネーブル信号ENは、後段のロードバランス回路40へと供給される。ロードバランス回路40は、個別にオン、オフが制御可能な複数(たとえば10個)の負荷回路HTと、負荷回路HTごとに設けられたANDゲートAND1~AND10を含み、その消費電力が制御可能に構成される。負荷回路HTは、電力を消費して発熱するためヒータとも称する。ヒータHTは、対応するANDゲートの出力がハイレベルのときオン、ローレベルのときオフする。
 複数のANDゲートAND1~AND10それぞれの一方の入力には、イネーブル信号ENが入力され、他方には、制御信号HT[0]~HT[9]が入力される。制御信号HT[9:0]は、レジスタ42に格納され、外部からレベルが設定可能である。i番目のヒータHTiに着目すると、制御信号HT[i-1]がローレベルのとき、イネーブル信号ENに関わらずオフとなる。制御信号HT[i-1]がハイレベルのとき、イネーブル信号ENのレベルに応じてオン、オフが制御される。
 たとえば複数の負荷回路HTの消費電流は、基本となる消費量に対して、1、2、4、…256、512倍に設定される。この場合、ロードバランス回路40全体の消費電流は、10ビットの制御信号HT[9:0]に応じて、1024階調で制御できる。また、複数のヒータHTには、それぞれパワーダウン制御信号PCが入力される。パワーダウン制御信号PCによって、レジスタ設定HT[9:0]およびイネーブル信号ENに関わらず、ロードバランス回路40がオフとなる。半導体集積回路100を製品に実装した後は、パワーダウン制御信号PCをローレベルに固定することにより、ロードバランス回路40の処理を強制的に停止させることができる。
 図2のクロック検出部20は、クロックCLKが停止状態でローレベルに固定されるとの条件のもと、有効に動作する。もし、クロックCLKが停止状態でハイレベルに固定されると、本来ローレベルに固定されなければならないイネーブル信号ENがハイレベルとなり、ロードバランス回路40が誤動作してしまう。したがって、クロックCLKがハイレベル固定、ローレベル固定のいずれの場合にも対応するために、エッジ検出回路28が設けられる。
 エッジ検出回路28は、クロックCLKのエッジを検出し、エッジごとに所定レベル(ハイレベル)となるパルス列PSを生成する。セレクタ29は、クロックCLKまたはエッジ検出回路28からのパルス列PSのいずれかを選択し、クロック検出部20へと出力する。
 このパルス列PSは、入力バッファ22およびNANDゲートを介して、複数の2入力論理ゲート(ORゲート)の残りの入力端子に、クロックCLKに代えて入力される。セレクタ29によって、パルス列PSを選択することにより、外部からのクロックCLKの供給がハイレベル固定で停止する場合であっても、イネーブル信号ENをローレベルに設定できる。
 なお、クロックCLKが供給停止状態でローレベルに固定される場合には、エッジ検出回路28およびセレクタ29を設けなくてもよい。反対に、クロックCLKが供給停止状態でハイレベルに固定される場合には、エッジ検出回路28のみを設ける構成としてもよい。当然ながら図2のようにエッジ検出回路28およびセレクタ29を設けた場合には、クロックCLKの停止状態における論理値がハイレベル、ローレベルいずれの場合であっても、クロックの入力の有無を適切に検出できる。
 図2のクロック検出部20と同等の処理は、図4のクロック検出部20aによっても実現できる。図4は、変形例に係るクロック検出部20aの構成を示す回路図である。
 クロック検出部20aは、多段遅延回路30および検出処理部32を備える。
 多段遅延回路30は、クロックCLKに多段遅延を与え、異なる遅延が与えられた複数の遅延クロックCLKd0~CLKdnを生成する。検出処理部32は、複数の遅延クロックCLKdに所定の信号処理を施して、クロックCLKの入力の有無を判定する。最も簡易には、検出処理部32はORゲートで構成できるが、その他の回路で構成してもよい。
 図4のクロック検出部20aによっても、図2のクロック検出部20と同様に、クロックCLKの入力の有無を好適に判定できる。図2の回路は、遅延と所定の信号処理(OR演算)を交互に繰り返すのに対して、図4の回路は、遅延クロックCLKdを一度に生成し、これを一度の演算で処理する。つまり、信号処理の順番が異なっているのみで、実質的な処理は同じである。
 図4の変形例においても、多段遅延回路30の前段に、図2のエッジ検出回路28を配置してもよい。
 図4および図2の回路の動作に着目すると、遅延が与えられたクロックを元のクロックと合成する処理を繰り返している。つまり、クロック検出部20の処理は、クロックの積分処理と把握することが可能である。この観点から、図4の32検出処理部32は、複数の遅延クロックCLKd0~CLKdnを積分し、積分結果をクロックCLKの入力の検出結果として出力していると捉えることもできる。
 続いて図1に戻り、ロードバランス回路40の消費電力が制御可能である場合に、それを好適に制御する技術について説明する。
 状態測定回路44は、半導体集積回路100の状態を検出し、検出した状態に応じた状態検出信号S3を生成する。
 電力制御回路46は、ロードバランス回路40がアクティブのときの状態検出信号S3の値が、非アクティブのときの状態検出信号S3の値と一致するように、ロードバランス回路40による消費電力を調節する。消費電力の調節は、図2レジスタ42にデータを書き込むことにより実現できる。
 ロードバランス回路40のオン、オフの切り換えに加えて、ロードバランス回路40の消費電流の量を制御することにより、ロードバランス回路のアクティブ、非アクティブの切り換えによって生ずる半導体集積回路とロードバランス回路の総消費電流の変動量を、抑制することができる。
 状態測定回路44としては、半導体集積回路100の電源電圧Vddや温度に応じて発振周波数が変化するリングオシレータが好適に利用できる。この場合、状態測定回路44は、回路ブロック10と共通の電源端子102に接続され、電源端子102の電源電圧Vddに応じた周波数で発振するリングオシレータ(OSC)と、リングオシレータの周波数を測定する周波数カウンタ(不図示)と、を含んもよい。周波数カウンタにより測定した周波数が、状態検出信号S3として電力制御回路46に出力される。
 図5は、図1の半導体集積回路100によるロードレギュレーションの様子を示すタイムチャートである。クロックCLKが入力される期間、回路ブロック10により電流Iddが消費される。この電流は、クロックCLKと同期したリップルを有している。クロックCLKが停止すると、ロードバランス回路40がアクティブとなり、消費電流Iddが一定に保たれる。ロードバランス回路40がオフからオンに遷移するタイミングで、電源電圧Vddがオーバーシュートする。このオーバーシュートは、100μs~数msの時間オーダーで発生する。
 周波数カウンタは、クロック検出部20によりクロックCLKの入力停止が検出されてから所定期間T2の周波数を測定し、ロードバランス回路40がアクティブのときの状態検出信号S3を生成してもよい。また、クロックCLKが入力されている所定期間T1の周波数を測定し、ロードバランス回路40が非アクティブのときの状態検出信号S3を生成する。電力制御回路46は、2つの期間T1、T2で取得された状態検出信号S3が一致するように、ロードバランス回路40により生成される電流量を制御する。
 クロックCLKが停止した状態から供給された状態に遷移すると、消費電流が変動し、電源電圧が大きく変動することになる。この電源電圧Vddの変動期間の状態をモニタすることにより、電源電圧Vddの変動を好適に抑制できる。
 上述の第1の実施の形態に係る半導体集積回路100は、クロックCLKの入力停止を契機としてロードバランス回路40をアクティブとする場合について説明したが、本発明はこれに限定されない。
 たとえばクロックCLKに代えて、疑似ランダム信号などのデータ信号の入力を検出してもよい。検出方法は、クロックCLKに対するそれと同様でよい。たとえば疑似ランダム信号が入力される回路では、データの変化点(エッジ)の密度がランダムに変化し、結果として回路の消費電流も変動する。そこで、クロックに代えて、疑似ランダム信号を検出してロードバランス回路40を制御することにより、データ信号の粗密に応じた消費電流の変動をキャンセルすることができ、ひいては半導体集積回路100に供給される電源電圧の変動を抑制できる。
 また、CDR(Clock Data Recovery)方式を採用する回路では、データ信号に埋め込まれたエッジにもとづいてクロックを再生する。この場合には、データ信号の入力を検出することは、クロックの検出と同義であるため、消費電流を一定に保つことができ、電源電圧の変動を抑制できる。
(第2の実施の形態)
 図6は、第2の実施の形態に係る半導体試験装置200の構成を示すブロック図である。試験装置200は、電源回路50、マルチストローブ発生部52、回路ブロック54、ロードバランス回路56、マルチストローブ検出部58を備える。
 電源回路50はレギュレータなどであり、電源電圧Vddを生成する。マルチストローブ発生部52は、マルチストローブ信号MSTRBを生成する。マルチストローブ信号MSTRBは、異なるタイミングにエッジを有する複数のパルスを含む。マルチストローブは、入力された単一のストローブ信号に、図4に示されるような多段遅延回路30によって多段遅延を与えることにより生成される。
 回路ブロック54は、マルチストローブ信号MSTRBを受け、所定の信号処理を行う。信号処理の内容は特に限定されるものではないが、たとえばマルチストローブ信号を利用した試験が、特開2004-125552号公報や特開2004-125573号公報に開示される。
 たとえば、回路ブロック54は、コンパレータ60、ラッチ回路62、変化点検出部64を含む。コンパレータ60には図示しないDUTから出力されるデータ信号DQが入力される。コンパレータ60は、データ信号DQを所定のしきい値電圧と比較し、レベル判定を行う。ラッチ回路62は、判定結果を示す信号S4を、マルチストローブ信号MSTRBに含まれるパルスの各エッジのタイミングでラッチする。その結果、データ信号DQのレベル遷移の変化点を境として、ラッチされたデータS5の値が変化する。変化点検出部64はラッチされたデータS5にもとづいて、データ信号DQの変化点のタイミングを検出する。たとえばいくつかのデータ信号DQについて変化点を測定することにより、ジッタを測定することができる。この試験を連続的に行えば、アイマージンなどの測定が可能となる。
 ロードバランス回路56は、回路ブロック54と共通の電源電圧Vddを受け、所定の電力を消費する。ロードバランス回路56の機能および目的は、第1の実施の形態で説明した図1のロードバランス回路40と同様である。
 マルチストローブ信号MSTRBは、マルチストローブ検出部58にも供給される。マルチストローブ検出部58は、マルチストローブ発生部52によるマルチストローブ信号MSTRBの生成の有無を検出する。マルチストローブ検出部58はマルチストローブ信号MSTRBの生成停止を検出すると、イネーブル信号ENを所定レベル(ハイレベル)としてロードバランス回路56をアクティブ(オン)とする。
 回路ブロック54の消費電流は、マルチストローブ信号MSTRBが供給されるとき増加し、マルチストローブ信号MSTRBの供給が停止すると減少する。したがってマルチストローブ信号MSTRBの生成の有無を検出することにより、ロードバランス回路40を好適に制御することができ、試験装置200内の消費電流を一定に保つことができ、電源回路50により生成される電源電圧Vddの変動を抑制できる。その結果、マルチストローブ信号自体や、その他の回路により生成されるタイミング信号、パターン信号のジッタを低減できる。
 上述のように、マルチストローブ信号MSTRBは図4の多段遅延回路30により生成される複数の遅延クロックCLKdと等価的な信号である。したがって、マルチストローブ検出部58は図4の検出処理部32と同様に、マルチストローブ信号MSTRBに含まれるパルスの論理和をとることにより、イネーブル信号ENを生成できる。
 あるいはマルチストローブ検出部58は、マルチストローブ信号MSTRBに含まれるパルスを積分し、積分結果をイネーブル信号ENとして出力してもよい。
 第1の実施の形態で説明したエッジ検出回路28を、第2の実施の形態に適用することも可能である。また状態測定回路44および電力制御回路46を、試験装置200に実装することにより、さらに安定した電力の安定化が実現できる。
 以上、本発明について、実施の形態をもとに説明した。この実施の形態は例示であり、それらの各構成要素や各処理プロセスの組み合わせにいろいろな変形例が可能なこと、またそうした変形例も本発明の範囲にあることは当業者に理解されるところである。以下、こうした変形例について説明する。
 第1の実施の形態では、半導体集積回路100が試験装置200の試験対象である場合を説明したが、半導体集積回路100そのものが、試験装置200の内部に実装される機能ICであってもよい。試験装置200の内部で、クロックを受けて動作する回路ブロックが存在する場合、図1の半導体集積回路100を利用することにより、クロックが停止した場合に、電源回路202に対する負荷を一定に保つことができる。
 実施の形態にもとづき本発明を説明したが、実施の形態は、本発明の原理、応用を示しているにすぎず、実施の形態には、請求の範囲に規定された本発明の思想を離脱しない範囲において、多くの変形例や配置の変更が可能である。
 本発明は、試験技術に利用できる。

Claims (21)

  1.  外部から多値デジタル信号を受けて動作する回路ブロックと、
     前記回路ブロックと共通の電源端子に接続され、所定の電力を消費するロードバランス回路と、
     外部からの前記多値デジタル信号の入力を検出する検出部と、
     を備え、
     前記検出部により前記多値デジタル信号の入力停止が検出されたとき、前記ロードバランス回路をアクティブとすることを特徴とする半導体集積回路。
  2.  前記多値デジタル信号はクロック信号であることを特徴とする請求項1に記載の半導体集積回路。
  3.  前記多値デジタル信号はハイレベルまたはローレベルをとる2値のデータ信号であることを特徴とする請求項1に記載の半導体集積回路。
  4.  前記検出部は、
     前記多値デジタル信号の各パルスのデューティ比を100%以上に引き延ばし、引き延ばされたパルスを含む信号を、前記多値デジタル信号の入力の有無を示す信号として出力することを特徴とする請求項1から3のいずれかに記載の半導体集積回路。
  5.  前記検出部は、
     複数の遅延回路と、
     複数の2入力論理ゲートと、
     を備え、
     前記複数の遅延回路と前記複数の2入力論理ゲートは交互にカスケード接続され、前記複数の2入力論理ゲートの残りの入力端子には、前記多値デジタル信号が入力されることを特徴とする請求項1から3のいずれかに記載の半導体集積回路。
  6.  前記論理ゲートは、2つの入力の論理和を生成することを特徴とする請求項5に記載の半導体集積回路。
  7.  前記多値デジタル信号のエッジを検出し、エッジごとに所定レベルとなるパルス列を生成するエッジ検出回路をさらに備え、
     前記複数の2入力論理ゲートの前記残りの入力端子に、前記多値デジタル信号に代えて前記パルス列を入力したことを特徴とする請求項4または5に記載の半導体集積回路。
  8.  前記検出部は、
     前記多値デジタル信号に多段遅延を与え、異なる遅延が与えられた複数の遅延デジタル信号を生成する多段遅延回路と、
     前記多段遅延回路から出力される複数の遅延デジタル信号に所定の信号処理を施し、前記多値デジタル信号の入力の有無を判定する検出処理部と、
     を含むことを特徴とする請求項1から3のいずれかに記載の半導体集積回路。
  9.  前記検出処理部は、前記複数の遅延デジタル信号の論理和を生成し、演算結果を前記多値デジタル信号の入力の検出結果として出力することを特徴とする請求項8に記載の半導体集積回路。
  10.  前記多値デジタル信号のエッジを検出し、エッジごとに所定レベルとなるパルス列を生成するエッジ検出回路をさらに備え、
     前記多段遅延回路は、前記多値デジタル信号に代えて前記パルス列に多段遅延を与えることを特徴とする請求項8または9に記載の半導体集積回路。
  11.  前記検出処理部は、前記複数の遅延デジタル信号を積分し、積分結果を前記多値デジタル信号の入力の検出結果として出力することを特徴とする請求項8に記載の半導体集積回路。
  12.  前記ロードバランス回路は、その消費電力が制御可能に構成され、
     前記半導体集積回路は、
     前記半導体集積回路の状態を検出し、検出した状態に応じた状態検出信号を生成する状態測定回路と、
     前記ロードバランス回路がアクティブのときの前記状態検出信号の値が、非アクティブのときの前記状態検出信号の値と一致するように、前記ロードバランス回路による消費電力を調節する電力制御回路と、
     をさらに備えることを特徴とする請求項1から11のいずれかに記載の半導体集積回路。
  13.  前記状態測定回路は、
     前記回路ブロックと共通の電源端子に接続され、前記電源端子の電源電圧に応じた周波数で発振する発振器と、
     前記発振器の周波数を測定する周波数カウンタと、
     を含み、測定した周波数に応じた状態検出信号を出力することを特徴とする請求項12に記載の半導体集積回路。
  14.  前記周波数カウンタは、
     前記検出部により前記多値デジタル信号の入力停止が検出されてから所定期間の周波数を測定することにより、前記ロードバランス回路がアクティブのときの前記状態検出信号を生成し、
     前記ロードバランス回路が非アクティブである所定期間の周波数を測定することにより、前記ロードバランス回路が非アクティブのときの前記状態検出信号を生成し、
     前記電力制御回路は、前記ロードバランス回路がアクティブ、非アクティブのときの周波数の差分が最小となるように、前記ロードバランス回路による消費電力を調節することを特徴とする請求項13に記載の半導体集積回路。
  15.  電源電圧を生成する電源回路と、
     異なるタイミングにエッジを有する複数のパルスを含むマルチストローブ信号を生成するマルチストローブ発生部と、
     前記マルチストローブ信号を受け、所定の信号処理を行う回路ブロックと、
     所定の電力を消費するロードバランス回路と、
     前記マルチストローブ信号を受け、前記マルチストローブ発生部による前記マルチストローブ信号の生成の有無を検出するマルチストローブ検出部と、
     を備え、少なくとも前記回路ブロックおよび前記ロードバランス回路は、共通の前記電源電圧を受けて動作し、前記マルチストローブ検出部により前記マルチストローブ信号の生成停止が検出されたとき、前記ロードバランス回路をアクティブとすることを特徴とする試験装置。
  16.  前記マルチストローブ検出部は、前記マルチストローブ信号に含まれる複数のパルスの論理和を、検出結果として出力することを特徴とする請求項15に記載の試験装置。
  17.  前記マルチストローブ検出部は、前記マルチストローブ信号に含まれる複数のパルスを積分し、積分結果を検出結果として出力することを特徴とする請求項15に記載の試験装置。
  18.  前記ロードバランス回路は、その消費電力が制御可能に構成され、
     前記試験装置は、
     前記半導体集積回路の状態を検出し、検出した状態に応じた状態検出信号を生成する状態測定回路と、
     前記ロードバランス回路がアクティブのときの前記状態検出信号の値が、非アクティブのときの前記状態検出信号の値と一致するように、前記ロードバランス回路による消費電力を調節する電力制御回路と、
     をさらに備えることを特徴とする請求項15から17のいずれかに記載の試験装置。
  19.  前記状態測定回路は、
     前記電源電圧を受けて動作する発振器と、
     前記発振器の周波数を測定する周波数カウンタと、
     を含み、測定した周波数に応じた状態検出信号を出力することを特徴とする請求項18に記載の試験装置。
  20.  前記周波数カウンタは、
     前記マルチストローブ検出部により前記マルチストローブ信号の生成停止が検出されてから所定期間の周波数を測定することにより、前記ロードバランス回路がアクティブのときの前記状態検出信号を生成するとともに、
     前記ロードバランス回路が非アクティブである所定期間の周波数を測定することにより、前記ロードバランス回路が非アクティブのときの前記状態検出信号を生成し、
     前記電力制御回路は、前記ロードバランス回路がアクティブ、非アクティブのときの周波数の差分が最小となるように、前記ロードバランス回路による消費電力を調節することを特徴とする請求項19に記載の試験装置。
  21.  前記回路ブロックは、入力されたデータを、前記マルチストローブ信号のエッジでラッチし、ラッチした各データに対して処理を行うことを特徴とする請求項15から20のいずれかに記載の試験装置。 
PCT/JP2008/001469 2008-06-09 2008-06-09 半導体集積回路および試験装置 WO2009150694A1 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2010516660A JPWO2009150694A1 (ja) 2008-06-09 2008-06-09 半導体集積回路および試験装置
KR1020117000488A KR101226404B1 (ko) 2008-06-09 2008-06-09 반도체 집적 회로 및 시험 장치
US12/996,756 US8555098B2 (en) 2008-06-09 2008-06-09 Semiconductor circuit with load balance circuit
PCT/JP2008/001469 WO2009150694A1 (ja) 2008-06-09 2008-06-09 半導体集積回路および試験装置
TW098119225A TW201000914A (en) 2008-06-09 2009-06-09 Semiconductor integrated circuit and test device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2008/001469 WO2009150694A1 (ja) 2008-06-09 2008-06-09 半導体集積回路および試験装置

Publications (1)

Publication Number Publication Date
WO2009150694A1 true WO2009150694A1 (ja) 2009-12-17

Family

ID=41416423

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2008/001469 WO2009150694A1 (ja) 2008-06-09 2008-06-09 半導体集積回路および試験装置

Country Status (5)

Country Link
US (1) US8555098B2 (ja)
JP (1) JPWO2009150694A1 (ja)
KR (1) KR101226404B1 (ja)
TW (1) TW201000914A (ja)
WO (1) WO2009150694A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010150532A1 (ja) * 2009-06-26 2010-12-29 パナソニック株式会社 電子部品とその故障検知方法
US9285848B2 (en) * 2012-04-27 2016-03-15 Semiconductor Energy Laboratory Co., Ltd. Power reception control device, power reception device, power transmission and reception system, and electronic device
JP2014185853A (ja) * 2013-03-21 2014-10-02 Advantest Corp 電流補償回路、半導体デバイス、タイミング発生器、試験装置
US9306457B2 (en) * 2013-12-04 2016-04-05 Apple Inc. Instantaneous load current monitoring
EP3710844B1 (en) 2017-11-15 2024-02-07 Proteantecs Ltd. Integrated circuit margin measurement and failure prediction device
CN111684292B (zh) * 2017-11-23 2023-06-20 普罗泰克斯公司 集成电路焊盘故障检测
TWI813615B (zh) 2018-01-08 2023-09-01 以色列商普騰泰克斯有限公司 積體電路工作負荷、溫度及/或次臨界洩漏感測器
US11740281B2 (en) 2018-01-08 2023-08-29 Proteantecs Ltd. Integrated circuit degradation estimation and time-of-failure prediction using workload and margin sensing
TWI828676B (zh) 2018-04-16 2024-01-11 以色列商普騰泰克斯有限公司 用於積體電路剖析及異常檢測之方法和相關的電腦程式產品
TW202032146A (zh) 2018-12-30 2020-09-01 以色列商普騰泰克斯有限公司 積體電路i/o完整性和退化監控
EP4070315A4 (en) 2019-12-04 2023-11-29 Proteantecs Ltd. MONITORING DEGRADATION OF A STORAGE DEVICE
EP4139697A4 (en) 2020-04-20 2024-05-22 Proteantecs Ltd. CHIP-TO-CHIP CONNECTIVITY MONITORING
US11815551B1 (en) 2022-06-07 2023-11-14 Proteantecs Ltd. Die-to-die connectivity monitoring using a clocked receiver
US12013800B1 (en) 2023-02-08 2024-06-18 Proteantecs Ltd. Die-to-die and chip-to-chip connectivity monitoring

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59194229A (ja) * 1983-04-20 1984-11-05 Fujitsu Ltd クロツク断検出回路
JP2001007297A (ja) * 1999-04-21 2001-01-12 Advantest Corp Cmos集積回路及びこれを用いたタイミング信号発生装置
JP2002350503A (ja) * 2001-05-28 2002-12-04 Hitachi Electronics Eng Co Ltd 半導体試験方法及び半導体試験装置
JP2004125552A (ja) * 2002-10-01 2004-04-22 Advantest Corp ジッタ測定装置、及び試験装置
JP2005190342A (ja) * 2003-12-26 2005-07-14 Ricoh Co Ltd 半導体集積回路および電子装置
WO2006035604A1 (ja) * 2004-09-27 2006-04-06 Advantest Corporation 消費電流バランス回路、補償電流量調整方法、タイミング発生器及び半導体試験装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1174768A (ja) 1997-06-24 1999-03-16 Advantest Corp Cmos回路用消費電流バランス回路
US6433567B1 (en) * 1999-04-21 2002-08-13 Advantest Corp. CMOS integrated circuit and timing signal generator using same
US6657455B2 (en) * 2000-01-18 2003-12-02 Formfactor, Inc. Predictive, adaptive power supply for an integrated circuit under test
JP4106025B2 (ja) * 2001-11-20 2008-06-25 株式会社アドバンテスト 半導体試験装置
JP4109951B2 (ja) 2002-10-01 2008-07-02 株式会社アドバンテスト マルチストローブ装置、試験装置、及び調整方法
US7046027B2 (en) * 2004-10-15 2006-05-16 Teradyne, Inc. Interface apparatus for semiconductor device tester
JP4977013B2 (ja) * 2006-04-28 2012-07-18 株式会社アドバンテスト 電力印加回路、及び試験装置

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59194229A (ja) * 1983-04-20 1984-11-05 Fujitsu Ltd クロツク断検出回路
JP2001007297A (ja) * 1999-04-21 2001-01-12 Advantest Corp Cmos集積回路及びこれを用いたタイミング信号発生装置
JP2002350503A (ja) * 2001-05-28 2002-12-04 Hitachi Electronics Eng Co Ltd 半導体試験方法及び半導体試験装置
JP2004125552A (ja) * 2002-10-01 2004-04-22 Advantest Corp ジッタ測定装置、及び試験装置
JP2005190342A (ja) * 2003-12-26 2005-07-14 Ricoh Co Ltd 半導体集積回路および電子装置
WO2006035604A1 (ja) * 2004-09-27 2006-04-06 Advantest Corporation 消費電流バランス回路、補償電流量調整方法、タイミング発生器及び半導体試験装置

Also Published As

Publication number Publication date
US8555098B2 (en) 2013-10-08
KR101226404B1 (ko) 2013-01-24
US20110109377A1 (en) 2011-05-12
KR20110027770A (ko) 2011-03-16
JPWO2009150694A1 (ja) 2011-11-04
TW201000914A (en) 2010-01-01

Similar Documents

Publication Publication Date Title
WO2009150694A1 (ja) 半導体集積回路および試験装置
US7876134B2 (en) Circuit for changing frequency of a signal and frequency change method thereof
JP2007124196A (ja) Dll回路及びその試験方法
US7983106B2 (en) Voltage stabilization circuit and semiconductor memory apparatus using the same
JP2007042838A (ja) 電源電位制御回路、半導体集積回路装置、及び電源電位の調整方法
KR100907002B1 (ko) 지연 동기 루프 및 그의 제어 방법
JP2010166299A (ja) キャリブレーション回路及びキャリブレーション方法
KR100814439B1 (ko) 파워-업 시간 및 파워-다운 시간 알림회로 및 그 알림방법
TW201904202A (zh) 記憶體裝置以及提供資料選通信號的方法
US20030067334A1 (en) Circuit configuration for processing data, and method for identifying an operating state
JP2007052898A (ja) 最大クロック周波数を用いたクロックト待機モード
JPH11238379A (ja) 電源回路およびクロック信号検出回路
JP2009008625A (ja) 半導体装置
JP3813435B2 (ja) 同期遅延制御回路
WO2009128160A1 (ja) 電源安定化回路、電子デバイス、および、試験装置
JP2006229622A (ja) 負荷変動補償回路、電子デバイス、試験装置、及びタイミング発生回路
KR100706836B1 (ko) 펄스 발생 장치 및 방법
US7795932B2 (en) Reset signal generator and a method for generating reset signal of a semiconductor integrated circuit
TWI401693B (zh) 電壓提供電路、以及使用此電壓提供電路的訊號延遲系統
US9007115B2 (en) Integrated circuit
KR100935601B1 (ko) 반도체 메모리 장치의 어드레스 버퍼 제어회로
KR20000004529A (ko) 펄스 발생장치
US7551504B2 (en) Apparatus and method of detecting refresh cycle of semiconductor memory
KR100933802B1 (ko) 반도체 메모리 소자와 그의 구동 방법
JP2007271473A (ja) ジッタ測定回路

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08764066

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2010516660

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20117000488

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 12996756

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 08764066

Country of ref document: EP

Kind code of ref document: A1