WO2008133052A1 - 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法 - Google Patents

半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法 Download PDF

Info

Publication number
WO2008133052A1
WO2008133052A1 PCT/JP2008/057191 JP2008057191W WO2008133052A1 WO 2008133052 A1 WO2008133052 A1 WO 2008133052A1 JP 2008057191 W JP2008057191 W JP 2008057191W WO 2008133052 A1 WO2008133052 A1 WO 2008133052A1
Authority
WO
WIPO (PCT)
Prior art keywords
test
integrated circuit
semiconductor integrated
critical
pattern
Prior art date
Application number
PCT/JP2008/057191
Other languages
English (en)
French (fr)
Inventor
Xiaoqing Wen
Kohei Miyase
Seiji Kajihara
Original Assignee
Kyushu Institute Of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kyushu Institute Of Technology filed Critical Kyushu Institute Of Technology
Priority to US12/597,106 priority Critical patent/US8001437B2/en
Priority to JP2009511784A priority patent/JP5141988B2/ja
Publication of WO2008133052A1 publication Critical patent/WO2008133052A1/ja

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318307Generation of test inputs, e.g. test vectors, patterns or sequences computer-aided, e.g. automatic test program generator [ATPG], program translations, test program debugging
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/31813Test pattern generators
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318371Methodologies therefor, e.g. algorithms, procedures

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

半導体集積回路10にテスト入力を印加し応答の期待値と比較して組合せ回路部17の故障を判定するテスト入力の生成方法であって、故障有無の判定が可能な論理値と未定値を含むテストパターンを決定する第1工程と、テストパターンが印加された際に形成されるクリティカルパス19、19a、19bを選定する第2工程と、クリティカルパス19、19a、19bのクリティカルゲートを特定する第3工程と、ゲート状態が変化するクリティカルゲートの個数を示す状態変化数が減少するように未定値を決める第4工程とを有し、状態変化数を減少することでクリティカルパス19、19a、19bからの出力遅延を防止し誤テストを回避する。
PCT/JP2008/057191 2007-04-23 2008-04-11 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法 WO2008133052A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/597,106 US8001437B2 (en) 2007-04-23 2008-04-11 Test pattern generation method for avoiding false testing in two-pattern testing for semiconductor integrated circuit
JP2009511784A JP5141988B2 (ja) 2007-04-23 2008-04-11 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007113238 2007-04-23
JP2007-113238 2007-04-23

Publications (1)

Publication Number Publication Date
WO2008133052A1 true WO2008133052A1 (ja) 2008-11-06

Family

ID=39925511

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2008/057191 WO2008133052A1 (ja) 2007-04-23 2008-04-11 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法

Country Status (4)

Country Link
US (1) US8001437B2 (ja)
JP (1) JP5141988B2 (ja)
TW (1) TW200907386A (ja)
WO (1) WO2008133052A1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5843358B2 (ja) * 2010-01-15 2016-01-13 国立大学法人 奈良先端科学技術大学院大学 半導体集積回路のテストパターン生成方法、プログラム、およびコンピュータ読み取り可能な記録媒体
US8413102B2 (en) * 2011-08-03 2013-04-02 Apple Inc. Vectorless IVD analysis prior to tapeout to prevent scan test failure due to voltage drop
US8776006B1 (en) 2013-02-27 2014-07-08 International Business Machines Corporation Delay defect testing of power drop effects in integrated circuits
US9122891B2 (en) * 2013-08-12 2015-09-01 Microsoft Technology Licensing, Llc Functional timing sensors
WO2015087114A1 (en) * 2013-12-13 2015-06-18 Freescale Semiconductor, Inc. Method and apparatus for validating a test pattern
US9465071B2 (en) 2014-03-04 2016-10-11 Mediatek Inc. Method and apparatus for generating featured scan pattern
US10018675B1 (en) * 2014-03-14 2018-07-10 Altera Corporation Testing an integrated circuit in user mode using partial reconfiguration
US10331826B2 (en) 2017-04-20 2019-06-25 Texas Instruments Incorporated False path timing exception handler circuit

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2641954B2 (ja) * 1990-02-07 1997-08-20 富士通株式会社 テストパターンの発生装置
JPH10134093A (ja) * 1996-10-28 1998-05-22 Matsushita Electric Ind Co Ltd 集積回路の性能推定装置及びその性能推定方法
JP2002279012A (ja) * 2000-11-22 2002-09-27 Matsushita Electric Ind Co Ltd 遅延分布計算方法、回路評価方法およびフォールスパス抽出方法
JP2005308471A (ja) * 2004-04-20 2005-11-04 Matsushita Electric Ind Co Ltd パスディレイテスト方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6148425A (en) * 1998-02-12 2000-11-14 Lucent Technologies Inc. Bist architecture for detecting path-delay faults in a sequential circuit
JP2001208803A (ja) * 2000-01-24 2001-08-03 Advantest Corp 半導体集積回路の故障シミュレーション方法および故障シミュレータ
JP2005285144A (ja) 2000-11-22 2005-10-13 Matsushita Electric Ind Co Ltd フォールスパス抽出方法
US6684375B2 (en) * 2000-11-22 2004-01-27 Matsushita Electric Industrial Co., Ltd. Delay distribution calculation method, circuit evaluation method and false path extraction method
JP2005327308A (ja) 2000-11-22 2005-11-24 Matsushita Electric Ind Co Ltd 回路性能評価方法
JP2006155644A (ja) 2000-11-22 2006-06-15 Matsushita Electric Ind Co Ltd 遅延分布計算方法
US7131081B2 (en) * 2003-02-14 2006-10-31 Nec Laboratories America, Inc. Scalable scan-path test point insertion technique
US7313743B2 (en) * 2003-09-04 2007-12-25 Nec Laboratories America, Inc Hybrid scan-based delay testing technique for compact and high fault coverage test set

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2641954B2 (ja) * 1990-02-07 1997-08-20 富士通株式会社 テストパターンの発生装置
JPH10134093A (ja) * 1996-10-28 1998-05-22 Matsushita Electric Ind Co Ltd 集積回路の性能推定装置及びその性能推定方法
JP2002279012A (ja) * 2000-11-22 2002-09-27 Matsushita Electric Ind Co Ltd 遅延分布計算方法、回路評価方法およびフォールスパス抽出方法
JP2005308471A (ja) * 2004-04-20 2005-11-04 Matsushita Electric Ind Co Ltd パスディレイテスト方法

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
SANKARALINGAM R., POUYA B., TOUBA N.A.: "Reducing power dissipation during test using scan chain disable", 19TH IEEE PROCEEDINGS ON VLSI TEST SYMPOSIUM, 29 April 2001 (2001-04-29), pages 319 - 324, XP010542404 *
SATO Y. ET AL.: "Invisible delay quality - SDQM model lights up what could not be seen", TEST CONFERENCE, 2005. PROCEEDINGS. ITC 2005. IEEE INTERNATIONAL, 8 November 2005 (2005-11-08), pages 47.1 1 - 47.1 9, XP010888339 *
XIAOQING MEN ET AL.: "On low-capture-power test generation for scan testing", VLSI TEST SYMPOSIUM, 2005. PROCEEDINGS. 23RD IEEE, 1 May 2005 (2005-05-01), pages 265 - 270, XP010809193 *
XIAOQING WEN ET AL.: "Critical-Path-Awae X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing", DESIGN AUTOMATION CONFERENCE, 2007. DAC'07. 44TH ACM/IEEE, 4 June 2007 (2007-06-04), pages 527 - 532, XP031183400 *

Also Published As

Publication number Publication date
TW200907386A (en) 2009-02-16
TWI368043B (ja) 2012-07-11
US8001437B2 (en) 2011-08-16
US20100095179A1 (en) 2010-04-15
JPWO2008133052A1 (ja) 2010-07-22
JP5141988B2 (ja) 2013-02-13

Similar Documents

Publication Publication Date Title
WO2008133052A1 (ja) 半導体集積回路の2パターンテストにおける誤テスト回避型テスト入力生成方法
CN103729049B (zh) 电压缩放技术的方法和装置
Khoshavi et al. Applicability of power-gating strategies for aging mitigation of CMOS logic paths
US20130002327A1 (en) Bias temperature instability-resistant circuits
JP2007248381A (ja) スキャンテスト用回路を備える電子回路、集積回路及び該集積回路に用いられる消費電力低減方法
WO2010030572A3 (en) Self reset clock buffer in memory devices
US8928378B2 (en) Scan/scan enable D flip-flop
WO2011121414A3 (en) A method for mitigating single event upsets in sequential electronic circuits
EP1979757B8 (en) An integrated circuit package, and a method for producing an integrated circuit package having two dies with input and output terminals of integrated circuits of the dies directly addressable for testing of the package
US8291363B2 (en) Method of measuring setup time with consideration of characteristic of absorbing clock skew in a pulse-based flip-flop
CN102638251B (zh) 检测和防止设定失败的电路及方法
US8143910B2 (en) Semiconductor integrated circuit and method of testing the same
JP2007286005A (ja) 半導体集積回路
JP2012080380A (ja) 半導体集積回路
JP2006527848A (ja) タイミング・クロージャ監視回路および方法
Igarashi et al. NBTI/PBTI separated BTI monitor with 4.2 x sensitivity by standard cell based unbalanced ring oscillator
WO2008109481B1 (en) Generating test benches for pre-silicon validation of retimed complex ic designs against a reference design
US20060236169A1 (en) Method and circuit for parametric testing of integrated circuits with an exclusive-or logic tree
JP2006258694A (ja) スキャンチェーンのホールドエラー解消方法
US8547145B2 (en) Power-up signal generation circuit of semiconductor apparatus
US8578227B2 (en) Delay test device and system-on-chip having the same
JP4910141B2 (ja) エラートレラントが可能な半導体集積回路
JP2007328852A (ja) 半導体装置
JP4886615B2 (ja) テスト装置及びパタン生成装置
Zimpeck et al. A Tool to Evaluate Stuck-Open Faults in CMOS Logic Gates

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08740288

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2009511784

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 12597106

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08740288

Country of ref document: EP

Kind code of ref document: A1