WO2007058120A1 - 半導体装置の製造方法および基板処理装置 - Google Patents

半導体装置の製造方法および基板処理装置 Download PDF

Info

Publication number
WO2007058120A1
WO2007058120A1 PCT/JP2006/322437 JP2006322437W WO2007058120A1 WO 2007058120 A1 WO2007058120 A1 WO 2007058120A1 JP 2006322437 W JP2006322437 W JP 2006322437W WO 2007058120 A1 WO2007058120 A1 WO 2007058120A1
Authority
WO
WIPO (PCT)
Prior art keywords
processing chamber
substrate
gas
reaction gas
supplying
Prior art date
Application number
PCT/JP2006/322437
Other languages
English (en)
French (fr)
Inventor
Hideharu Itatani
Sadayoshi Horii
Original Assignee
Hitachi Kokusai Electric Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc. filed Critical Hitachi Kokusai Electric Inc.
Priority to US12/084,141 priority Critical patent/US7968437B2/en
Priority to JP2007545218A priority patent/JP5097554B2/ja
Publication of WO2007058120A1 publication Critical patent/WO2007058120A1/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Definitions

  • the present invention relates to a method for manufacturing a semiconductor device and a substrate processing apparatus for forming a thin film on a substrate.
  • a three-quantity insulating film such as Ti, Hf, Zr, Al, Ru, Pt, Ir metals, oxides such as SRO (SrRuO), RuO, or nitrides such as TiN, HfN, ZrN.
  • High-aspect-ratio cylinders are the mainstream electrode shape.
  • all of the above films, including noria metal films such as TiN and TaN, have step coverage (step coverage). It is necessary to speak excellently.
  • the conventional sputtering method has been shifted to a CVD method having excellent step coverage, and a reaction between an organic metal liquid raw material and oxygen is often used.
  • an object of the present invention is to provide a method of manufacturing a semiconductor device and a substrate processing apparatus excellent in step coverage and adhesion with high yield and productivity. Means for solving the problem
  • a semiconductor device excellent in step coverage and adhesiveness that can solve the oxidation of the base metal film, surface unevenness deterioration due to film shrinkage, and film peeling, and has high yield and productivity.
  • Manufacturing method and substrate processing apparatus can be provided. Brief Description of Drawings
  • FIG. 1 shows a direct plasma unit set as a first embodiment of a substrate processing apparatus according to the present invention. It is the schematic which shows a single wafer processing apparatus.
  • FIG. 2 is a plan view showing the direct plasma unit.
  • FIG. 3 is a flowchart showing a film forming process of an IC manufacturing method which is a first embodiment of a semiconductor device manufacturing method according to the present invention.
  • FIG. 4 is a flowchart showing a film forming process of an IC manufacturing method which is a second embodiment of the method for manufacturing a semiconductor device according to the present invention.
  • FIG. 5 is a schematic view showing a single-wafer processing apparatus incorporating an RF electrode, which is a second embodiment of a substrate processing apparatus according to the present invention.
  • FIG. 6 is a flowchart showing a film forming process of an IC manufacturing method which is a third embodiment of the method for manufacturing a semiconductor device according to the present invention.
  • FIG. 7 is a cross-sectional view showing a part of a DRAM capacitor including a lower electrode film and an upper electrode film.
  • the film is formed by a reaction gas other than the Ru source gas and the oxygen-containing gas.
  • the Ru source gas is poor in reactivity with a reaction gas other than the oxygen-containing gas, and a film is not formed. Therefore, the reaction gas excited by the plasma source is used. However, if the Ru source gas and the reaction gas excited by the plasma source are supplied to the substrate at the same time, the step coverage becomes poor due to the gas phase reaction.
  • a film is formed by the (Atomic Layer Deposition) method.
  • the Ru source gas is adsorbed on the substrate with good step coverage.
  • the gas species excited by the plasma source are highly reactive. By combining them with the carbon and hydrogen contained in the Ru source gas and gasifying them, impurities in the film are reduced.
  • the desired film thickness can be obtained by repeating this cycle with 2 or more (1) to (4) as one cycle.
  • nucleation is performed on the substrate by the ALD method described above, and in the subsequent film formation step, oxygen-containing gas is used as a reaction gas, and film formation is performed by the conventional CVD method. Improves.
  • the deposition rate is increased and the productivity is improved.
  • the yield is high by using the raw material adsorption step and the ALD method with a reaction gas other than the plasma-excited oxygen-containing gas.
  • a semiconductor device with excellent step coverage and adhesion. Can be provided.
  • FIG. 1 is a schematic sectional view showing an example of a processing furnace of a single wafer processing apparatus in which a direct plasma unit as a substrate processing apparatus according to a first embodiment of the present invention is incorporated.
  • the processing chamber 1 is provided with a support base 20.
  • the support table 20 supports a substrate 2 such as a silicon wafer or a glass substrate that is a film formation target.
  • a susceptor 21 as a support plate is provided on the upper part of the support base 20.
  • the susceptor 21 constitutes a part of the support base 20, and a substrate is placed on the susceptor 21.
  • a heater 22 as a heating means is provided inside the support base 20, and the heater 22 heats the substrate 2 placed on the susceptor 21.
  • the heater 22 is controlled by a temperature controller 23 so that the temperature of the substrate 2 becomes a predetermined temperature.
  • An elevating mechanism 24 is provided outside the processing chamber 1, and the elevating mechanism 24 allows the support base 20 to be raised and lowered within the processing chamber 1.
  • the shower head 12 and the counter electrode unit 5 are arranged on the upper part of the support 20 in the processing chamber 1 so as to face the substrate 2.
  • the shower head 12 has a plurality of shower holes 8 that serve as direct supply ports when supplying the source gas and the reaction gas to the substrate 2.
  • the counter electrode unit 5 includes at least one or more sets of counter electrodes for applying a radio frequency (RF) for generating plasma, which are opposed to the deposition target substrate 2 and have a substrate 2 rather than a shower hole 8. It is placed in a position close to.
  • RF radio frequency
  • the shower head 12 having a plurality of shower holes 8 and the counter electrode unit 5 are arranged so as to face the film formation substrate 2.
  • the shower head 12 is disposed so as to face the counter electrode unit 5, and the counter electrode unit 5 is disposed so as to face the deposition target substrate 2.
  • the counter electrode unit 5 for generating plasma has a set of electrodes.
  • Electrode 6 and electrode 7 are configured as a unit arranged so as to face each other! RU
  • both the electrode 6 and the electrode 7 are configured in a comb-teeth shape, and the portions corresponding to the respective comb teeth are arranged so as to be adjacent (opposite) to each other.
  • One comb tooth of the other electrode is inserted between the comb teeth of one electrode.
  • the electrode 6 and the electrode 7 are arranged on the same plane.
  • the counter electrode unit 5 has a holding electrode plate 14.
  • the electrode plate 14 has a large circular window hole 14a formed at the center of the upper surface, and a through hole 15 formed on the side surface.
  • An electrode tube 16 made of an insulating material such as quartz is inserted into the through-hole 15, and the rod-like electrode 6 and electrode 7 made of a material such as A1 or Ni are inserted into the electrode tube 16. Is embedded!
  • the electrode tube 16 is vacuum-sealed with an O-ring 17 against the inner wall of the through hole 15 of the electrode plate 14.
  • the counter electrode 6 and the counter electrode 7 are connected together and connected to the insulating transformer 11.
  • Plasma is generated on the surface of the counter electrode unit 5 by applying a high frequency voltage to the insulating transformer 11 by the high frequency power supply unit 13.
  • the plasma is near the upper surface of the counter electrode unit 5 in the space between the shower head 12 and the counter electrode unit 5 and the lower surface of the counter electrode unit 5 in the space between the counter electrode unit 5 and the substrate 2. Occurs in the vicinity.
  • the raw material gas and the reactive gas are supplied into the shower head 12 from the supply port 4 and the supply port 3, respectively.
  • the shower hole 8 of the shower head 12 ejects the source gas and the reaction gas separately or simultaneously to the substrate 2 in a shower shape.
  • a raw material supply unit 25 that supplies liquid raw material 28 is provided outside the processing chamber 1, and the raw material supply unit 25 serves as a liquid raw material supply pipe 26 and a flow rate controller that controls the amount of liquid raw material supplied.
  • the liquid raw material flow rate control device 29 is connected to a vaporizer 30 for vaporizing the liquid raw material.
  • Liquid raw material 28 is stored in the raw material supply unit 25, and the liquid raw material 28 is supplied to the vaporizer 30 by the pressure of an inert gas such as He or Ar supplied from the pumping line 27.
  • an inert gas such as He or Ar supplied from the pumping line 27.
  • a raw material gas supply pipe 31 is connected to the vaporizer 30, and the raw material gas supply pipe 31 is connected to the raw material gas supply port 4 via a valve 32.
  • liquid raw materials include organometallic materials that are liquid at room temperature, that is, organometallic liquids. Body material is used.
  • the raw material supply unit 25, the liquid raw material supply pipe 26, and the liquid raw material flow rate control device 29 are several tens of degrees It is possible to use each heater provided with heating to a certain extent.
  • An inert gas supply unit 33 is provided outside the processing chamber 1, and an inert gas as a non-reactive gas is supplied to the vaporizer 30 as a carrier gas to the inert gas supply unit 33.
  • a carrier gas supply pipe 34 is connected.
  • the carrier gas supply pipe 34 is connected to the vaporizer 30 via a gas flow rate control device 35 as a flow rate controller for controlling the supply flow rate of the carrier gas.
  • the vaporizer 30 increases the vaporization efficiency by jetting the liquid raw material 28 together with the carrier gas inside.
  • Ar Ar, He, N or the like is used as the inert gas.
  • the inert gas supply unit 33 is connected to a purge gas supply pipe 36 for supplying an inert gas as a non-reactive gas to the source gas supply pipe 31 as a purge gas.
  • the purge gas supply pipe 36 is connected to the source gas supply pipe 31 via a gas flow rate control device 37 and a valve 38 as a flow rate controller for controlling the supply flow rate of the purge gas.
  • a first reaction gas supply unit 41 for supplying a first reaction gas is provided outside the processing chamber 1, and the first reaction gas supply unit 41 supplies the first reaction gas to the first reaction gas supply pipe. Supply to 42.
  • the first reaction gas supply pipe 42 is connected to the reaction gas supply port 3 via a gas flow rate control device 43, a valve 44, and a reaction gas supply pipe 68 as a flow rate controller for controlling the gas supply amount. It is.
  • a gas not containing oxygen atoms is used as the first reaction gas.
  • a hydrogen-containing gas such as H or NH is used as the first reaction gas.
  • the inert gas supply unit 33 is connected to a purge gas supply pipe 45 for supplying an inert gas as a non-reactive gas to the reaction gas supply pipe 68 as a purge gas.
  • the purge gas supply pipe 45 is connected to the reaction gas supply pipe 68 via a gas flow rate control device 46 and a valve 47 as a flow rate controller for controlling the supply flow rate of the purge gas.
  • a second reaction gas supply unit 62 for supplying a second reaction gas is provided outside the processing chamber 1, and the second reaction gas supply unit 62 supplies the second reaction gas to the second reaction gas supply pipe. Supply to 63.
  • the second reaction gas supply pipe 63 is connected to the reaction gas supply port 3 via a gas flow rate control device 64 as a flow rate controller for controlling the gas supply amount, a valve 65, and a reaction gas supply pipe 68.
  • the second reaction gas is a gas containing oxygen atoms such as O, O, or N 2 O.
  • a cleaning gas supply unit 50 for supplying a cleaning gas is provided outside the processing chamber 1.
  • the cleaning gas supply unit 50 supplies the cleaning gas to the cleaning gas supply pipe 51.
  • the cleaning gas supply pipe 51 is connected to the reaction gas supply port 3 via a gas flow rate control device 52 and a valve 53 as a flow rate controller for controlling the gas supply amount.
  • An exhaust port 10 is provided in the lower portion of the side wall of the processing chamber 1, and a vacuum pump 54, a material recovery trap 57 and a detoxifying device (not shown) are connected to the exhaust port 10 by an exhaust pipe 56. Yes.
  • the exhaust pipe 56 is provided with a pressure controller 55 that adjusts the pressure in the processing chamber 1.
  • a substrate loading / unloading port 59 is provided on the side surface of the processing chamber 1 opposite to the exhaust port 10.
  • the substrate loading / unloading port 59 is opened and closed by a gate valve 60 as a partition valve with the vacuum substrate transfer chamber 58, and is configured so that the substrate 2 can be loaded into and unloaded from the processing chamber 1 through the substrate loading / unloading port 59. Yes.
  • each part constituting the substrate processing apparatus is controlled by the main controller 61.
  • a thin film is deposited on a substrate as one step of the semiconductor device manufacturing process using the single wafer processing apparatus having the above-described configuration. The case will be described.
  • Ru (C H C H) (2,4 dimethyl pentagel ethyl chloride is used as a liquid raw material.
  • each part constituting the substrate processing apparatus is controlled by the main controller 61.
  • the substrate 2 is loaded into the processing chamber 1.
  • the substrate 2 is processed by a substrate transfer machine (not shown). It is carried into chamber 1.
  • the support 20 is in the transfer position by the lifting mechanism.
  • the tip of the substrate push-up pin 69 is positioned higher than the surface of the support base 20, and the loaded substrate 2 is transferred onto the substrate push-up pin 69.
  • the gate valve 60 is closed.
  • the support base 20 is raised from the transfer position to the upper substrate processing position. Meanwhile, the substrate 2 is placed on the susceptor 21 (substrate placing step).
  • the processing chamber 1 is evacuated by the vacuum pump 54 and controlled to a predetermined pressure (pressure adjustment step).
  • the valve 38 and the valve 47 provided in the purge gas supply pipe 36 and the purge gas supply pipe 45 are opened to supply the inert gas.
  • An inert gas flows into the processing chamber 1 from the unit 33.
  • the raw material gas that is, DER gas is supplied into the processing chamber 1 in the raw material gas supply step.
  • liquid DER supplied from the raw material supply unit 25 is flow-controlled by the liquid raw material flow control device 29, and supplied with the carrier gas supplied from the inert gas supply unit 33 and flow-controlled by the gas flow control device 35. Supplied to vaporizer 30 and vaporized.
  • the vaporized DER gas flows into the raw material gas bypass pipe 40 with the valve 32 closed and the valve 39 opened.
  • the nozzle 39 is closed, the valve 32 is opened, and the vaporized DER gas is introduced into the processing chamber 1 through the raw material gas supply pipe 31 and is placed on the shower head 12. It is guided and dispersed by a large number of shower holes 8 and supplied onto the substrate 2 at a uniform concentration.
  • the valve 32 is closed in the source gas purge step, the supply of the DER gas to the substrate 2 is stopped, and the valve 38 is opened.
  • An inert gas as a purge gas is introduced into the processing chamber 1 through the source gas supply pipe 31.
  • the source gas supply pipe 31 and the processing chamber 1 are purged with the inert gas, and the residual gas is removed.
  • the DER gas can be stably supplied to the substrate 2 immediately by switching the flow.
  • valve 39 provided in the source gas bypass pipe 40 is opened, DER gas is exhausted from the source gas bypass pipe 40 so as to bypass the processing chamber 1, and then a valve in the vaporizer 30 (not shown). ) Is closed and only the carrier gas is allowed to flow.
  • This is a so-called raw material consumption saving type raw material purging step.
  • next source gas supply step only the carrier gas bypasses the processing chamber 1 in the reaction gas purge step described later in order to enable stable supply of DER gas to the substrate 2 immediately by switching the flow. In this state, open the valve in the vaporizer 30 so that the vaporized state is stabilized.
  • H is supplied to the processing chamber 1 as the first reactive gas in the first reactive gas supply step.
  • H is the atomic water at this stage
  • active species such as elemental and hydrogen ions.
  • the high frequency output to be applied is controlled to an optimum output by the high frequency power supply unit 13.
  • the first reaction gas purge step is performed.
  • the valve 44 is closed, the supply of H to the substrate 2 is stopped and the valve
  • reaction gas supply pipe 68 and the processing chamber 1 are purged with the inert gas, and the residual gas is removed.
  • valve 48 provided in the reaction gas no-pass pipe 49 is opened, the reaction gas is exhausted from the gas bypass pipe 49 so as to bypass the processing chamber 1, and the H of the gas flow control device 43 is It is preferable not to make the flow rate zero.
  • valve 39 After purging the processing chamber 1 for a predetermined time, the valve 39 is closed and the valve 32 is opened.
  • the DER gas prepared so as to be vaporized at a predetermined flow rate in a stable manner is introduced into the processing chamber 1 through the source gas supply pipe 31, and a source gas supply step is performed.
  • the source gas supply step, source gas purge step, first reaction gas supply step, and first reaction gas purge step are performed as follows.
  • a cycle a thin film having a predetermined thickness can be formed on the substrate 2 by a cycle process in which this cycle is repeated a plurality of times.
  • film formation is performed by a CVD method.
  • the liquid DER supplied from the raw material supply unit 25 is vaporized together with the carrier gas whose flow rate is controlled by the liquid raw material flow control device 29 and supplied from the inert gas supply unit 33 and whose flow rate is controlled by the gas flow control device 35. Supplied to vessel 30 and vaporized.
  • the vaporized DER gas is closed when the valve 32 is closed and the valve 39 is open, and when the amount of vaporization stabilized in the raw gas bypass pipe 40 is stabilized, the nozzle 39 is closed and the valve 32 is opened.
  • the opened and vaporized DER gas is introduced into the processing chamber 1 through the raw material gas supply pipe 31, guided onto the shower head 12, dispersed in a number of shower holes 8, and supplied onto the substrate 2. .
  • O 2 is supplied simultaneously with the DER gas as the second reaction gas.
  • a Ru film is formed by the reaction of DER gas and O 2 supplied to the substrate 2.
  • the processed substrate 2 is unloaded from the processing chamber 1 in the reverse procedure of the substrate loading step.
  • the film forming step is performed by the CVD method in the same manner as the initial film forming step. You can do it.
  • the second embodiment of the method for manufacturing a semiconductor device according to the present invention in which the initial film formation step is performed by the ALD method in the same manner as the first embodiment method described above, and the film formation step is performed by the ALD method. (Hereinafter referred to as the second embodiment method) will be described with reference to FIG.
  • this film forming step corresponds to the case where O is used instead of H as the reaction gas in the initial film forming step in the method of the first embodiment.
  • the second reactive gas supply step is performed. Then, O 2 is supplied to the processing chamber 1 as the second reaction gas.
  • the O is connected to the counter electrode 6 and the counter electrode.
  • a high frequency is applied between the poles 7 to excite plasma.
  • O is an atomic acid at this stage
  • active species such as elemental and oxygen ions.
  • the high frequency output applied between the counter electrode 6 and the counter electrode 7 is controlled by the high frequency power supply unit 13 so as to be an optimum output different from the initial film forming step.
  • the high frequency output applied between the counter electrode 6 and the counter electrode 7 is, for example, 100 W in the initial film formation step and 150 W in the main film formation step.
  • the high-frequency output applied between the counter electrode 6 and the counter electrode 7 may be 150 W in the initial film formation step and 100 W in this film formation step! /.
  • a second reactive gas purge step is performed.
  • the source gas supply step, source gas purge step, second reaction gas supply step, second step as described above are performed.
  • the reaction gas purge step is set as one cycle, and this cycle is repeated a plurality of times, whereby a Ru film having a predetermined film thickness can be formed on the Ru film formed on the substrate 2 in the initial film formation step.
  • the processing conditions for performing the initial film-forming step by the ALD method include processing temperature: 200 to 350 ° C, processing pressure: 10 to 400 Pa, DER flow rate: 0.01 to 0.2 gZmin, H flow rate: 100 to 2000 sccm, counter electrode
  • the processing conditions for performing this film forming step by the CVD method are as follows: processing temperature: 200 to 350 ° C, processing pressure: 10 to 400 Pa, DER flow rate: 0.01 to 0 2gZmin, O flow rate: 100-2000sccm is exemplified.
  • the processing conditions for performing this film forming step by the ALD method are as follows: processing temperature: 200 to 350 ° C, processing pressure: 10 to 400 Pa, DER flow rate: 0.01 to 0 2gZmin, O flow rate: 100-2000sccm, high frequency output applied between opposing electrodes: 0-50
  • the film thickness formed in the initial film forming step is 1 to 5 nm, and the film thickness formed in the main film forming step is 5 to 50 nm.
  • the first reaction gas used in the present invention can be appropriately selected from various types depending on the application, and examples thereof include H, N, NH, He ⁇ Ne, Ar, Kr, and Xe ⁇ .
  • the film formed in the present invention can be appropriately selected from various types of forces depending on the application,
  • Examples include metals such as Ru, Ir, Pt, Ti, Hf, Zr, Ta, and W.
  • FIG. 5 is a schematic view showing a single wafer processing apparatus which is a second embodiment of the substrate processing apparatus according to the present invention.
  • the single wafer processing apparatus (Fig. 5) according to the second embodiment is a single wafer processing apparatus according to the first embodiment.
  • the difference from the control device (FIG. 1) is that the counter electrode unit 5 is abolished, and instead the RF electrode 70 is provided on the support base 20. That is, the single wafer processing apparatus according to the second embodiment differs from the single wafer processing apparatus according to the first embodiment in the configuration of the plasma generation mechanism.
  • the RF electrode 70 is embedded in the support base 20 like the heater 22, and the RF electrode 70 has an RF Matching box 71, matching controller 72, and RF power source (RF generator) 7 3 are connected. Plasma is generated on the wafer by applying RF power to the RF electrode 70 in a state where the first reactive gas and the second reactive gas are flowing.
  • the initial film formation step and the main film formation step similar to those in the first embodiment method and the second embodiment method can also be performed by the second embodiment apparatus having the above configuration.
  • a semiconductor device manufacturing method according to a third embodiment (hereinafter, referred to as a third embodiment method) according to the present invention is manufactured using the second embodiment device having the above-described configuration. A case where a thin film is deposited on the substrate as one step will be described.
  • the method of the third embodiment is different from the method of the second embodiment in that the reaction gas supply step includes the step of making the atmosphere in the processing chamber 1 uniform with the reaction gas, and the atmosphere in the processing chamber 1 is changed to the reaction gas. This is divided into two steps: the step of generating plasma by applying RF power to the RF electrode 70 in a uniform state. That is, the method of the third embodiment is different from the method of the second embodiment in that a reactive gas pre-purge step (event) is provided before the step (event) for generating a plasma by marking RF.
  • a reactive gas pre-purge step is provided before the step (event) for generating a plasma by marking RF.
  • the matching conditions during plasma generation vary depending on the atmosphere (temperature, pressure, introduced gas) in the processing chamber 1, that is, the temperature, pressure, and the type of gas to be introduced. It has been found that the matching conditions at the time of plasma generation change, and that undesired plasma is supplied onto the substrate, which may deteriorate film thickness uniformity and film adhesion.
  • the matching conditions during plasma generation may not be stable.
  • the present inventors provide a reaction gas pre-purge step (event) before the step of generating plasma by applying RF (event), and the inside of the processing chamber 1 is completely filled with the reaction gas. After that, it was found that if RF is applied, plasma can be stably supplied, and the poor film thickness uniformity and film adhesion can be alleviated.
  • the third embodiment method is based on this finding found by the present inventors.
  • the first reaction gas is placed in the processing chamber 1.
  • the atmosphere in the processing chamber 1 is uniformly filled with NH.
  • NH is applied by applying high frequency power (RF power) to the RF electrode 70.
  • RF power high frequency power
  • the RF to be applied is controlled to an optimum output by the high frequency power supply unit 13 (RF application step under uniform first reaction gas).
  • the application of high frequency to the RF electrode 70 is stopped, and the first reactive gas purge step is performed.
  • the source gas supply step, the source gas purge step, the step of making the atmosphere in the processing chamber uniform with the first reaction gas (the first stage of the first reaction gas supply step), and the inside of the processing chamber in the first A cycle in which plasma is generated by applying RF with the reactant gas uniform (second stage of the first reactant gas supply step) and the first reactant gas purge step is one cycle, and this cycle is repeated multiple times.
  • a Ru film having a predetermined thickness can be formed on the substrate 2.
  • the second reaction gas supply step in the film formation step is performed in the same manner as the initial film formation step.
  • the atmosphere in the processing chamber 1 is uniformly filled with o.
  • O is supplied onto the substrate 2 as active species such as atomic oxygen and oxygen ions.
  • the application of high frequency to the RF electrode 70 is stopped, and the second reactive gas purge step is performed.
  • the step of generating plasma by applying RF in a uniform state with the reaction gas (second stage of the second reaction gas supply step) and the second reaction gas purge step are one cycle, and this cycle is repeated several times.
  • a Ru film having a predetermined film thickness can be formed on the Ru film formed on the substrate 2 in the initial film formation step.
  • the reactive gas supply step includes applying the RF in a state in which the atmosphere in the processing chamber is made uniform with the reactive gas, and then the atmosphere in the processing chamber is made uniform with the reactive gas.
  • the plasma is generated in two stages, so that the plasma can be supplied stably, and the poor film thickness uniformity and film adhesion can be alleviated.
  • the reaction gas supply step includes the step of making the processing chamber atmosphere uniform with the reaction gas; After that, the atmosphere inside the processing chamber is made uniform with the reaction gas and applied to the RF electrode.
  • reaction gas supply step only the initial film formation step of supplying NH as a reaction gas, the reaction gas supply step.
  • the method of the third embodiment method may be applied to the first embodiment method. That is, the reactive gas supply step of the initial film formation step in the first embodiment method is a step of making the atmosphere in the processing chamber uniform with the reactive gas, and then applying RF in a state where the processing chamber is made uniform with the reactive gas. Then, the step of generating plasma may be made two steps.
  • a gas containing oxygen atoms (O) such as O as a reactive gas is used.
  • the base in forming the thin film may be oxidized.
  • the base of film formation is a rare metal or the like
  • a gas containing oxygen atoms (O) such as O is used as a reaction gas in the initial film formation step
  • the base metal film for example, T
  • iN iN
  • TiO insulating film
  • H or NH is used as a reactive gas.
  • the reaction gas containing no oxygen atoms such as 2 3 is used, the underlying metal film is not oxidized.
  • this film formation step is performed in a state where the underlying metal film is covered with the film formed in the initial film formation step, a gas containing oxygen atoms such as O is used as a reaction gas.
  • the underlying metal film will not be oxidized.
  • a film can be formed without oxidizing the base.
  • FIG. 7 is a cross section showing a part of the DRAM capacitor including the lower electrode film and the upper electrode film.
  • an interlayer insulating film 80 made of an insulating material such as SiO is formed on the silicon substrate 2.
  • a contact hole 87 is opened so as to penetrate the interlayer insulating film 80.
  • a contact plug 81 for connecting to the silicon substrate 2 is formed inside the opened contact hole 87.
  • Examples of the material of the contact plug 81 include tungsten (W).
  • a barrier metal film 82 is formed so as to fill the upper space of the contact plug 81.
  • Examples of the material of the noria metal film 82 include TiN and TaN.
  • the noria metal film 82 prevents diffusion into the material constituting the electrode and the oxidant force contact plug 81.
  • an interlayer insulating film 83 is formed on the entire surface of the interlayer insulating film 80 and the barrier metal film 82.
  • a contact hole 88 is opened so as to penetrate the interlayer insulating film 83.
  • the Ru film is formed on the entire surface of the contact hole 88 and on the interlayer insulating film 83 by applying any one of the film forming methods of the first embodiment method, the second embodiment method, and the third embodiment method described above.
  • a lower electrode film 84 having the same thickness is formed.
  • the lower electrode film 84 on the interlayer insulating film 83 is removed while the lower electrode film 84 in the contact hole 88 is left.
  • the inside of the lower electrode film 84 in the remaining contact hole 88 is etched, and the shape of the lower electrode film 84 is changed to a cylinder shape.
  • a capacitive insulating film 85 is formed on the entire surface of the lower electrode film 84 and the interlayer insulating film 83.
  • Examples of the material of the capacitive insulating film 85 include Ta 2 O, Al 2 O, and ZrO.
  • a Ru film or the like is formed on the entire surface of the capacitor insulating film 85.
  • the upper electrode film 86 is formed to complete the manufacture of the DRAM capacitor shown in FIG. Note that the film formation method of the first embodiment method, the second embodiment method, or the third embodiment method described above is applied only when the lower electrode film 84 of the DRAM capacitor is formed.
  • a film forming method in which only the main film forming step of any of the first embodiment method, the second embodiment method, and the third embodiment method is performed (the initial film forming step is omitted).
  • Film forming method that is, a film forming method using a reactive gas containing oxygen atoms may be used.
  • a thermal CVD method in which a source gas and a reaction gas containing oxygen atoms are supplied simultaneously may be used, and a reaction gas containing a source gas and oxygen atoms may be used.
  • a reaction gas containing a source gas and oxygen atoms may be used.
  • the base when the upper electrode film 86 of the DRAM capacitor is formed is an insulating film, and even if a reactive gas containing oxygen atoms is used, there is no problem.
  • the base for forming the lower electrode film 84 is the barrier metal film 82.
  • the base barrier metal film 82 is oxidized and contacted. A problem arises that the resistance increases and the device characteristics deteriorate. Therefore, when forming the lower electrode film 84, the film forming method of any one of the above-described first embodiment method, second embodiment method, and third embodiment method of the present invention is the optimum embodiment.
  • a method for manufacturing a semiconductor device comprising:
  • the first reaction gas is H or NH
  • the second reaction gas is H or NH
  • the first reaction gas and the second reaction gas are generated by applying RF power to an RF electrode provided in the processing chamber after being supplied into the processing chamber.
  • the plasma is activated and supplied to the substrate, and the value of the RF power applied to the RF electrode is different between when the first reaction gas is supplied and when the second reaction gas is supplied.
  • the step of supplying the first reactive gas includes supplying the first reactive gas into the processing chamber to make the processing chamber uniform with the first reactive gas.
  • the plasma is generated by applying RF power to an RF electrode provided in the processing chamber in a state where the processing chamber is made uniform with the first reaction gas, and the first reaction gas activated by the plasma is generated. Supplying to the substrate;
  • a method for manufacturing a semiconductor device comprising:
  • the step of supplying the second reaction gas includes the step of supplying the second reaction gas into the processing chamber to make the processing chamber uniform with the second reaction gas.
  • the plasma is generated by applying RF power to the RF electrode provided in the processing chamber in a state in which the processing chamber is made uniform with the second reaction gas, and the second reaction activated by the plasma is performed. Supplying a gas to the substrate;
  • a method for manufacturing a semiconductor device comprising:
  • the plasma is generated by applying RF power to the RF electrode provided in the processing chamber in a state where the processing chamber is made uniform with the first reaction gas, and activated by this plasma.
  • a step of generating a plasma by applying RF power to the RF electrode provided in the processing chamber and supplying the second reaction gas activated by the plasma to the substrate is one cycle.
  • the cycle is repeated a plurality of times, and the film formation step of forming a thin film of Tokoro NozomimakuAtsu on a thin film formed on a substrate in the initial film forming step,
  • a source gas supply line for supplying source gas into the processing chamber
  • a first reactive gas supply line for supplying a first reactive gas not containing oxygen atoms into the processing chamber
  • a second reaction gas supply line for supplying a second reaction gas containing oxygen atoms into the processing chamber;
  • An exhaust line for exhausting the processing chamber;
  • the supply of the source gas into the processing chamber and the supply of the first reaction gas into the processing chamber are set as one cycle, and after repeating this cycle a plurality of times, the supply of the source gas into the processing chamber is performed.
  • a substrate processing apparatus A substrate processing apparatus.
  • a source gas supply line for supplying source gas into the processing chamber
  • a first reactive gas supply line for supplying a first reactive gas not containing oxygen atoms into the processing chamber
  • a second reactive gas supply line for supplying a second reactive gas containing oxygen atoms in the processing chamber; an RF electrode provided in the processing chamber for generating plasma;
  • An RF power source for applying RF power to the RF electrode
  • the source gas is supplied into the processing chamber, and then the first reaction gas is supplied into the processing chamber to make the processing chamber uniform with the first reaction gas, and in this state, RF power is applied to the RF electrode. Then, plasma is generated and the first reaction gas activated by the plasma is supplied to the substrate, and this cycle is repeated one or more times, and then the source gas is supplied into the processing chamber. Thereafter, the second reaction gas is supplied into the processing chamber to make the processing chamber uniform with the second reaction gas, and in this state, RF power is applied to the RF electrode to generate plasma, thereby generating the plasma.
  • a controller that supplies the second reactive gas activated in step 1 to the substrate and controls the cycle to be repeated a plurality of times.
  • a substrate processing apparatus A substrate processing apparatus.
  • a source gas supply line for supplying source gas into the processing chamber
  • a reaction gas supply line for supplying a reaction gas into the processing chamber;
  • An RF electrode provided in the processing chamber for generating plasma;
  • An RF power source for applying RF power to the RF electrode
  • the source gas is supplied into the processing chamber, and then the reaction gas is supplied into the processing chamber to make the processing chamber uniform with the reaction gas.
  • RF power is applied to the RF electrode to generate plasma.
  • a controller that generates and activates the reaction gas activated by the plasma and supplies the substrate to the substrate, and controls this cycle to be repeated a plurality of times.
  • a substrate processing apparatus A substrate processing apparatus.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

 歩留まりおよび生産性を高め、段差被覆性および密着性を高める。  成膜プロセスは初期成膜ステップと、本成膜ステップとを有する。初期成膜ステップは、処理室内に原料ガスを供給して基板上に吸着させるステップと、処理室内に酸素原子を含まない第1反応ガスを供給して基板上に吸着した原料ガスと反応させて基板上に薄膜を生成するステップとを1サイクルとしてサイクルを複数回繰り返し、基板上に所望膜厚の薄膜を形成する。本成膜ステップは、処理室内に原料ガスを供給して基板上に吸着させるステップと、処理室内に酸素原子を含む第2反応ガスを供給して基板上に吸着した前記原料ガスと反応させて基板上に薄膜を生成するステップとを1サイクルとして複数回繰り返し、初期成膜ステップにて基板上に形成された薄膜上に所望膜厚の薄膜を形成する。

Description

明 細 書
半導体装置の製造方法および基板処理装置
技術分野
[0001] 本発明は、基板上に薄膜を形成するための半導体装置の製造方法および基板処 理装置に関するものである。
背景技術
[0002] DRAMのキャパシタは微細化に伴う蓄積電荷容量を確保するために、容量絶縁膜 の高誘電率化、下部電極または上部電極の金属化の研究が活発化して!/ヽる。 これらの材料としては高い誘電率を持つ Al O , ZrO , HfO , Y O , La O ,
2 3 2 2 2 3 2 3
STO( SrTiO ) , Ta O 、 BST( (Baゝ Sr)TiO
3 2 5 3 )、 PZT( (Pb、 Zr)TiO )等々が容
3 量絶縁膜として候補となっており、 Ti, Hf, Zr, Al, Ru, Pt, Irの金属、 SRO( SrRu O ) , RuO 等の酸化物、あるいは TiN, HfN, ZrN等の窒化物が電極としての候補
3 2
となっている。
電極形状は高アスペクト比のシリンダ型が主流となっており、場合によってはノリア メタル膜である TiN、 TaN等を含めた上記の全ての膜が段差被覆性 (ステップ力バレ ッシ。 step coverage )に優れて ヽる必要がある。
膜の形成方法としては従来のスパッタリング法力 段差被覆性に優れている CVD 法へと移行しており、有機金属液体原料と酸素の反応が多く利用されている。
発明の開示
発明が解決しょうとする課題
[0003] CVD法において段差被覆性を向上するために低温ィ匕は避けることはできない。
低温化により有機液体原料中の炭素や水素が不純物として膜中に多く残り、電気 特性を劣化させる。
Ru原料の多くは、酸素との反応が容易に進行するので、反応ガスとして酸素が使 用されている。
一方、膜形成後は、膜の結晶化および膜中の不純物を除去するために高温熱処 理を行う。この時、金属膜中に残留している酸素原子の拡散により、 Ru膜の下地に ある金属膜が酸化され、絶縁膜を形成し、抵抗値が高くなるという課題がある。 また、酸素原子以外の Ru原料に含まれる不純物においても、高温処理を行うこと により、膜中から不純物がガス脱離して、膜が収縮し、表面の凹凸が劣化したり、膜 が剥がれてしまうという課題がある。
さらには、幾つかの Ru原料についてはインキュベーションタイムが増大するという報 告があり、生産性が劣るといった課題がある。
[0004] したがって、本発明の課題は、歩留まりおよび生産性が高ぐ段差被覆性および密 着性に優れた半導体装置の製造方法および基板処理装置を提供することである。 課題を解決するための手段
[0005] 前記した課題を解決するための手段は、次の通りである。
処理室内に基板を搬入するステップと、
前記処理室内に原料ガスを供給して基板上に吸着させるステップと、前記処理室 内に酸素原子を含まない第 1反応ガスを供給して基板上に吸着した前記原料ガスと 反応させて基板上に薄膜を生成するステップと、を 1サイクルとしてこのサイクルを複 数回繰り返し、基板上に所望膜厚の薄膜を形成する初期成膜ステップと、
前記処理室内に原料ガスを供給して基板上に吸着させるステップと、前記処理室 内に酸素原子を含む第 2反応ガスを供給して基板上に吸着した前記原料ガスと反応 させて基板上に薄膜を生成するステップと、を 1サイクルとしてこのサイクルを複数回 繰り返し、前記初期成膜ステップにおいて基板上に形成された薄膜上に所望膜厚の 薄膜を形成する本成膜ステップと、
所望膜厚の薄膜形成後の基板を前記処理室内から搬出するステップと、 を有する半導体装置の製造方法。
発明の効果
[0006] 本発明によれば、下地金属膜の酸化、膜収縮による表面の凹凸劣化、膜剥がれを 解決することができ、歩留まりおよび生産性が高ぐ段差被覆性および密着性に優れ た半導体装置の製造方法および基板処理装置を提供できる。 図面の簡単な説明
[0007] [図 1]本発明に係る基板処理装置の第 1実施形態であるダイレクトプラズマユニット組 込みの枚葉式処理装置を示す概略図である。
[図 2]そのダイレクトプラズマユニットを示す平面図である。
[図 3]本発明に係る半導体装置の製造方法の第 1実施形態である ICの製造方法の 成膜工程を示すフローチャートである。
[図 4]本発明に係る半導体装置の製造方法の第 2実施形態である ICの製造方法の 成膜工程を示すフローチャートである。
[図 5]本発明に係る基板処理装置の第 2実施形態である RF電極組込みの枚葉式処 理装置を示す概略図である。
[図 6]本発明に係る半導体装置の製造方法の第 3実施形態である ICの製造方法の 成膜工程を示すフローチャートである。
[図 7]下部電極膜および上部電極膜を含む DRAMキャパシタの一部を示す断面図 である。
符号の説明
[0008] 1 処理室
2 基板
6 電極
7 電極
31 原料ガス供給管
42 第 1反応ガス供給管
56 排気管
61 メインコントローラ
63 第 2反応ガス供給管
68 反応ガス供給管
70 RF電極
発明を実施するための最良の形態
[0009] 本発明の好ま 、実施形態にぉ ヽては、 Ru原料ガスと酸素含有ガス以外の反応 ガスにより膜を形成する。しかし、 Ru原料ガスは、酸素含有ガス以外の反応ガスとは 、反応性が乏しく膜が形成されない。 そこで、プラズマ源により励起した反応ガスを利用するが、 Ru原料ガスとプラズマ源 により励起した反応ガスを同時に基板に対して供給すると、気相反応により、段差被 覆性が劣ってしまうので、 ALD (Atomic Layer Deposition )法により膜を形成する。
ALD法では、次のサイクルが繰り返される。
(1) Ru原料ガスだけを基板に対して供給することにより、基板上に Ru原料ガスが段 差被覆性良く吸着する。
(2) Ar、 Heや N 等の不活性ガスによるパージによって、余分な原料ガスを排気する
2
(3)プラズマ源により励起した酸素含有ガス以外の反応ガスを基板に対して供給する ことにより、励起された反応ガスが基板上に吸着して ヽる前記 Ru原料ガスと反応し、 膜が形成される。
プラズマ源により励起したガス種は反応性が高ぐ Ru原料ガス中に含まれる炭素、 水素と結合してガス化することにより、膜中不純物が低減される。
(4) Ar、 Heや N 等の不活性ガスによるパージによって、余分な反応ガスを排気する
2 以上の(1)〜(4)を 1サイクルとして、このサイクルを繰り返すことにより、所望の膜厚 を得ることができる。
一方、 ALD法では、成膜速度が遅ぐ生産性が劣る。
そこで、初期成膜ステップでは前記の ALD法で基板上に核形成を行い、その後の 本成膜ステップでは反応ガスに酸素含有ガスを使用して、従来の CVD法により成膜 を行えば、生産性が向上する。
若しくは、反応ガスを酸素含有ガスにして、前記の ALD法を行えば、成膜速度が向 上し、生産性が向上する。
このように、初期成膜ステップにおいては、原料吸着ステップと、プラズマ励起され た酸素含有ガス以外の反応ガスによる ALD法を用いることにより、歩留まりが高ぐ 段差被覆性および密着性に優れた半導体装置の製造方法を提供できる。
さらには、本成膜ステップにおいて、反応ガスとして酸素含有ガスを用いることにより 、生産性の高い半導体装置の製造方法を提供できる。 [0011] 以下、図面を参照しつつ本発明を実施するための最良の形態を説明する。
[0012] 図 1を用いて、本発明が実施される基板処理装置の一例を説明する。
図 1は本発明の第 1実施形態に係る基板処理装置であるダイレクトプラズマユニット が組込まれた枚葉式処理装置の処理炉の一例を示す概略断面図である。
[0013] 図 1に示すように、処理室 1には支持台 20が設けられる。支持台 20は被成膜対象 であるシリコンウェハやガラス基板等の基板 2を支持する。
支持台 20の上部には支持板としてのサセプタ 21が設けられる。サセプタ 21は支持 台 20の一部を構成しており、サセプタ 21の上に基板が載置される。
支持台 20の内部には、加熱手段としてのヒータ 22が設けられ、ヒータ 22はサセプ タ 21上に載置された基板 2を加熱するようになっている。
ヒータ 22は基板 2の温度が所定の温度となるように温度コントローラ 23により制御さ れる。
[0014] 処理室 1の外部には昇降機構 24が設けられ、昇降機構 24により、支持台 20が処 理室 1内で昇降可能となって 、る。
[0015] 処理室 1の支持台 20の上部には、シャワーヘッド 12と対向電極ユニット 5が基板 2と 対向するように配置される。シャワーヘッド 12は原料ガスおよび反応ガスを基板 2へ 供給する際の直接の供給口となる複数のシャワー孔 8を有する。
対向電極ユニット 5はプラズマを発生させるための高周波 (RF)を印加する少なくと も一組以上の対向電極を備えており、これらが被成膜基板 2と対向してシャワー孔 8 よりも基板 2に近 、位置に配置されて 、る。
この場合、シャワー孔 8を複数持つシャワーヘッド 12と対向電極ユニット 5とは、被 成膜基板 2と対向するように配置される。
具体的には、シャワーヘッド 12は対向電極ユニット 5と対向するように配置され、対 向電極ユニット 5は被成膜基板 2と対向するように配置される。
[0016] 図 2に示すように、プラズマを発生させるための対向電極ユニット 5は、一組の電極
6と電極 7とが互いに対向するように配置されたユニットとして構成されて!、る。
すなわち、電極 6および電極 7は何れも櫛歯型に構成され、それぞれの櫛歯に相当 する部分同士が互 、違 ヽに隣り合う(対向する)ように配置されて 、る。換言すると、 一方の電極の櫛歯と櫛歯の間に他方の電極の櫛歯が 1本ずつ挿入されて!、る。 なお、電極 6と電極 7とは同一平面上に配置される。
[0017] ここで、図 2に示すように、対向電極ユニット 5は保持用の電極プレート 14を有する 。電極プレート 14は上面の中央に円形の窓孔 14aが大きく形成され、側面に貫通孔 15が形成されている。この貫通孔 15の中に石英のような絶縁物から構成された電極 管 16が挿入され、この電極管 16の中に、 A1や Ni等の材質で構成された棒状の電 極 6および電極 7が埋め込まれて!/、る。
処理室 1を真空に保っために、電極プレート 14の貫通孔 15の内壁に対し、電極管 16は Oリング 17を用いて真空封じ込みを行う。
対向電極 6および対向電極 7は、それぞれまとめて接続され、絶縁トランス 11に接 続される。絶縁トランス 11に高周波電源ユニット 13により高周波電圧を印加すること により、プラズマが対向電極ユニット 5の表面に発生する。
すなわち、プラズマは、シャワーヘッド 12と対向電極ユニット 5との間の空間におけ る対向電極ユニット 5の上面付近、および、対向電極ユニット 5と基板 2との間の空間 における対向電極ユニット 5の下面付近に、発生する。
シャワーヘッド 12内には供給口 4および供給口 3から原料ガスおよび反応ガスがそ れぞれ供給される。シャワーヘッド 12のシャワー孔 8は原料ガスおよび反応ガスをそ れぞれ別々あるいは同時に基板 2に対してシャワー状に噴出させる。
[0018] 処理室 1の外部には、液体原料 28を供給する原料供給ユニット 25が設けられてお り、原料供給ユニット 25は液体原料供給管 26、液体原料供給量を制御する流量コン トローラとしての液体原料流量制御装置 29を介して、液体原料を気化する気化器 30 に接続されている。
原料供給ユニット 25には液体原料 28が蓄えられており、圧送ライン 27から供給さ れる Heあるいは Ar等の不活性ガスの圧力により、液体原料 28が気化器 30へ供給さ れる。
気化器 30には原料ガス供給管 31が接続されており、原料ガス供給管 31はバルブ 32を介して原料ガス供給口 4に接続されて ヽる。
液体原料としては、例えば、常温で液体の有機金属材料、すなわち、有機金属液 体原料を用いる。
あるいは、常温では固体であっても数十度程度に加熱すれば液体になるような原 料であれば、原料供給ユニット 25、液体原料供給管 26および液体原料流量制御装 置 29を数十度程度に加熱するヒータを各々に設けて使用することが可能である。
[0019] 処理室 1の外部には不活性ガス供給ユニット 33が設けられており、不活性ガス供給 ユニット 33には、非反応性ガスとしての不活性ガスを気化器 30へキャリアガスとして 供給するためのキャリアガス供給管 34が接続されている。
キャリアガス供給管 34は気化器 30に、キャリアガスの供給流量を制御する流量コン トローラとしてのガス流量制御装置 35を介して接続されている。
気化器 30は内部において液体原料 28をキャリアガスとともに噴出することにより、 気化効率を上げている。
不活性ガスとしては、例えば、 Ar、 He、 N 等が用いられる。
2
[0020] 不活性ガス供給ユニット 33には、非反応性ガスとしての不活性ガスを原料ガス供給 管 31へパージガスとして供給するためのパージガス供給管 36が接続されている。パ ージガス供給管 36は、パージガスの供給流量を制御する流量コントローラとしてのガ ス流量制御装置 37およびバルブ 38を介して、原料ガス供給管 31に接続されて 、る
[0021] 気化器 30で気化した原料ガスを原料ガス供給管 31から原料ガス供給口 4に供給し ない場合には、ノ レブ 32を閉じ、バルブ 39を開き、ベントラインとしての原料ガスバ ィパス管 40に原料ガスを流す。
このとき、バルブ 38を開いて、パージガス供給管 36から不活性ガスを供給すること により、原料ガス供給管 31のバルブ 32から原料ガス供給口 4の配管、対向電極ュ- ット 5および処理室 1の表面に吸着して 、る原料ガスを取り除くことが可能になる。
[0022] 処理室 1の外部には、第 1反応ガスを供給する第 1反応ガス供給ユニット 41が設け られており、第 1反応ガス供給ユニット 41は第 1反応ガスを第 1反応ガス供給管 42へ 供給する。
第 1反応ガス供給管 42は、ガス供給量を制御する流量コントローラとしてのガス流 量制御装置 43、バルブ 44、反応ガス供給管 68を介して反応ガス供給口 3に接続さ れている。第 1反応ガスとしては、酸素原子を含まないガスを用いる。本実施形態で は第 1反応ガスとして Hや NH等の水素含有ガス用いる。
2 3
[0023] 不活性ガス供給ユニット 33には、非反応性ガスとしての不活性ガスを反応ガス供給 管 68へパージガスとして供給するためのパージガス供給管 45が接続されている。 パージガス供給管 45は、パージガスの供給流量を制御する流量コントローラとして のガス流量制御装置 46およびバルブ 47を介して、反応ガス供給管 68に接続されて いる。
[0024] 第 1反応ガスを第 1反応ガス供給管 42から反応ガス供給口 3に供給しない場合に は、バルブ 44を閉じ、バルブ 48を開き、ベントラインとしての第 1反応ガスバイノ ス管 49に第 1反応ガスを流す。
このとき、バルブ 47を開いて、パージガス供給管 45から不活性ガスを供給すること により、第 1反応ガス供給管 42のバルブ 44から反応ガス供給口 3の配管、対向電極 ユニット 5および処理室 1の表面に吸着している第 1反応ガスを取り除くことが可能に なる。
[0025] 処理室 1の外部には、第 2反応ガスを供給する第 2反応ガス供給ユニット 62が設け られており、第 2反応ガス供給ユニット 62は第 2反応ガスを第 2反応ガス供給管 63へ 供給する。第 2反応ガス供給管 63は、ガス供給量を制御する流量コントローラとして のガス流量制御装置 64、バルブ 65、反応ガス供給管 68を介して、反応ガス供給口 3 に接続されている。第 2反応ガスとしては Oや Oや N O等の酸素原子を含むガスを
2 3 2
用いる。
[0026] 第 2反応ガスを第 2反応ガス供給管 63から反応ガス供給口 3に供給しない場合に は、バルブ 65を閉じ、バルブ 66を開き、ベントラインとしての第 2反応ガスバイノ ス管 67に第 2反応ガスを流す。
このとき、バルブ 47を開いて、パージガス供給管 45から不活性ガスを供給すること により、第 2反応ガス供給管 63のバルブ 65から反応ガス供給口 3の配管、対向電極 ユニット 5および処理室 1の表面に吸着している第 2反応ガスを取り除くことが可能に なる。
[0027] 処理室 1の外部には、クリーニングガスを供給するクリーニングガス供給ユニット 50 が設けられており、クリーニングガス供給ユニット 50はクリーニングガスをクリーニング ガス供給管 51へ供給する。
クリーニングガス供給管 51は、ガス供給量を制御する流量コントローラとしてのガス 流量制御装置 52、バルブ 53を介して反応ガス供給口 3に接続されて ヽる。
[0028] 処理室 1の側壁下部には排気口 10が設けられており、排気口 10には真空ポンプ 5 4、原料回収トラップ 57および除害装置(図示せず)が排気管 56によってつながれて いる。また、排気管 56には、処理室 1の圧力を調整する圧力コントローラ 55が設けら れている。
[0029] 処理室 1の排気口 10と反対側の側面には、基板搬入搬出口 59が設けられている。
基板搬入搬出口 59は、真空基板移載室 58との仕切り弁としてのゲートバルブ 60に よって開閉され、基板搬入搬出口 59から基板 2を処理室 1に搬入搬出し得るように構 成されている。
[0030] 基板処理装置を構成する各部の動作はメインコントローラ 61により制御される。
[0031] 次に、本発明に係る半導体装置の製造方法の第 1実施形態を、以上の構成に係る 枚葉式処理装置を用いて半導体装置の製造工程の一工程として基板に薄膜を堆積 する場合について説明する。
[0032] 以下、液体原料として Ru (C H C H ) (2, 4ジメチルペンタジェ-ル ェチルシク
7 11 7 9
口ペンタジェ-ル ルテニウム。以下、 DERと略す。)、第 1反応ガス (初期成膜ステツ プ)として H 、第 2反応ガス(本成膜ステップ)として O を用いて、 ALD (Atomic Lave
2 2
r Deposition )法および CVD (Chemical Vapor Deposition )法、特に、 MOCVD (Me tal Organic Chemical VaporDeposition)法により基板上に Ru膜を形成する場合の本 発明に係る半導体装置の製造方法の第 1実施形態 (以下、第 1実施形態方法という 。)について、図 1ないし図 3に即して説明する。
なお、以下の説明において、基板処理装置を構成する各部の動作はメインコント口 ーラ 61により制御される。
[0033] まず、図 3に示された基板搬入ステップにおいて、基板 2が処理室 1に搬入される。
すなわち、支持台 20が基板搬送位置まで下降した状態で、ゲートバルブ 60が開か れ、基板搬入搬出口 59が開放されると、図示しない基板移載機により基板 2が処理 室 1内に搬入される。このとき、支持台 20は昇降機構により移載位置にある。移載位 置では、支持台 20表面よりも基板突き上げピン 69の先端が高い位置になり、搬入さ れた基板 2は、基板突き上げピン 69の上に移載される。
基板 2が処理室 1内に搬入された後に、ゲートバルブ 60が閉じられる。支持台 20が 移載位置から上方の基板処理位置まで上昇する。その間に、基板 2はサセプタ 21上 に載置される(基板載置ステップ)。
[0034] 支持台 20が基板処理位置に到達すると、ヒータ 22に電力が供給され基板 2は所定 の温度になるように均一に加熱される(基板昇温ステップ)。
同時に、処理室 1は、真空ポンプ 54により真空排気され、所定の圧力になるように 制御される (圧力調整ステップ)。
なお、基板 2の搬送時や基板加熱時および圧力調整時においては、パージガス供 給管 36およびパージガス供給管 45にそれぞれ設けられたバルブ 38およびバルブ 4 7は開いた状態とされ、不活性ガス供給ユニット 33より、処理室 1に不活性ガスが常 流 れる。
これにより、パーティクルや金属汚染物の基板 2への付着を防ぐことができる。
[0035] 次に、図 3に示された初期成膜ステップについて説明する。
基板 2の温度および処理室 1内の圧力が、それぞれ所定の処理温度および所定の 処理圧力に到達して安定すると、原料ガス供給ステップにおいて、処理室 1内に原 料ガスすなわち DERガスが供給される。
すなわち、原料供給ユニット 25から供給された液体の DERが、液体原料流量制御 装置 29によって流量制御され、不活性ガス供給ユニット 33から供給されてガス流量 制御装置 35によって流量制御されたキャリアガスと共に、気化器 30へ供給されて気 化される。
このとき、気化された DERガスは、バルブ 32が閉じ、ノ レブ 39が開いた状態で、原 料ガスバイパス管 40に流れている。 DERの気化量が安定すると、ノ レブ 39が閉じら れ、バルブ 32が開かれ、気化された DERガスは原料ガス供給管 31を通って、処理 室 1内に導入され、シャワーヘッド 12上に導かれ、多数のシャワー孔 8で分散され、 基板 2上に均一な濃度で供給される。 [0036] DERガスの供給が所定時間行われた後、原料ガスパージステップにお 、て、バル ブ 32が閉じられ、 DERガスの基板 2への供給が停止されると共に、バルブ 38が開か れ、パージガスとしての不活性ガスが原料ガス供給管 31を通って、処理室 1に導入さ れる。
これにより、原料ガス供給管 31および処理室 1が不活性ガスによりパージされ、残 留ガスが除去される。
[0037] なお、この際には、原料ガスバイパス管 40に設けられたバルブ 39を開き、 DERガ スを原料ガスノ ィパス管 40より処理室 1をバイパスするように排気し、気化器 30から の DERガスを停止しな!、ようにするの力 好まし 、。
DERを気化して、気化した DERガスを安定供給するまでには時間がカゝかるので、 気化器 30からの DERガスの供給を停止することなぐ処理室 1をバイノスするよう〖こ 流しておくと、次の原料ガス供給ステップでは、流れを切り替えるだけで直ちに DER ガスを基板 2へ安定供給できる。
[0038] ただし、次の原料ガス供給ステップまでの時間が長 、場合は、原料を無駄に捨てる ことになるため、こまめに気化器からの気化動作を停止させ、液体原料の無駄な使用 を止めることが望まし 、場合がある。
この場合には、原料ガスバイパス管 40に設けられたバルブ 39を開き、 DERガスを 原料ガスバイパス管 40より処理室 1をバイパスするように排気した後に、気化器 30内 のバルブ(図示せず)を閉じ、キャリアガスだけを流した状態にする。これは、いわば 原料消費節約型原料パージステップである。
[0039] 次の原料ガス供給ステップでは、流れを切り替えるだけで直ちに DERガスを基板 2 へ安定供給できるようにするためには、後述する反応ガスパージステップ中で、キヤリ ァガスだけが処理室 1をバイパスしている状態で、気化器 30内のバルブを開き、気化 状態が安定化するようにしておく。
[0040] 処理室 1のパージが所定時間行われた後に、第 1反応ガス供給ステップにおいて、 処理室 1に第 1反応ガスとして H が供給される。
2
すなわち、バルブ 48が開かれ、バルブ 44が閉じた状態 (第 1反応ガスが処理室 1を バイパスした状態)から、バルブ 48を閉じ、バルブ 44が開かれ、反応ガス供給管 68 を通って、処理室 1に H が供給され、シャワーヘッド 12上に導かれ、多数のシャワー
2
孔 8で分散される。
H は対向電極ユニット 5上に均一な濃度で供給された後に、対向電極 6と対向電
2
極 7の間に高周波が印加され、プラズマ励起される。 H はこの段階で、原子状の水
2
素や水素イオン等の活性種として基板 2上に供給される。
また、印加する高周波出力は、高周波電源ユニット 13により最適な出力に制御され ている。
[0041] 反応ガスとしての H の供給が所定時間行われた後に、第 1反応ガスパージステツ
2
プにおいて、バルブ 44が閉じられ、 H の基板 2への供給が停止されるとともに、バル
2
ブ 47が開かれ、パージガスとしての不活性ガス力 反応ガス供給管 68を通って、処 理室 1に導入される。
これにより、反応ガス供給管 68および処理室 1が不活性ガスによりパージされ、残 留ガスが除去される。
[0042] なお、この際、反応ガスノ ィパス管 49に設けられた、バルブ 48を開き、反応ガスを ガスバイパス管 49より処理室 1をバイパスするように排気し、ガス流量制御装置 43の H の流量をゼロにしないようにするのが好ましい。
2
H の流量をゼロから所定流量に安定ィ匕するまでには時間が力かるので、第 1反応
2
ガス供給ユニット 41からの H の供給を停止することなぐ処理室 1をバイパスするよう
2
に流しておくと、次の反応ガス供給ステップでは、流れを切り替えるだけで、 H ガス
2 を基板 2へ直ちに安定供給できる。
[0043] 第 1反応ガスパージステップにお 、ては、前述したように、次の原料ガス供給ステツ プでは、流れを切り替えるだけで、直ちに原料ガスを基板 2へ安定供給できるように するために、第 1反応ガスパージステップの終了時間の数〜十数秒前に、キャリアガ ス供給管からのキャリアガスだけが処理室 1をバイパスしている状態で、気化器 30内 のバルブを開き気化器内に DERを流し、気化を開始し、気化状態を安定化させる。 なぜなら、気化器 30の気化状態を安定化させるためには、数〜数十秒必要とするか らである。
[0044] 処理室 1のパージが所定時間行われた後、バルブ 39が閉じられ、バルブ 32が開か れ、予じめ安定して所定流量気化されるように準備された DERガスは、原料ガス供給 管 31を通って、処理室 1に導入され、原料ガス供給ステップが行われる。
[0045] 図 3に示されているように、初期成膜ステップにおいて、以上のような、原料ガス供 給ステップ、原料ガスパージステップ、第 1反応ガス供給ステップ、第 1反応ガスパー ジステップを、 1サイクルとして、このサイクルを複数回繰り返すサイクル処理により、 基板 2上に所定膜厚の薄膜を形成することができる。
[0046] 次に、初期成膜ステップにおいて ALD法により成膜した Ru膜の上に、 CVD法によ り Ru膜を成膜する本成膜ステップにつ 、て説明する。
[0047] 本成膜ステップでは、 CVD法により成膜を実施する。
原料供給ユニット 25から供給された液体の DERが、液体原料流量制御装置 29で 流量制御され、不活性ガス供給ユニット 33から供給され、ガス流量制御装置 35で流 量制御されたキャリアガスと共に、気化器 30へ供給されて気化される。
このとき、気化された DERガスは、バルブ 32は閉じ、バルブ 39は開いた状態で、原 料ガスバイパス管 40に流れている力 気化量が安定すると、ノ レブ 39が閉じられ、 バルブ 32が開かれ、気化された DERガスは、原料ガス供給管 31を通って、処理室 1 に導入され、シャワーヘッド 12上に導かれ、多数のシャワー孔 8で分散され、基板 2 上に供給される。
[0048] 同様に、第 2反応ガスとして O が DERガスと同時に供給される。
2
すなわち、バルブ 66が開かれ、バルブ 65が閉じられた状態から、バルブ 66が閉じ られ、バルブ 65が開かれる。反応ガス供給管 68を通って、処理室 1に O が供給され
2
、シャワーヘッド 12上に導かれ、多数のシャワー孔 8で分散され、基板 2上に供給さ れる。
[0049] 基板 2に供給された DERガスと O の反応により Ru膜が形成される。
2
この時、対向電極ユニット 5には高周波を印加しない。
DERガスと O の供給時間を制御することにより、基板 2上に所定膜厚の薄膜を形
2
成することができる。
[0050] 基板 2への薄膜成膜処理終了後、図 3に示された基板搬出ステップにおいて、処 理済基板 2は基板搬入ステップと逆の手順で、処理室 1外へ搬出される。 [0051] なお、前述した第 1実施形態方法では、本成膜ステップを CVD法で行う場合につ いて説明したが、本成膜ステップは、初期成膜ステップと同様に ALD法で行うように してちよい。
[0052] 以下、初期成膜ステップを前述した第 1実施形態方法と同様に ALD法により実施 し、本成膜ステップを ALD法で実施する本発明に係る半導体装置の製造方法の第 2実施形態 (以下、第 2実施形態方法という。)を図 4に即して説明する。
なお、初期成膜ステップは第 1実施形態方法と同様なので、その説明は省略する。 また、本成膜ステップは、第 1実施形態方法における初期成膜ステップにおいて反 応ガスとして H の代わりに O を用いた場合に相当するので、反応ガス供給ステップ
2 2
以外のステップの説明は省略し、反応ガス供給ステップのみ説明を行う。
[0053] 図 4に示されているように、初期成膜ステップ終了後の本成膜ステップにおいて、原 料ガス供給ステップ、原料ガスパージステップが行われた後に、第 2反応ガス供給ス テツプにお 、て処理室 1に第 2反応ガスとして O が供給される。
2
すなわち、バルブ 66が開かれ、バルブ 65が閉じられた状態から、バルブ 66が閉じ られ、バルブ 65が開かれる。反応ガス供給管 68を通って、処理室 1に O が供給され
2
、シャワーヘッド 12上に導かれ、多数のシャワー孔 8で分散される。
O は対向電極ユニット 5上に均一な濃度で供給された後に、対向電極 6と対向電
2
極 7の間に高周波が印加され、プラズマ励起される。 O は、この段階で、原子状の酸
2
素や酸素イオン等の活性種として基板 2上に供給される。
なお、対向電極 6と対向電極 7の間に印加する高周波出力は、高周波電源ユニット 13により初期成膜ステップとは異なる最適な出力となるように制御される。
対向電極 6と対向電極 7の間に印加する高周波出力は、例えば、初期成膜ステップ では 100W、本成膜ステップでは 150Wとする。
また、例えば、対向電極 6と対向電極 7の間に印加する高周波出力は、初期成膜ス テツプでは 150W、本成膜ステップでは 100Wとしてもよ!/、。
要は、初期成膜ステップおよび本成膜ステップのそれぞれの目的に合わせて最適 な出力となるように制御すればよい。
第 2反応ガス供給ステップ後、第 2反応ガスパージステップが行われる。 [0054] 図 4に示されているように、第 2実施形態方法の本成膜ステップにおいては、以上 のような、原料ガス供給ステップ、原料ガスパージステップ、第 2反応ガス供給ステツ プ、第 2反応ガスパージステップを、 1サイクルとして、このサイクルを複数回繰り返す サイクル処理により、初期成膜ステップで基板 2上に形成された Ru膜の上に、所定膜 厚の Ru膜を形成することができる。
[0055] なお、第 1実施形態方法および第 2実施形態方法において、 ALD法により初期成 膜ステップを行う際の処理条件としては、処理温度: 200〜350°C、処理圧力: 10〜 400Pa、 DER流量: 0. 01〜0. 2gZmin、 H流量: 100〜2000sccm、対向電極
2
間に印加する高周波出力: 10〜500W、 1サイクル内の各ステップ時間: 1〜10秒、 が例示される。
[0056] 第 1実施形態方法において、 CVD法によって本成膜ステップを行う際の処理条件 としては、処理温度: 200〜350°C、処理圧力: 10〜400Pa、 DER流量: 0. 01〜0. 2gZmin、 O流量: 100〜2000sccm、が例示される。
2
[0057] 第 2実施形態方法において、 ALD法によって本成膜ステップを行う際の処理条件 としては、処理温度: 200〜350°C、処理圧力: 10〜400Pa、 DER流量: 0. 01〜0. 2gZmin、 O流量: 100〜2000sccm、対向電極間に印加する高周波出力: 0〜50
2
OW、 1サイクル内の各ステップ時間: 1〜10秒力 例示される。
[0058] 初期成膜ステップで成膜される膜厚は、 l〜5nm、本成膜ステップで成膜される膜 厚は、 5〜50nm、が例示される。
[0059] なお、初期成膜ステップおよび本成膜ステップは、同一の処理室内で同一温度で 連続して行うのが生産性やコストの観点力も望ましい。
[0060] 本発明で使用される第 1反応ガスは用途に応じて様々な種類から適宜選択可能で あるが、 H 、 N 、 NH 、 Heゝ Ne、 Ar、 Kr、 Xeゝが例示される。
2 2 3
[0061] 本発明で形成される膜は用途に応じて様々な種類力 適宜に選択可能であるが、
Ru、 Ir、 Pt、 Ti、 Hf、 Zr、 Ta、 W等の金属全般が例示される。
[0062] 図 5は本発明に係る基板処理装置の第 2実施形態である枚葉式処理装置を示す 概略図である。
[0063] 本第 2実施形態に係る枚葉式処理装置(図 5)が前記第 1実施形態に係る枚葉式処 理装置(図 1)と異なる点は、対向電極ユニット 5が廃止され、その代わりに支持台 20 に RF電極 70が設けられている点である。すなわち、本第 2実施形態に係る枚葉式処 理装置は、前記第 1実施形態に係る枚葉式処理装置とは、プラズマ発生機構の構成 が異なる。
第 2実施形態に係る枚葉式処理装置 (以下、第 2実施形態装置という。 )において は、支持台 20にヒータ 22と同様、 RF電極 70が埋め込まれており、この RF電極 70に は RFマッチングボックス 71、マッチングコントローラ 72、 RF電源(RFジェネレータ) 7 3が接続されている。第 1反応ガスや第 2反応ガスを流した状態で、 RF電極 70に RF 電力を印加することで、ウェハ上にプラズマが生成される。
以上の構成に係る第 2実施形態装置によっても、第 1実施形態方法および第 2実施 形態方法と同様な初期成膜ステップおよび本成膜ステップを実施することができる。
[0064] 次に、本発明に係る半導体装置の製造方法の第 3実施形態 (以下、第 3実施形態 方法という。)を、以上の構成に係る第 2実施形態装置を用いて半導体装置の製造ェ 程の一工程として基板に薄膜を堆積する場合について説明する。
[0065] 第 3実施形態方法が前記第 2実施形態方法と異なる点は、反応ガス供給ステップを 、処理室 1内雰囲気を反応ガスで一様にするステップと、処理室 1内雰囲気を反応ガ スで一様にした状態で RF電極 70に RF電力を印加してプラズマを発生させるステツ プとの 2段階に、分けた点である。すなわち、第 3実施形態方法は、 RFを印カロしてプ ラズマを発生させるステップ (イベント)前に、反応ガスプレパージステップ (イベント) を設けた点が、第 2実施形態方法と異なる。
[0066] 本発明者らは、プラズマ生成時のマッチング条件は処理室 1内の雰囲気 (温度、圧 力、導入ガス)〖こよって変わること、すなわち、温度、圧力、導入するガス種により、プ ラズマ生成時のマッチング条件が変わり、所望外のプラズマが基板上に供給され、膜 厚均一性や膜付着を悪化させることがあることを見出した。
例えば、反応ガスとしてアンモニア(NH )を用いる場合に、 NH の供給と同時に R
3 3
Fを印加すると、プラズマ生成時のマッチング条件が安定しな 、ことがある。
これに対して、本発明者らは、 RFを印加してプラズマを発生させるステップ (ィベン ト)前に、反応ガスプレパージステップ (イベント)を設け、処理室 1内が反応ガスで一 様になった後に、 RFを印加するようにすれば、プラズマを安定供給することが可能と なり、膜厚均一性や膜付着性の悪ィ匕を緩和できることを見出した。
第 3実施形態方法は、本発明者らが見出したこの知見に基づくものである。
[0067] 以下、第 3実施形態方法の成膜工程を、図 5に示された第 2実施形態装置を用い て図 6に示されたフローチャートに即して実施する場合について具体的に説明する。 なお、第 3実施形態方法では、初期成膜ステップにおいて、第 1反応ガスとして NH ガスを用いる。
3
[0068] 図 6に示されたフローチャートの初期成膜ステップにおいては、原料ガス供給ステツ プ、原料ガスパージステップが行われた後に、第 1反応ガス供給ステップにおいて、 処理室 1内に第 1反応ガスとしての NH が供給される。
3
すなわち、図 5に示された第 2実施形態装置において、バルブ 48が開かれバルブ 4 4が閉じられた状態 (第 1反応ガスが処理室 1をバイパスした状態)から、バルブ 48が 閉じられ、バルブ 44が開かれ、処理室 1内に NH が反応ガス供給管 68を通って供
3
給され、シャワーヘッド 12上に導かれ、多数のシャワー孔 8で分散される。
NH の供給を所定時間継続することで処理室 1内雰囲気が NHで一様に満たさ
3 3
れ均一な濃度となる(第 1反応ガス一様化ステップ)。
その状態で、 RF電極 70に高周波電力(RF電力)が印加されることにより、 NH は
3 プラズマ励起される。 NH はこの段階で、原子状の水素や水素イオン等の活性種と
3
して基板 2上に供給される。また、印加する RFは、高周波電源ユニット 13により最適 な出力に制御される(第 1反応ガス一様下 RF印加ステップ)。
第 1反応ガス供給ステップ後、 RF電極 70への高周波の印加を停止し、第 1反応ガ スパージステップが行われる。
[0069] 以上のような、原料ガス供給ステップ、原料ガスパージステップ、処理室内雰囲気を 第 1反応ガスで一様にするステップ (第 1反応ガス供給ステップの第 1段階)、処理室 内を第 1反応ガスで一様にした状態で RFを印加してプラズマを発生させるステップ( 第 1反応ガス供給ステップの第 2段階)、第 1反応ガスパージステップを 1サイクルとし て、このサイクルを複数回繰り返すサイクル処理により、基板 2上に所定膜厚の Ru膜 を形成することができる。 [0070] 第 3実施形態方法では、本成膜ステップにおける第 2反応ガス供給ステップも初期 成膜ステップと同様に行う。
すなわち、本成膜ステップにおいて、原料ガス供給ステップ、原料ガスパージステツ プが行われた後に、第 2反応ガス供給ステップにお 、て処理室 1内に第 2反応ガスと しての O が供給される。
2
すなわち、バルブ 66が開かれ、バルブ 65が閉じられた状態から、バルブ 66が閉じ られ、バルブ 65が開かれる。処理室 1内に O が反応ガス供給管 68を通って供給さ
2
れ、シャワーヘッド 12上に導かれ、多数のシャワー孔 8で分散される。
o の供給を所定時間継続することにより、処理室 1内雰囲気が oで一様に満たさ
2 2
れ均一な濃度となる(第 2反応ガス一様化ステップ)。
その状態で、 RF電極 70に RFが印加されることにより、 O はプラズマ励起される。
2
O は、この段階で、原子状の酸素や酸素イオン等の活性種として基板 2上に供給さ
2
れる(第 2反応ガス一様下 RF印加ステップ)。
第 2反応ガス供給ステップ後、 RF電極 70への高周波の印加を停止し、第 2反応ガ スパージステップが実施される。
[0071] 以上のような、原料ガス供給ステップ、原料ガスパージステップ、処理室内雰囲気を 第 2反応ガスで一様にするステップ (第 2反応ガス供給ステップの第 1段階)、処理室 内を第 2反応ガスで一様にした状態で RFを印加してプラズマを発生させるステップ( 第 2反応ガス供給ステップの第 2段階)、第 2反応ガスパージステップを、 1サイクルと して、このサイクルを複数回繰り返すサイクル処理により、初期成膜ステップで基板 2 上に形成された Ru膜の上に、所定膜厚の Ru膜を形成することができる。
[0072] 第 3実施形態方法によれば、反応ガス供給ステップを、処理室内雰囲気を反応ガス で一様にするステップと、その後、処理室内雰囲気を反応ガスで一様にした状態で R Fを印加してプラズマを発生させるステップと、の 2段階としたので、プラズマを安定供 給することが可能となり、膜厚均一性や膜付着性の悪ィ匕を緩和することができる。
[0073] なお、第 3実施形態方法にぉ 、ては、初期成膜ステップおよび本成膜ステップの両 ステップにおいて、反応ガス供給ステップを、処理室内雰囲気を反応ガスで一様に するステップと、その後、処理室内雰囲気を反応ガスで一様にした状態で RF電極に RF電力を印加してプラズマを発生させるステップと、の 2段階とする例にっ 、て説明 したが、初期成膜ステップおよび本成膜ステップのうち、何れか一方のステップのみ 反応ガス供給ステップを 2段階とするようにしてもょ 、。
例えば、反応ガスとして NHを供給する初期成膜ステップのみ、反応ガス供給ステ
3
ップを 2段階とするようにしてもょ 、。
[0074] また、第 3実施形態方法の手法を第 1実施形態方法に適用してもよい。すなわち、 第 1実施形態方法における初期成膜ステップの反応ガス供給ステップを、処理室内 雰囲気を反応ガスで一様にするステップと、その後、処理室内を反応ガスで一様にし た状態で RFを印加してプラズマを発生させるステップと、の 2段階とするようにしても よい。
[0075] ところで、初期成膜ステップにお!/、て反応ガスとして O等の酸素原子 (O)を含むガ
2
スを用いると、薄膜を形成する際の下地が酸化されてしまうことがある。
例えば、成膜の下地がノ リアメタル等の場合、初期成膜ステップにおいて反応ガス として O等の酸素原子 (O)を含むガスを用いると、下地のノ リアメタル膜 (例えば、 T
2
iN)が酸化され、絶縁膜 (TiO )が形成されてコンタクト抵抗が高くなり、デバイス特
2
性が悪ィ匕すると 、う問題が生じる。
[0076] これに対して、本発明によれば、初期成膜ステップでは、反応ガスとして Hや NH
2 3 等の酸素原子を含まな 、反応ガスを用いるので、下地のノ リアメタル膜は酸ィ匕される ことはない。
また、本成膜ステップは、下地のノ リアメタル膜が初期成膜ステップで形成された膜 で覆われた状態で行われるので、反応ガスとして O等の酸素原子を含むガスを用い
2
ても下地のノ リアメタル膜が酸ィ匕されることはな ヽ。
このように、本発明によれば、下地を酸化させることなく成膜することができる。
[0077] 次に、半導体装置の一例である DRAMの製造方法においてキャパシタを形成する 工程に、本発明を適用する実施の形態、すなわち、 DRAMのキャパシタの下部電極 膜および上部電極膜を形成する工程に本発明を適用する実施の形態を、図 7を用い て説明する。
[0078] 図 7は下部電極膜および上部電極膜を含む DRAMキャパシタの一部を示す断面 図である。
初めに、シリコン製の基板 2上に、 SiO等の絶縁体カゝらなる層間絶縁膜 80を形成
2
する。
その後、層間絶縁膜 80を貫通するように、コンタクトホール 87を開口する。
続いて、開口したコンタクトホール 87の内部に、シリコン基板 2と接続するためのコ ンタクトプラグ 81を形成する。コンタクトプラグ 81の材料としては、タングステン (W)等 が例示される。
続いて、コンタクトプラグ 81の上部空間を埋めるようにバリアメタル膜 82を形成する 。 ノリアメタル膜 82の材料としては、 TiNや TaNが例示される。
なお、ノリアメタル膜 82は電極を構成する材料や酸化剤力 コンタクトプラグ 81に 拡散することを防止する。
続いて、層間絶縁膜 80上とバリアメタル膜 82上の全面に、層間絶縁膜 83を形成す る。
その後、層間絶縁膜 83を貫通するようにコンタクトホール 88を開口する。
続いて、前述した第 1実施形態方法、第 2実施形態方法および第 3実施形態方法 の何れかの成膜法を適用することにより、コンタクトホール 88内と層間絶縁膜 83上の 全面に Ru膜等カゝらなる下部電極膜 84を形成する。
続いて、コンタクトホール 88内の下部電極膜 84を残留させつつ、層間絶縁膜 83上 の下部電極膜 84を除去する。
その後、残留させたコンタクトホール 88内の下部電極膜 84の内部をエッチングし、 下部電極膜 84の形状をシリンダ状とする。
続いて、下部電極膜 84上と層間絶縁膜 83上の全面に、容量絶縁膜 85を形成する 。容量絶縁膜 85の材料としては、 Ta Oや Al Oや ZrO等が例示される。
2 5 2 3 2
最後に、前述した第 1実施形態方法、第 2実施形態方法および第 3実施形態方法 の何れかの成膜法を適用することにより、容量絶縁膜 85上の全面に Ru膜等カゝらなる 上部電極膜 86を形成して、図 7に示された DRAMキャパシタの製造を完了する。 なお、前述した第 1実施形態方法、第 2実施形態方法および第 3実施形態方法の 何れかの成膜法は、 DRAMキャパシタの下部電極膜 84を形成する場合のみに適用 し、上部電極膜 86を形成する際には、第 1実施形態方法、第 2実施形態方法および 第 3実施形態方法の何れかの本成膜ステップのみ行う成膜法 (初期成膜ステップを 省略した成膜法)、すなわち、酸素原子を含む反応ガスを用いる成膜法を用いるよう にしてもよい。
[0080] 例えば、上部電極膜 86につ ヽては、原料ガスと酸素原子を含む反応ガスとを同時 に供給する熱 CVD法を用いてもよ ヽし、原料ガスと酸素原子を含む反応ガスとを交 互に供給する ALD法を用いてもょ 、。
というのは、 DRAMキャパシタの上部電極膜 86を形成する際の下地は絶縁膜であ り、酸素原子を含む反応ガスを用 ヽても問題とはならな ヽからである。
これに対して、下部電極膜 84を形成する際の下地はバリアメタル膜 82であり、酸素 原子を含む反応ガスを用いた場合、下地であるバリアメタル膜 82が酸ィ匕してしまい、 コンタクト抵抗が増大してデバイス特性が悪ィ匕するという問題が生じることとなる。 よって、下部電極膜 84を形成する際については、前述した本発明の第 1実施形態 方法、第 2実施形態方法および第 3実施形態方法の何れかの成膜法が最適の実施 形態となる。
[0081] 前記した課題を解決するための手段のうち代表的なものをまとめて示すと、次の通 りである。
(1)処理室内に基板を搬入するステップと、
前記処理室内に原料ガスを供給して基板上に吸着させるステップと、前記処理室 内に酸素原子を含まない第 1反応ガスを供給して基板上に吸着した前記原料ガスと 反応させて基板上に薄膜を生成するステップと、を 1サイクルとしてこのサイクルを複 数回繰り返し、基板上に所望膜厚の薄膜を形成する初期成膜ステップと、
前記処理室内に原料ガスを供給して基板上に吸着させるステップと、前記処理室 内に酸素原子を含む第 2反応ガスを供給して基板上に吸着した前記原料ガスと反応 させて基板上に薄膜を生成するステップと、を 1サイクルとしてこのサイクルを複数回 繰り返し、前記初期成膜ステップにおいて基板上に形成された薄膜上に所望膜厚の 薄膜を形成する本成膜ステップと、
所望膜厚の薄膜形成後の基板を前記処理室内から搬出するステップと、 を有する半導体装置の製造方法。
(2)前記(1)において、前記第 1反応ガスは水素原子を含むガスである半導体装置 の製造方法。
(3)前記(1)において、前記第 1反応ガスは Hまたは NHであり、前記第 2反応ガス
2 3
は Oである半導体装置の製造方法。
2
(4)前記(1)において、前記第 1反応ガスおよび前記第 2反応ガスは、前記処理室内 に供給された後、プラズマで活性ィ匕してカゝら基板に対して供給するようにする半導体 装置の製造方法。
(5)前記(1)において、前記第 1反応ガスおよび前記第 2反応ガスは、前記処理室内 に供給された後、前記処理室内に設けられた RF電極に RF電力を印加して発生させ たプラズマで活性ィ匕してカゝら基板に対して供給するようにし、前記 RF電極に印加す る RF電力の値を前記第 1反応ガス供給時と前記第 2反応ガス供給時とで、それぞれ 異ならせるようにする半導体装置の製造方法。
(6)前記(1)において、前記第 1反応ガスを供給するステップは、前記処理室内に前 記第 1反応ガスを供給して前記処理室内を前記第 1反応ガスで一様にするステップと 、前記処理室内を前記第 1反応ガスで一様にした状態で前記処理室内に設けられた RF電極に RF電力を印加してプラズマを発生させ、このプラズマで活性ィ匕した前記 第 1反応ガスを基板に対して供給するステップと、
を有する半導体装置の製造方法。
(7)前記(6)において、前記第 2反応ガスを供給するステップは、前記処理室内に前 記第 2反応ガスを供給して前記処理室内を前記第 2反応ガスで一様にするステップと 、前記処理室内を前記第 2反応ガスで一様にした状態で前記処理室内に設けられた 前記 RF電極に RF電力を印加してプラズマを発生させ、このプラズマで活性ィ匕した 前記第 2反応ガスを基板に対して供給するステップと、
を有する半導体装置の製造方法。
(8)処理室内に基板を搬入するステップと、
前記処理室内に原料ガスを供給するステップと、前記処理室内に酸素原子を含ま な 、第 1反応ガスを供給して前記処理室内を前記第 1反応ガスで一様にするステツ プと、前記処理室内を前記第 1反応ガスで一様にした状態で前記処理室内に設けら れた RF電極に RF電力を印加してプラズマを発生させ、このプラズマで活性ィ匕した前 記第 1反応ガスを基板に対して供給するステップと、を 1サイクルとしてこのサイクルを 複数回繰り返し、基板上に所望膜厚の薄膜を形成する初期成膜ステップと、 前記処理室内に原料ガスを供給するステップと、前記処理室内に酸素原子を含む 第 2反応ガスを供給して前記処理室内を前記第 2反応ガスで一様にするステップと、 前記処理室内を前記第 2反応ガスで一様にした状態で前記処理室内に設けられた 前記 RF電極に RF電力を印加してプラズマを発生させ、このプラズマで活性ィ匕した 前記第 2反応ガスを基板に対して供給するステップと、を 1サイクルとしてこのサイクル を複数回繰り返し、前記初期成膜ステップにおいて基板上に形成された薄膜上に所 望膜厚の薄膜を形成する本成膜ステップと、
所望膜厚の薄膜形成後の基板を前記処理室内から搬出するステップと、 を有する半導体装置の製造方法。
(9)処理室内に基板を搬入するステップと、
前記処理室内に原料ガスを供給するステップと、前記処理室内に反応ガスを供給 して前記処理室内を前記反応ガスで一様にするステップと、前記処理室内を前記反 応ガスで一様にした状態で前記処理室内に設けられた RF電極に RF電力を印加し てプラズマを発生させ、このプラズマで活性ィ匕した前記反応ガスを基板に対して供給 するステップと、を 1サイクルとしてこのサイクルを複数回繰り返し、基板上に所望膜厚 の薄膜を形成するステップと、
所望膜厚の薄膜形成後の基板を前記処理室内から搬出するステップと、 を有する半導体装置の製造方法。
(10)基板を処理する処理室と、
前記処理室内に原料ガスを供給する原料ガス供給ラインと、
前記処理室内に酸素原子を含まない第 1反応ガスを供給する第 1反応ガス供給ラ インと、
前記処理室内に酸素原子を含む第 2反応ガスを供給する第 2反応ガス供給ラインと 前記処理室内を排気する排気ラインと、
前記処理室内への前記原料ガスの供給と、前記処理室内への前記第 1反応ガスの 供給と、を 1サイクルとしてこのサイクルを複数回繰り返した後、前記処理室内への原 料ガスの供給と、前記処理室内への前記第 2反応ガスの供給と、を 1サイクルとしてこ のサイクルを複数回繰り返すように制御するコントローラと、
を有する基板処理装置。
(11)基板を処理する処理室と、
前記処理室内に原料ガスを供給する原料ガス供給ラインと、
前記処理室内に酸素原子を含まない第 1反応ガスを供給する第 1反応ガス供給ラ インと、
前記処理室内に酸素原子を含む第 2反応ガスを供給する第 2反応ガス供給ラインと 前記処理室内に設けられプラズマを発生させるための RF電極と、
前記 RF電極に RF電力を印加する RF電源と、
前記処理室内を排気する排気ラインと、
前記処理室内に前記原料ガスを供給し、その後前記処理室内に前記第 1反応ガス を供給して前記処理室内を前記第 1反応ガスで一様にし、その状態で前記 RF電極 に RF電力を印加してプラズマを発生させてこのプラズマで活性ィ匕した前記第 1反応 ガスを基板に対して供給し、これを 1サイクルとしてこのサイクルを複数回繰り返した 後、前記処理室内に前記原料ガスを供給し、その後前記処理室内に前記第 2反応 ガスを供給して前記処理室内を前記第 2反応ガスで一様にし、その状態で前記 RF 電極に RF電力を印加してプラズマを発生させてこのプラズマで活性ィ匕した前記第 2 反応ガスを基板に対して供給し、これを 1サイクルとしてこのサイクルを複数回繰り返 すように制御するコントローラと、
を有する基板処理装置。
( 12)基板を処理する処理室と、
前記処理室内に原料ガスを供給する原料ガス供給ラインと、
前記処理室内に反応ガスを供給する反応ガス供給ラインと、 前記処理室内に設けられプラズマを発生させるための RF電極と、
前記 RF電極に RF電力を印加する RF電源と、
前記処理室内を排気する排気ラインと、
前記処理室内に前記原料ガスを供給し、その後前記処理室内に前記反応ガスを 供給して前記処理室内を前記反応ガスで一様にし、その状態で前記 RF電極に RF 電力を印加してプラズマを発生させてこのプラズマで活性ィ匕した前記反応ガスを基 板に対して供給し、これを 1サイクルとしてこのサイクルを複数回繰り返すように制御 するコントローラと、
を有する基板処理装置。

Claims

請求の範囲
[1] 処理室内に基板を搬入するステップと、
前記処理室内に原料ガスを供給して基板上に吸着させるステップと、前記処理室 内に酸素原子を含まない第 1反応ガスを供給して基板上に吸着した前記原料ガスと 反応させて基板上に薄膜を生成するステップと、を 1サイクルとしてこのサイクルを複 数回繰り返し、基板上に所望膜厚の薄膜を形成する初期成膜ステップと、
前記処理室内に原料ガスを供給して基板上に吸着させるステップと、前記処理室 内に酸素原子を含む第 2反応ガスを供給して基板上に吸着した前記原料ガスと反応 させて基板上に薄膜を生成するステップと、を 1サイクルとしてこのサイクルを複数回 繰り返し、前記初期成膜ステップにおいて基板上に形成された薄膜上に所望膜厚の 薄膜を形成する本成膜ステップと、
所望膜厚の薄膜形成後の基板を前記処理室内から搬出するステップと、 を有する半導体装置の製造方法。
[2] 請求項 1にお 、て、前記第 1反応ガスは水素原子を含むガスである半導体装置の 製造方法。
[3] 請求項 1において、前記第 1反応ガスは Hまたは NHであり、前記第 2反応ガスは
2 3
Oである半導体装置の製造方法。
2
[4] 請求項 1において、前記第 1反応ガスおよび前記第 2反応ガスは、前記処理室内に 供給された後、プラズマで活性ィ匕してカゝら基板に対して供給するようにする半導体装 置の製造方法。
[5] 請求項 1において、前記第 1反応ガスおよび前記第 2反応ガスは、前記処理室内に 供給された後、前記処理室内に設けられた RF電極に RF電力を印加して発生させた プラズマで活性ィ匕してカゝら基板に対して供給するようにし、前記 RF電極に印加する RF電力の値を前記第 1反応ガス供給時と前記第 2反応ガス供給時とで、それぞれ異 ならせるようにする半導体装置の製造方法。
[6] 請求項 1において、前記第 1反応ガスを供給するステップは、前記処理室内に前記 第 1反応ガスを供給して前記処理室内を前記第 1反応ガスで一様にするステップと、 前記処理室内を前記第 1反応ガスで一様にした状態で前記処理室内に設けられた RF電極に RF電力を印加してプラズマを発生させ、このプラズマで活性ィ匕した前記 第 1反応ガスを基板に対して供給するステップと、
を有する半導体装置の製造方法。
[7] 請求項 6において、前記第 2反応ガスを供給するステップは、前記処理室内に前記 第 2反応ガスを供給して前記処理室内を前記第 2反応ガスで一様にするステップと、 前記処理室内を前記第 2反応ガスで一様にした状態で前記処理室内に設けられた 前記 RF電極に RF電力を印加してプラズマを発生させ、このプラズマで活性ィ匕した 前記第 2反応ガスを基板に対して供給するステップと、
を有する半導体装置の製造方法。
[8] 処理室内に基板を搬入するステップと、
前記処理室内に原料ガスを供給するステップと、前記処理室内に酸素原子を含ま な 、第 1反応ガスを供給して前記処理室内を前記第 1反応ガスで一様にするステツ プと、前記処理室内を前記第 1反応ガスで一様にした状態で前記処理室内に設けら れた RF電極に RF電力を印加してプラズマを発生させ、このプラズマで活性ィ匕した前 記第 1反応ガスを基板に対して供給するステップと、を 1サイクルとしてこのサイクルを 複数回繰り返し、基板上に所望膜厚の薄膜を形成する初期成膜ステップと、 前記処理室内に原料ガスを供給するステップと、前記処理室内に酸素原子を含む 第 2反応ガスを供給して前記処理室内を前記第 2反応ガスで一様にするステップと、 前記処理室内を前記第 2反応ガスで一様にした状態で前記処理室内に設けられた 前記 RF電極に RF電力を印加してプラズマを発生させ、このプラズマで活性ィ匕した 前記第 2反応ガスを基板に対して供給するステップと、を 1サイクルとしてこのサイクル を複数回繰り返し、前記初期成膜ステップにおいて基板上に形成された薄膜上に所 望膜厚の薄膜を形成する本成膜ステップと、
所望膜厚の薄膜形成後の基板を前記処理室内から搬出するステップと、 を有する半導体装置の製造方法。
[9] 処理室内に基板を搬入するステップと、
前記処理室内に原料ガスを供給するステップと、前記処理室内に反応ガスを供給 して前記処理室内を前記反応ガスで一様にするステップと、前記処理室内を前記反 応ガスで一様にした状態で前記処理室内に設けられた RF電極に RF電力を印加し てプラズマを発生させ、このプラズマで活性ィ匕した前記反応ガスを基板に対して供給 するステップと、を 1サイクルとしてこのサイクルを複数回繰り返し、基板上に所望膜厚 の薄膜を形成するステップと、
所望膜厚の薄膜形成後の基板を前記処理室内から搬出するステップと、 を有する半導体装置の製造方法。
[10] 基板を処理する処理室と、
前記処理室内に原料ガスを供給する原料ガス供給ラインと、
前記処理室内に酸素原子を含まない第 1反応ガスを供給する第 1反応ガス供給ラ インと、
前記処理室内に酸素原子を含む第 2反応ガスを供給する第 2反応ガス供給ラインと 前記処理室内を排気する排気ラインと、
前記処理室内への前記原料ガスの供給と、前記処理室内への前記第 1反応ガスの 供給と、を 1サイクルとしてこのサイクルを複数回繰り返した後、前記処理室内への原 料ガスの供給と、前記処理室内への前記第 2反応ガスの供給と、を 1サイクルとしてこ のサイクルを複数回繰り返すように制御するコントローラと、
を有する基板処理装置。
[11] 基板を処理する処理室と、
前記処理室内に原料ガスを供給する原料ガス供給ラインと、
前記処理室内に酸素原子を含まない第 1反応ガスを供給する第 1反応ガス供給ラ インと、
前記処理室内に酸素原子を含む第 2反応ガスを供給する第 2反応ガス供給ラインと 前記処理室内に設けられプラズマを発生させるための RF電極と、
前記 RF電極に RF電力を印加する RF電源と、
前記処理室内を排気する排気ラインと、
前記処理室内に前記原料ガスを供給し、その後前記処理室内に前記第 1反応ガス を供給して前記処理室内を前記第 1反応ガスで一様にし、その状態で前記 RF電極 に RF電力を印加してプラズマを発生させてこのプラズマで活性ィ匕した前記第 1反応 ガスを基板に対して供給し、これを 1サイクルとしてこのサイクルを複数回繰り返した 後、前記処理室内に前記原料ガスを供給し、その後前記処理室内に前記第 2反応 ガスを供給して前記処理室内を前記第 2反応ガスで一様にし、その状態で前記 RF 電極に RF電力を印加してプラズマを発生させてこのプラズマで活性ィ匕した前記第 2 反応ガスを基板に対して供給し、これを 1サイクルとしてこのサイクルを複数回繰り返 すように制御するコントローラと、
を有する基板処理装置。
基板を処理する処理室と、
前記処理室内に原料ガスを供給する原料ガス供給ラインと、
前記処理室内に反応ガスを供給する反応ガス供給ラインと、
前記処理室内に設けられプラズマを発生させるための RF電極と、
前記 RF電極に RF電力を印加する RF電源と、
前記処理室内を排気する排気ラインと、
前記処理室内に前記原料ガスを供給し、その後前記処理室内に前記反応ガスを 供給して前記処理室内を前記反応ガスで一様にし、その状態で前記 RF電極に RF 電力を印加してプラズマを発生させてこのプラズマで活性ィ匕した前記反応ガスを基 板に対して供給し、これを 1サイクルとしてこのサイクルを複数回繰り返すように制御 するコントローラと、
を有する基板処理装置。
PCT/JP2006/322437 2005-11-18 2006-11-10 半導体装置の製造方法および基板処理装置 WO2007058120A1 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/084,141 US7968437B2 (en) 2005-11-18 2006-11-10 Semiconductor device manufacturing method and substrate processing apparatus
JP2007545218A JP5097554B2 (ja) 2005-11-18 2006-11-10 半導体装置の製造方法、基板処理方法および基板処理装置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005-333489 2005-11-18
JP2005333489 2005-11-18

Publications (1)

Publication Number Publication Date
WO2007058120A1 true WO2007058120A1 (ja) 2007-05-24

Family

ID=38048507

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2006/322437 WO2007058120A1 (ja) 2005-11-18 2006-11-10 半導体装置の製造方法および基板処理装置

Country Status (5)

Country Link
US (1) US7968437B2 (ja)
JP (1) JP5097554B2 (ja)
KR (1) KR100975268B1 (ja)
TW (1) TWI383449B (ja)
WO (1) WO2007058120A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010168349A (ja) * 2008-12-25 2010-08-05 Tosoh Corp ルテニウム化合物、その製法及びそれを用いた成膜法
WO2013051670A1 (ja) * 2011-10-07 2013-04-11 気相成長株式会社 コバルト系膜形成方法、コバルト系膜形成材料、及び新規化合物
US9441291B2 (en) 2014-05-12 2016-09-13 Tokyo Electron Limited Method of depositing a film

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
US7751362B2 (en) * 2007-10-19 2010-07-06 Rebelvox Llc Graceful degradation for voice communication services over wired and wireless networks
WO2010001849A1 (ja) * 2008-07-04 2010-01-07 シャープ株式会社 真空処理装置及びガス供給方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2010267925A (ja) * 2009-05-18 2010-11-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5774822B2 (ja) * 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5723678B2 (ja) * 2011-05-31 2015-05-27 東京エレクトロン株式会社 プラズマ処理装置及びそのガス供給方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
EP2813858B1 (en) * 2013-06-14 2016-06-08 Rasco GmbH Method of contacting integrated circuit components in a test system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20160012302A (ko) * 2014-07-23 2016-02-03 삼성전자주식회사 기판 제조 방법 및 그에 사용되는 기판 제조 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6653408B2 (ja) * 2017-02-21 2020-02-26 株式会社アルバック 成膜方法、成膜装置、素子構造体の製造方法、及び素子構造体の製造装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP7094154B2 (ja) 2018-06-13 2022-07-01 東京エレクトロン株式会社 成膜装置および成膜方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
KR20210016478A (ko) * 2018-06-29 2021-02-15 램 리써치 코포레이션 웨이퍼들을 프로세싱하기 위한 방법 및 장치
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10725484B2 (en) * 2018-09-07 2020-07-28 Mks Instruments, Inc. Method and apparatus for pulse gas delivery using an external pressure trigger
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
FI129501B (en) 2019-04-25 2022-03-31 Beneq Oy Gas distribution unit in connection with the ALD reactor
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6937806B2 (ja) * 2019-09-25 2021-09-22 株式会社Kokusai Electric 基板処理装置、及び半導体の製造方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003253444A (ja) * 2002-03-04 2003-09-10 Kojundo Chem Lab Co Ltd 化学気相成長法による銅配線薄膜の製造方法およびその原料溶液
JP2005509093A (ja) * 2001-11-08 2005-04-07 ジニテック カンパニー リミテッド 薄膜形成方法
JP2005513813A (ja) * 2001-12-28 2005-05-12 ジェニテック カンパニー リミテッド 基板上に半導体集積回路用銅配線を形成する方法
JP2006506811A (ja) * 2002-11-14 2006-02-23 ジエヌス・インコーポレイテツド 汎用金属送出源(gmds)を提供し、汎用金属送出源を原子層堆積(ald)と一体化する方法および装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5237756A (en) * 1990-08-28 1993-08-24 Materials Research Corporation Method and apparatus for reducing particulate contamination
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) * 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005509093A (ja) * 2001-11-08 2005-04-07 ジニテック カンパニー リミテッド 薄膜形成方法
JP2005513813A (ja) * 2001-12-28 2005-05-12 ジェニテック カンパニー リミテッド 基板上に半導体集積回路用銅配線を形成する方法
JP2003253444A (ja) * 2002-03-04 2003-09-10 Kojundo Chem Lab Co Ltd 化学気相成長法による銅配線薄膜の製造方法およびその原料溶液
JP2006506811A (ja) * 2002-11-14 2006-02-23 ジエヌス・インコーポレイテツド 汎用金属送出源(gmds)を提供し、汎用金属送出源を原子層堆積(ald)と一体化する方法および装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010168349A (ja) * 2008-12-25 2010-08-05 Tosoh Corp ルテニウム化合物、その製法及びそれを用いた成膜法
US8748644B2 (en) 2008-12-25 2014-06-10 Tosoh Corporation Ruthenium compound, method of producing the same, method of producing ruthenium-containing thin film using the same, and ruthenium-containing thin film
WO2013051670A1 (ja) * 2011-10-07 2013-04-11 気相成長株式会社 コバルト系膜形成方法、コバルト系膜形成材料、及び新規化合物
JPWO2013051670A1 (ja) * 2011-10-07 2015-03-30 気相成長株式会社 コバルト系膜形成方法、コバルト系膜形成材料、及び新規化合物
US9428835B2 (en) 2011-10-07 2016-08-30 Gas-Phase Growth Ltd. Cobalt base film-forming method, cobalt base film-forming material, and novel compound
US9441291B2 (en) 2014-05-12 2016-09-13 Tokyo Electron Limited Method of depositing a film

Also Published As

Publication number Publication date
TW200731407A (en) 2007-08-16
US20090130859A1 (en) 2009-05-21
TWI383449B (zh) 2013-01-21
KR100975268B1 (ko) 2010-08-11
US7968437B2 (en) 2011-06-28
KR20080032174A (ko) 2008-04-14
JPWO2007058120A1 (ja) 2009-04-30
JP5097554B2 (ja) 2012-12-12

Similar Documents

Publication Publication Date Title
JP5097554B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
JP3670628B2 (ja) 成膜方法、成膜装置、および半導体装置の製造方法
US8435905B2 (en) Manufacturing method of semiconductor device, and substrate processing apparatus
US9496134B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and semiconductor device
JP5462885B2 (ja) 半導体装置の製造方法および基板処理装置
JP4449226B2 (ja) 金属酸化膜の改質方法、金属酸化膜の成膜方法及び熱処理装置
JP3437832B2 (ja) 成膜方法及び成膜装置
US8896097B2 (en) Method of manufacturing capacitor, capacitor and method of forming dielectric film for use in capacitor
JP5207962B2 (ja) ルテニウム膜の成膜方法
JP4753841B2 (ja) 半導体デバイスの製造方法
JP2007154297A (ja) 成膜方法および成膜装置
JP2011068984A (ja) 半導体装置の製造方法、クリーニング方法および基板処理装置
WO2012090831A1 (ja) 半導体デバイスの製造方法および基板処理装置
JP4559223B2 (ja) 半導体装置の製造方法及び基板処理装置
JP3968869B2 (ja) 成膜処理方法及び成膜処理装置
WO2006126440A1 (ja) 成膜方法およびコンピュータにより読み取り可能な記憶媒体
JP2014194081A (ja) 半導体装置の製造方法、基板処理方法、基板処理装置および半導体装置
JPWO2009104620A1 (ja) 成膜方法および記憶媒体
JP5095230B2 (ja) SrTiO3膜の成膜方法およびコンピュータ読取可能な記憶媒体
JP2004530294A (ja) 薄膜形成方法および薄膜形成装置
JP2008205325A (ja) 半導体装置の製造方法、及び基板処理装置
TW200525616A (en) Film formation method and apparatus for semiconductor process
JP2008050662A (ja) 基板処理装置
JP2009299101A (ja) 半導体装置の製造方法および基板処理装置
JP2007059735A (ja) 半導体装置の製造方法および基板処理装置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007545218

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020087003295

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 12084141

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 06832489

Country of ref document: EP

Kind code of ref document: A1