WO2004027440A1 - 集積回路試験装置および試験方法 - Google Patents

集積回路試験装置および試験方法 Download PDF

Info

Publication number
WO2004027440A1
WO2004027440A1 PCT/JP2002/009606 JP0209606W WO2004027440A1 WO 2004027440 A1 WO2004027440 A1 WO 2004027440A1 JP 0209606 W JP0209606 W JP 0209606W WO 2004027440 A1 WO2004027440 A1 WO 2004027440A1
Authority
WO
WIPO (PCT)
Prior art keywords
pattern
integrated circuit
selecting
fault
input
Prior art date
Application number
PCT/JP2002/009606
Other languages
English (en)
French (fr)
Inventor
Takahisa Hiraide
Original Assignee
Fujitsu Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Limited filed Critical Fujitsu Limited
Priority to PCT/JP2002/009606 priority Critical patent/WO2004027440A1/ja
Priority to JP2004537515A priority patent/JP4174048B2/ja
Publication of WO2004027440A1 publication Critical patent/WO2004027440A1/ja
Priority to US11/023,457 priority patent/US7266746B2/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/31813Test pattern generators
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318342Generation of test inputs, e.g. test vectors, patterns or sequences by preliminary fault modelling, e.g. analysis, simulation
    • G01R31/31835Analysis of test coverage or failure detectability
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318385Random or pseudo-random test pattern

Definitions

  • the present invention relates to an integrated circuit test device and a test method for detecting a manufacturing defect of an integrated circuit (LSI).
  • LSI integrated circuit
  • LSI manufacturing defects in an integrated circuit
  • ATE tester
  • the signal value of this input pin and the expected value of the output pin together are called a test pattern.
  • faults Defects that occur inside the LSI due to LSI manufacturing defects
  • many test patterns are required to verify all possible faults inside the LSI.
  • the ratio of faults that can be verified among the faults assumed inside the LSI in a certain test pattern is referred to as a diagnostic rate (or detection rate), and is used as a measure for measuring the quality of the test pattern.
  • ATPG is widely used to obtain a high diagnostic rate.
  • the path activation algorithm consists of two basic steps: fault excitation for detecting a fault (f au 1 t ex c i t a t i on) and fault propagation: f au l t — e f f e c e t p r op ag a t i on).
  • the fault assumption point is set to a value opposite to the fault value.
  • the state value of the fault point differs between the case where the fault exists and the case where it is normal. This state is called a failure.
  • FIG. 10 shows an example of the activation pathway.
  • the activation path on the circuit of FIG. 10 is indicated by a thick solid line. Also, in this activation path, a signal value for fault excitation is set on the signal line a, and a signal value for fault propagation is set on the signal lines c and d.
  • a shift register (called a scan path) is formed by sequential circuit elements mainly composed of F / F inside the LSI, and the desired value is shifted in during the test, and after the clock is applied.
  • a scan design for reading a shift register value to the outside is performed.
  • a method called “Deterministic Stored Pattern Test (DSPT)”, in which a test pattern created by ATPG is stored in a tester, is widely used.
  • Fig. 11 is a conceptual diagram of DSPT.
  • the test pattern TP1 is shifted into the scan path SP provided inside the LSI, and the test pattern TP2 is shifted out.
  • the number of sequential circuit elements included in the LSI has increased significantly with the increase in the degree of LSI integration, so that setting and reading are repeated for every sequential circuit element that constitutes a scan path for each test pattern.
  • Applying PT is becoming more difficult due to the increase in test time and test data.
  • the tightness of the tester's memory capacity due to an increase in the amount of test data will significantly increase test costs, such as increasing memory and upgrading testers.
  • FIG. 12 is a conceptual diagram of the BIST.
  • a random pattern generated by the pseudorandom pattern generator 91 is applied to the internal circuit 90 of the LSI, and the output result is verified and stored by the output verifier 92.
  • a linear feedback shift register (LFSR) is often used for the pseudo-random pattern generator 91 and the output verifier 92.
  • LFSR linear feedback shift register
  • the output verifier 92 uses a multi-input to compress and store the output result as a signature. This is called the Tossigner Register (MISR).
  • MISR Tossigner Register
  • the pattern generator is mounted inside the LSI, there is no need to store input test patterns in external tests, and the amount of data to be loaded into the tester to compress test results using MISR is reduced. Overwhelmingly reduced.
  • the number of scan paths is increased to speed up the shift-in / shift-fit operation to the scan path, thereby shortening the test time.
  • BIST can remedy the above-mentioned problems of DSPT, it does have some drawbacks. Since BIST uses a pseudo-random pattern, there is a problem with test quality (diagnosis rate). To increase the diagnostic rate, apply DSPT as an additional test or insert test points in the circuit inside the LSI to increase controllability and observability. Need to enter.
  • Japanese Patent Application No. 1-37 223 1 “Integrated Circuit Test Equipment and Test Method” shortened the test time and reduced the amount of test data.
  • a test device and a test method that enable high-quality testing were proposed. '
  • FIG. 13 shows a block diagram of a circuit of the test apparatus disclosed in the patent application.
  • the technology disclosed in the patent application is based on a BIST circuit, and corrects a pattern created by a pseudo-random pattern generator (LFSR) 93 to a pattern equivalent to ATPG by a pattern corrector 94, Shift into the scan pass.
  • LFSR pseudo-random pattern generator
  • the indeterminate state value in the scan path output is masked by the indeterminate mask unit 95 and compressed and stored in the MISR in the output verifier 96.
  • the number of patterns explicitly set to FZF in the pattern created by ATPG is a very small number (the number) of all FZFs.
  • the ATPG determines some required FZF values for some faults, and sets the remaining F / Fs to the values produced by the LFSR 93 provided inside the circuit. As a result, the difference between the random pattern and the test pattern can be reduced. However, if there are many faults to be targeted or if the activation is complicated, the number of F / Fs that the ATPG needs to set increases, and the test cost reduction rate deteriorates.
  • An object of the present invention is to provide a test apparatus and a test method for generating a test pattern in which a difference from a random number pattern generated by a pseudo random number pattern generator in a circuit is reduced as much as possible, thereby increasing a test cost reduction rate. It is to be. Disclosure of the invention
  • an integrated circuit test apparatus for automatically creating a test pattern
  • a selection is required in assigning a signal value of a test pattern
  • a difference from a random number pattern generated by a pseudo random number pattern generator in the circuit is determined.
  • a cost function Frlip—based Cost Function
  • ATPG requires a variety of choices to be made in the process of test pattern creation, and these choices are made according to certain selection criteria.
  • selection criteria two cost functions of controllability (controllability) and observability (0 bservabi 1 ity) are used.
  • Controllability Con troll ab ilit y
  • Observability (0 bser V abi 1 ity) indicates the difficulty in propagating the fault value of a signal line to the observation point.
  • controllability and observability are adopted as a cost function in selecting a signal value assignment of a test pattern, and the signal value is set so as to minimize the difference from the random number pattern based on the cost function calculation result. Is performed.
  • ATPG has a list of faults to be detected, and the fault list is sorted according to a certain criterion.
  • a test pattern for detecting the fault is created from a fault in the top of the list.
  • testapity is the difficulty in detecting a fault on a signal line. The testability can be calculated from the above two cost functions, controllability and sea bass measurement for a random number pattern given in advance. This makes it possible to further increase the cost reduction rate.
  • the present invention relates to an integrated circuit test apparatus for automatically generating a test pattern, which is difficult to set a certain value for a certain signal line when it is necessary to select a value in assigning a signal value to an input pattern.
  • First cost function calculating means for calculating the controllability, and selecting the signal value assignment based on the controllability so as to reduce the number of inversions of the controllable external input of the pattern to activate the path.
  • Path activating means for performing the following.
  • the present invention provides an integrated circuit test apparatus for automatically generating a test pattern, in which it is difficult to propagate a failure of a signal line to an observation point when a value must be selected in assigning a signal value to an input pattern.
  • Second cost function calculating means for calculating the observability, and selecting the signal value assignment based on the observability so as to reduce the number of controllable external input inversions of the pattern, thereby selecting a path activation.
  • Path activation means for performing the activation.
  • the present invention also provides an integrated circuit test apparatus for automatically generating a test pattern, A third cost function calculating means for calculating testability, which is a difficulty for detecting a fault in a certain signal line when selecting a fault detected by the input pattern; and a circuit based on the testability.
  • Target fault selecting means for selecting a target fault from all faults assumed inside.
  • the integrated circuit test apparatus creates a fault list including all faults assumed inside the circuit, and extracts, for example, one random number pattern generated by a pseudo random number pattern generator. Then, the signal value inside the circuit is determined by logic simulation for the random number pattern, the controllability and the observability of the entire circuit are calculated, and the test parity is calculated based on the controllability and the observability. I do. Then, the fault with the lowest testability is selected from the fault list as the target fault, and the target fault is activated using the controllability and observability of the random number pattern. Select the inversion so that the number of inversions of (signal value) becomes the minimum, and modify the random number pattern according to the selection. Furthermore, a failure simulation is performed using the correction pattern, and if another failure is detected, it is deleted from the failure list. BRIEF DESCRIPTION OF THE FIGURES
  • FIG. 1 is a block diagram of an integrated circuit test apparatus according to the present invention.
  • FIG. 2 is an internal configuration diagram of the test pattern creation device.
  • FIG. 3 is a processing flow chart of the test method according to the present invention.
  • FIG. 4 is a diagram showing a recalculation range of controllability.
  • Fig. 5 shows the recalculation range of observability and testability.
  • FIG. 6 is an explanatory diagram 1 of pathway activation.
  • FIG. 7 is an explanatory diagram 2 of pathway activation.
  • FIG. 8 is an explanatory diagram 3 of pathway activation.
  • FIG. 9 is an explanatory diagram 4 of pathway activation.
  • FIG. 10 is a diagram showing an example of an activation route.
  • FIG. 11 is a conceptual diagram of DSPT.
  • FIG. 12 is a conceptual diagram of BIST.
  • FIG. 13 is a block diagram of the circuit of the test apparatus disclosed in Japanese Patent Application Laid-Open Publication No. HEI 12-372323, “Integrated circuit test apparatus and test method”. BEST MODE FOR CARRYING OUT THE INVENTION
  • FIG. 1 shows a block diagram of an integrated circuit test apparatus according to the present invention.
  • the integrated circuit test equipment consists of a test pattern generator (ATPG) 10 and a circuit 20.
  • the circuit 20 includes a pseudo random number pattern generator (LFSR) 21, a pattern corrector 22, a scan path 23, and an output verifier (MISR) 24.
  • LFSR pseudo random number pattern generator
  • MISR output verifier
  • the pseudo random number pattern generator (LFSR) 21 is a means for generating a random number pattern 30 using pseudo random numbers.
  • the pattern corrector 22 is means for inverting some values of the random number pattern 30 generated by the LFSR 21 by the control signal 32 from the test pattern generation device (A TPG) 10 and shifting in to the scan path 23.
  • the output verifier (MISR) 24 is means for compressing and storing the output of the scan path 23.
  • FIG. 2 shows an internal configuration diagram of the test pattern creation device (ATPG) 10.
  • a TPG 10 consists of a failure list creation unit 11, a random number pattern input unit 12, a logic simulation unit 13, a cost function calculation unit 14, a target failure selection unit 15, a path activation unit 16, and a failure simulation. It consists of a part 17 and a failure list change part 18.
  • the failure list creation unit 11 is a means for creating a failure list 31 including all failures assumed inside the circuit.
  • the random number pattern input unit 12 is means for extracting one random number pattern 30 created by the LFSR 21 configured in the circuit 20.
  • the logic simulation unit 13 is a means for performing a logic simulation based on the random number pattern 30 input by the random number pattern input unit 12 and determining a signal value inside the circuit.
  • the cost function calculation unit 14 is a means for calculating the cost functions of controllability, observability, and testability, respectively.
  • the cost function calculator 14 includes a controllability calculator 14 1 that calculates the controllability C (X), an observability calculator 14 2 that calculates the observability 0 (X), and a testability TO (x) and a testability Tl (X) for detecting stuck-at faults are provided.
  • the target fault selecting unit 15 is a means for selecting a fault having the lowest testability calculated by the cost function calculating unit 14 from the faults in the fault list 31 as a target fault.
  • the path activating unit 16 activates a path using the cost function of controllability and observability for the target fault selected by the target fault selecting unit 15, and controls a number of external randomizable patterns 30. This is a means for creating a correction pattern in which the input (signal value) is inverted and outputting a control signal 32 for inverting the value of the random number pattern 30.
  • the failure simulation unit 17 is means for performing a failure simulation using the correction pattern and extracting another detected failure.
  • the failure list changing unit 18 is means for deleting the detected failure extracted by the failure simulation unit 17 from the failure list 31.
  • FIG. 3 shows a processing flow chart of the present invention.
  • the ATPG 10 creates a failure list 31 including all failures assumed inside the circuit by the failure list creation unit 11 (step S1). Then, one random number pattern 30 is extracted from the LFSR 21 by the random number pattern input unit 12 (step S2). Then, a logic simulation is performed by the logic simulation unit 13 based on the inputted random number pattern 30, and a signal value inside the circuit is determined (step S3). The logic simulation is performed by a known method.
  • Step S 4 the cost function of the controllability C (X), the observability 0 (X), and the testability TO (x) and T l (x) is converted by the cost function calculation unit 14 into the entire circuit whose signal value is determined.
  • the controllability C (X) is defined as a value representing the difficulty of inverting the signal value of a certain signal line X. Considering an AND gate with ⁇ inputs, assuming that the controllability of all inputs is known, the output controllability C (X) is calculated as follows.
  • the controllability C (x) of the whole circuit is calculated by first giving 1 to the controllability of the external input, that is, the random number pattern 30, and following the above formula (1) from the external input to the external output. This is done by repeating the calculation recursively.
  • the controllability C (X) represents the number of external inputs that need to be inverted to invert the signal value on line X.
  • observability 0 (X) is defined to represent the difficulty in transmitting a fault on a signal line X to an observation point. Assuming that the controllability of all inputs is known and that the observability 0 (X) of output X is known when we consider an AND gate with n inputs, the observability of a certain input d (D) is calculated as follows.
  • ⁇ (X) represents the number of external inputs that need to be inverted to propagate the fault on signal line X to the observation point.
  • testability TO (X) and T 1 (x) are defined to indicate the difficulty in creating a test pattern for detecting a stuck-at-0 fault or a stuck-at-1 fault on a signal line X, respectively.
  • Testability TO (X) and T 1 (x) are calculated as follows.
  • testability of all faults can be calculated using the controllability C (x) and the observability ⁇ (x) of the entire circuit.
  • controllability C (X), observability 0 (X) and testability TO (x), T l (X) depends on the given input pattern. Every time the pattern, here the external input signal of the random number pattern 30 is inverted, it is necessary to recalculate it. In this case, besides the method of recalculating the cost function of the whole circuit, it is also possible to recalculate only the influencing range of the inverted external input to increase the speed.
  • the recalculation range of controllability is limited to the range in which the effect of the inverted external input is transmitted forward.
  • the recalculation range R1 of the controllability C (x) is limited to a range extending in a cone shape from the inverted input signal on the input side inside the circuit to the output side as shown in FIG.
  • the recalculation range of the observability 0 (X) and the testability TO (X) and Tl (x) can be backtraced from the external output reached by the inverted external input to the external input this time.
  • the target fault selection unit 15 selects a fault having the lowest testability TO (x) / T 1 ( ⁇ ) among the faults in the fault list 3 1 and sets it as a target fault (step S 5). ).
  • the path activation unit 16 performs path activation (path—Sen sitization) using the above cost function, and can control some of the inputted random number patterns 30.
  • a correction pattern is generated by inverting the external input (signal value), and a control signal 32 for inverting the external input of the random number pattern 30 is output (step S6).
  • Path activation using a cost function is performed as follows.
  • fault excitation is performed to generate a stuck-at-0 or stuck-at-1 fault.
  • backward search is performed up to one external input using controllability C (X) as a guide.
  • a logic simulation is performed by inverting the signal value of the searched external input, and the controllability C (x) is set to infinity ( ⁇ ), and the controllability C (x) and the observability ⁇ (X) are recalculated.
  • the controllability C (X) is set to infinity (oo) in order not to repeat the inversion of the same external input in the subsequent back trace.
  • the signal value of the target fault point is checked again, and the backward search is repeated while the signal value matches. If the controllability of the target fault point reaches infinity ( ⁇ ), a knock track is performed.
  • fault propagation is performed. If a gate that has a fault value at the input and whose output observability 0 (X) is not infinite (oo) is the D frontier, fault propagation is to advance the D frontier to the external output. If there are multiple D frontiers, one D frontier is selected, and the purpose is to set n on—Control 1 ing va lue (1 for AND gate, 0 for ⁇ R gate) for inputs other than failure values When Then, backward search is performed up to one external input using controllability ⁇ (X) as a guide.
  • Fig. 6 shows an example of a circuit and a given random number pattern.
  • Figure 6 also shows the results of the logic simulation and cost function calculations performed on the circuit.
  • the values in parentheses following the random number pattern 30 and the signal value V of the gate output indicate the controllability Ci and the observability ⁇ i, respectively.
  • Figure 7 shows the results of logic simulation and cost function recalculation when the signal value of signal line a is inverted.
  • Fig. 8 shows the results of the logical simulation and the recalculation of the cost function when the signal value V of the signal line b is inverted.
  • AND gate D is a D frontier (DF) because the input pin has a fault value and the observability Oi is not infinity ( ⁇ ).
  • a control signal 32 for inverting the signal value V of the signal line a and the signal line d for the random number pattern 30 is sent to the pattern corrector 22.
  • the pattern corrector 22 corrects the random number pattern 30 according to the control signal 32 and shifts in the scan path 23.
  • the failure simulation unit 17 obtains the failure point and its correction pattern, performs a failure simulation, extracts another failure to be detected (step S7), and uses the failure list change unit 18 The fault detected by the fault simulation unit 17 is deleted from the fault list 31 (step S8).
  • step S9 if the failure list 31 after the failure list change unit 18 deletes the failure is not empty yet and there are remaining failures (step S9), the process returns to step S2, and the failure list 31 is empty. If so, the process ends.
  • the ATPG 10 for the first several tens of patterns, a processing procedure in which only the failure simulation is performed using the random number pattern 30 of the LFSR 21 as it is can be considered. This is because most (50% to 80%) faults inside the circuit can be detected by random number patterns, and the ATPG 10 generates a test pattern that detects only the remaining faults that were not detected. This is because it is advantageous in terms of time.
  • the ATPG 10 takes out the first tens of random number patterns 30 out of the random number patterns 30 generated by the LFSR 21 by the random number pattern input unit 12 as it is, and the failure simulation unit 17 Then, only the fault simulation is performed for the random number pattern 3 ⁇ .
  • the random number pattern 30 taken out thereafter is processed according to the processing flow shown in FIG.
  • a path activation for one target failure for one pattern but also a processing procedure for performing a failure simulation after attempting path activation for a plurality of failures can be considered.
  • the ATPG 10 selects a plurality of target faults detected by one random number pattern when the target fault is selected by the target fault selection unit 15 in the processing flow shown in FIG. Then, the subsequent processing is performed for these multiple target failures.
  • ATPG 10 generates a test pattern using one of these cost factors. It is also possible.
  • the random number pattern input unit 12 of the ATPG 10 may generate a pattern similar to the random number pattern 30 generated by the LFSR 21 instead of extracting the pattern from the LFSR 21.
  • the random number pattern input unit 12 may use the test pattern created in the immediately preceding process.
  • the path activating unit 16 may output a correction pattern instead of the control signal 32.
  • Each means, function, or element of the present invention can be realized as a processing program read and executed by a computer.
  • the program for realizing the present invention can be stored in an appropriate recording medium such as a portable medium memory, a semiconductor memory, and a hard disk, which can be read by a computer. Alternatively, it is provided by transmission / reception using various communication networks via a communication interface.
  • Industrial applicability As described above, the integrated circuit test apparatus and test method according to the present invention can be used to detect a failure such as a manufacturing defect of an integrated circuit.
  • the present invention provides an integrated circuit test apparatus that automatically creates a test pattern so that when a signal value assignment needs to be selected, a random number pattern generated by an LFSR is minimized in accordance with a cost function so that inversion of an external input is minimized. Activate the road. Then, a correction pattern is generated by inverting the input of the random number pattern, and the circuit is verified using the correction pattern. As a result, it is possible to detect many faults with a small difference based on the random number pattern, and thus a reduction in test cost can be expected.
  • the present invention is very effective when applied to the test apparatus described in Japanese Patent Application No. Hei 12-37272 "Integrated Circuit Test Apparatus and Test Method".
  • the test device disclosed in the patent application has an LFSR inside the circuit, and when the difference between the pattern created by the ATPG and the random pattern is small, the test data amount can be dramatically reduced and the test time shortened. Becomes possible.
  • a test pattern with a small signal change can be created by using the test pattern created immediately before, instead of the random number pattern to be input.
  • the power consumption of the integrated circuit under test can be reduced. This is effective when it is desired to suppress power consumption during testing due to high integration.

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)

Description

明細書 集積回路試験装置および試験方法 技術分野
本発明は、 集積回路 (LS I) の製造不良を検出するための集積回路試験装置 および試験方法に関する。 背景技術
集積回路 (LS I) の製造不良の検出は、 テスタ (ATE) を用いて LS Iの 入力ピンに適当な信号値を印加して、 その出力ピンに現れる信号値を期待される 結果と比較することで行われる。 この入力ピンの信号値と出力ピンの期待値とを 合わせてテストパターンと呼ぶ。 LS Iの製造不良により LS Iの内部に生じる 欠陥は故障と呼ばれ、 L S I内部に起こりうる全ての故障について検証を行うた めには、 多くのテストパターンが必要となる。 また、 あるテストパターンで LS I内部に仮定される故障のうち検証できる割合を診断率 (または検出率) と言い 、 テストパターンの品質を計るときの尺度として使われている。
テストパターンを作成する方法には、 以下のようなものがある。
- Random Test Generation (RTG)
• Manual Test Generation
- Automatic Test Pattern Generation (AT P G)
上記方法が必要に応じて組み合わせて用いられるが、 高い診断率を得るために 広く ATPGが利用されている。 ATPGにもいくつかの方法が存在するが、 経 路活性化ァルゴリズム (Pa t h— S en s i t i z a t i on a l go r i t hm) に属するものが現在の主流である。
経路活性化アルゴリズムは、 ある故障を検出するための故障励起 (f au 1 t ex c i t a t i on ) と故障伝播 : f au l t— e f f e c t p r op a g a t i o n) の二つの基本的なステップからなる。
故障励起では、 故障仮定点に故障値と反対の値とを設定する。 これにより、 故 障が存在する場合と正常な場合とで故障点の状態値が異なることになる。 この状 態を故障が励起されたという。
また、 故障伝播では、 励起された故障の影響を観測点 (外部出力) まで伝播さ せることである。 結果として故障点から観測点までの経路 (p a t h) 上の信号 値は全て正常時と故障時とで異なるものとなる。 この経路を活性化経路 (s en s i t i z e d p a t h ) と呼ぶ。 第 1 0図に、 活性化経路の例を示す。 第 1 0図の回路上の活性化経路を太い実線で示す。 また、 この活性化経路では、 故 障励起のための信号値が信号線 aに設定され、 故障伝播のための信号値が信号線 cおよび信号線 dに設定されていることを示す。
故障励起と故障伝播とを実現するためには、 特定の信号線に所望の信号値を設 定する必要がある。 回路内部の信号線の値は、 最終的には LS Iの制御点である 外部入力の信号値に帰着される。 すなわち、 ATPGにより、 ある故障を検出す るために、 その故障の活性化経路を形成するための外部入力の信号値と、 その結 果故障の影響が伝播される外部出力の期待値 (正常時に.期待される信号値) とか らなるテストパターンが作成される。
ATPGがテストパターンを作成する過程において、 いくつかの選択が生じる 。 例えば 2入力 ANDゲートの出力を 0にして故障を励起する場合には、 2本の 入力のどちらか一方の値が 0であれば良いため、 0を設定する入力をどちらかに 選択する必要がある。 また、 故障伝播中に信号線の分岐がある場合にも、 どちら か一方の経路が活性化されれば良いため、 ここでも選択が必要となる。
一般的な ATPGでは、 いくつかの選択肢が存在する場合には、 とりあえずそ の中の一つを選択して処理を進める必要がある。 しかし、 その選択の結果、 信号 値の設定に矛盾が生じたり経路の活性化に失敗したりした場合には、 一つ前の選 択を行つた場面に戻り別の選択肢を選んで処理を進める必要がある。 これをバッ クトラックという。
LS Iが順序回路素子 (プリップフロップ [FZF] 、 ラッチおよび RAM ) を含む場合には、 テストパターン作成の複雑さは飛躍的に増大する。 そこで、 LS I内部の主に F/Fで構成される順序回路素子でシフトレジスタ (スキャン パスと呼ばれる) を形成して試験時に所望の値をシフトインし、 クロック印加後 にシフトレジス夕の値を外部に読み出すスキャン設計が行われている。 スキャン 設計を施した回路に対して、 AT PGで作成したテストパターンをテスタに格納 して行われる D e t e rmi n i s t i c S t o r e d Pa t t e rn T e s t (DSPT) という手法が広く採用されている。 第 1 1図は、 DSPTの 概念図である。 DSPTでは、 LS I内部に設けたスキャンパス SPにテストパ ターン TP 1をシフトインし、 テストパターン TP 2をシフトアウトする。 しかし、 近年 LS I集積度の増大に伴い、 内部に含まれる順序回路素子が非常 に多くなつてきたため、 スキヤンパスを構成する全ての順序回路素子に対して、 テストパターン毎に設定および読出しを繰り返す DS PTを適用することは、 試 験時間およびテストデータの増大により困難となってきている。 特に、 テストデ 一夕量の増大によるテスタのメモリ容量の逼迫は、 メモリの増強やテスタのァッ プグレードなどテストコストを大幅に引き上げることになる。
この問題を解決するために、 組込み自己試験 (Bu i l t— I n S e l f Te s t [B I ST] ) が行われるようになつてきた。 第 1 2図は、 B I STの 概念図である。 B I STでは、 疑似乱数パターン発生器 9 1で発生されたランダ ムなパターンが LS Iの内部回路 90に印加され、 その出力結果が出力検証器 9 2で検証 '格納される。 疑似乱数パターン発生器 9 1と出力検証器 92とには、 リニアフィードバックシフトレジスタ (LFSR) が使われることが多く、 特に 、 出力検証器 92は、 出力結果をシグネチヤとして圧縮格納するためマルチイン プッ トシグネチヤレジスタ (MI SR) と呼ばれる。
B 1 STでは、 パターン発生器が LS Iの内部に搭載されているため外部テス 夕に入力テストパターンを格納しておく必要がなく、 M I S Rにより試験結果を 圧縮するためテスタにロードするデータ量を圧倒的に削減できる。 また、 B I S Tではスキャンパスの数を多くしてスキヤンパスへのシフトイン · シフトァゥト 動作を高速化して、 試験時間が短縮できる。
B I STは、 前記の DSPTの問題点を改善できるが、 いくつかの欠点も存在 する。 B I STでは、 疑似乱数パターンが用いられるため、 試験の品質 (診断率 ) に問題がある。 この診断率を高めるためには、 追加テストとして DSPTを適 用するか、 LS I内部の回路に制御性と観測性を増すようなテストポイントを挿 入する必要がある。
また、 B I STでは出力データを M I SRに圧縮格納するので、 その構成上不 定状態 (X値) を取り込むと M I SR内の値が破壊されるため、 試験不能となつ てしまう。 一般に、 LS I内部の RAMを含む順序回路素子は電源投入時には不 定状態であるため、 これらの順序回路素子を初期化するか、 不定状態が MI SR に伝播しないように回路を工夫する必要がある。
この他にも、 バス設計時にランダムパターンによりバスのコンフリクトゃフ口 一ト状態が起きないような工夫を施す必要があるなど、 B I STを実回路に適用 するには厳しい設計制約を設計者に強いることになる。 これに加え、 B I ST用 の付加回路とテストボイント揷入による回路のエリアオーバ一へッドと性能低下 も問題となる。
このような DSPTと B I STとの問題点を解決するため、 特願平 1 2— 37 223 1 「集積回路試験装置及び試験方法」 にて、 試験時間の短縮とテストデ一 タ量を削減し、 かつ高品質な試験を可能とする試験装置および試験方法を提案し た。 '
第 1 3図に、 前記特許出願にて開示した試験装置の回路のブロック図を示す。 前記特許出願で開示した技術は、 B I ST回路を基本としたものであって、 疑似 乱数パターン発生器 (LFSR) 93が作り出したパターンをパターン修正器 9 4により ATPGと同等のパターンに修正し、 スキヤンパスにシフトインする。 そして試験クロックを印加した後、 スキヤンパス出力の中の不定状態値を不定マ スク器 95でマスク処理し、 出力検証器 96内の MI SRに圧縮格納する。 一般的に、 A T P Gが作り出すパターンのうち明示的に F Z Fに値を設定する 数は全 FZFのうちの極僅か (数 である。 前記特許出願で開示した技術は、 明示的に設定する F/Fの値のみを制御信号 97を通して外部テスタより与える ことにより、 パターン修正器 94を通して疑似乱数バタ一ンを A T P Gと同等の 高品質なパターンに変更することが可能である。 また、 B I STの設計制約とな る不定状態値の M I SRへの取り込みを不定マスク器 95でプロックすることに より、 設計者への負担を大幅に軽減している。
このように、 前記特許出願で開示した技術では、 どのような種類の ATPGが 作り出す、 どのようなテストパターンも利用可能である。 しかし、 テストデータ 量や試験時間などのテストコストを大幅に削減するためには、 回路内部に設けら れた LFSR 93が作り出すランダムパターンと ATPGが作り出すパターンと の差分が小さいことが必要となる。
ここで、 ATPGは、 いくつかの故障を対象として必要ないくつかの FZFの 値を決定し、 残りの多くの F/Fには回路内部に設けられた LFSR 93が作り 出す値を設定する。 これにより、 ランダムパターンとテストパターンとの差分を 小さくすることができる。 しかし、 対象とする故障が多い場合や活性化が複雑な 場合には、 ATPGが設定する必要のある F/F数が多くなり、 テストコストの 削減率が悪くなる。
前記特許出願で開示した技術では、 AT P Gが設定する F/Fの値と L FSR が設定する F/Fの値とに関連がない。 ATPGが設定する FZFの値と LFS Rが設定する F / Fの値とが等しくなることは半分の確率であるが、 平均して A TP Gが設定する FZFの半数はテスタからの付加パターンが作成されるため、 テストコスト削減率を低下させる。
本発明の目的は、 回路内の疑似乱数パターン発生器が生成する乱数パターンと の差分がなるべく少なくなるようなテストパターン作成を行って、 テストコスト の削減率を大きくする試験装置及び試験方法を提供することである。 発明の開示
本発明では、 テストパターンを自動作成する集積回路試験装置において、 テス トパターンの信号値割り当てにおいて選択が必要になった場合に、 回路内の疑似 乱数パターン発生器が発生した乱数パターンとの差分が少なくなるように信号値 割り当てを選択するためのコスト関数 (F l i p— b a s e d Co s t F u n e t i on) を提案する。
ATPGでは、 テストパターン作成の過程で、 さまざまな選択を行う必要があ り、 その選択は、 ある選択基準に従って行われる。 この選択基準として、 制御性 (Con t r o l l ab i l i t y) および観測性 ( 0 b s e r v a b i 1 i t y) の二つのコスト関数が用いられる。 制御性 (Con t r o l l ab i l i t y ) は、 ある信号線に対してある値を設定するための困難さを示す。 観測性 (0 b s e r V a b i 1 i t y ) は、 ある信号線の故障値を観測点に伝播するための 困難さを示す。
本発明では、 テストパターンの信号値割り当ての選択において、 制御性および 観測性をコスト関数として採用し、 コスト関数の算出結果にもとづいて乱数パ夕 ーンとの差分ができるだけ小さくなるように信号値を選択する処理を行う。
また、 A T P Gでは、 検出するべき故障のリストを持ち、 その故障リストはあ る基準に従ってソーティングされていて、 一般にリスト上位の故障から、 その故 障を検出するためのテストパターンが作成される。
本発明では、 擬似乱数パターン発生器で生成される乱数パターン列が予め与え られているので、 それぞれの乱数パターンから差分が少ない故障を選択する。 こ のテストパターンの対象となる故障を選択するためのコスト関数として、 テスタ ピリティ (T e s t a b i 1 i t y ) を採用する。 テスタピリティは、 ある信号 線の故障を検出するための困難さである。 テスタピリティは、 予め与えられた乱 数パターンについて、 前記の二つのコスト関数、 制御性および鱸測性より算出で きる。 これにより、 コスト削減率をさらに高めることが可能となる。
本発明は、 テストパターンを自動生成する集積回路試験装置において、 入力さ れたパターンに対する信号値割り当てにおいて値の選択が必要な場合に、 ある信 号線に対してある値を設定するための困難さである制御性を計算する第 1のコス ト関数計算手段と、 前記制御性にもとづいて前記パターンの制御可能な外部入力 の反転数が少なくなるように前記信号値割り当てを選択して経路活性化を行う経 路活性化手段とを備える。
また、 本発明は、 テストパターンを自動生成する集積回路試験装置において、 入力されたパターンに対する信号値割り当てにおいて値の選択が必要な場合に、 ある信号線の故障を観測点に伝播するための困難さである観測性を計算する第 2 のコスト関数計算手段と、 前記観測性にもとづいて前記パターンの制御可能な外 部入力の反転数が少なくなるように前記信号値割り当てを選択して経路活性化を 行う経路活性化手段とを備える。
また、 本発明は、 テストパターンを自動生成する集積回路試験装置において、 入力されたパターンで検出される故障を選択する場合に、 ある信号線の故障を検 出するための困難さであるテスタピリティを計算する第 3のコスト関数計算手段 と、 前記テスタピリティにもとづいて、 回路内部に仮定される全ての故障から対 象とする故障を選択する対象故障選択手段とを備える。
本発明にかかる集積回路試験装置は、 回路内部に仮定される全ての故障を含む 故障リストを作成し、 例えば、 疑似乱数パターン発生器で作られた乱数パターン を一つ取り出す。 そして、 当該乱数パターンに対する論理シミュレーションによ り回路内部の信号値を定めて、 回路全体に对する制御性および観測性を計算し、 当該制御性および当該観測性をもとにテス夕ピリティを計算する。 その後、 故障 リストからテスタピリティが最も低い故障を選択して対象故障とし、 当該対象故 障について乱数パターンの制御性および観測性を用いた経路活性化を行い、 当該 乱数パターンの制御可能な外部入力 (信号値) の反転数が最小となるように反転 を選択し、 当該選択に従って乱数パターンを修正する。 さらに、 当該修正パター ンを用いて故障シミュレ一ションを行い、 検出される別の故障があれば故障リス トから削除する。 図面の簡単な説明
第 1図は、 本発明にかかる集積回路試験装置のプロック構成図である。
第 2図は、 テストパターン作成装置の内部構成図である。
第 3図は、 本発明にかかる試験方法の処理フロー図である。
第 4図は、 制御性の再計算範囲を示す図である。
第 5図は、 観測性とテスタピリティの再計算範囲を示す図である。
第 6図は、 経路活性化の説明図 1である。
第 7図は、 経路活性化の説明図 2である。
第 8図は、 経路活性化の説明図 3である。
第 9図は、 経路活性化の説明図 4である。
第 1 0図は、 活性化経路の例を示す図である。
第 1 1図は、 D S P Tの概念図である。
第 1 2図は、 B I S Tの概念図である。 第 1 3図は、 特顧平 1 2 - 3 7223 1 「集積回路試験装置及び試験方法」 に て開示した試験装置の回路のプロック図である。 発明を実施するための最良の形態
第 1図に、 本発明にかかる集積回路試験装置のプロック構成図を示す。
集積回路試験装置は、 テストパターン作成装置 (ATPG) 1 0および回路 2 0からなる。 回路 2 0は、 疑似乱数パターン発生器 (LFSR) 2 1、 パターン 修正器 22、 スキャンパス 2 3、 出力検証器 (M I SR) 24を備える。
疑似乱数パターン発生器 (LFSR) 2 1は、 疑似乱数により乱数パターン 3 0を生成する手段である。 パターン修正器 22は、 テストパターン作成装置 (A TPG) 1 0からの制御信号 32により LFSR 2 1が生成した乱数パターン 3 0のいくつかの値を反転させてスキャンパス 2 3ヘシフトインする手段である。 出力検証器 (M I SR) 24は、 スキャンパス 23の出力を圧縮 ·格納する手段 である。
第 2図に、 テストパターン作成装置 (ATPG) 1 0の内部構成図を示す。 A TPG 1 0は、 故障リスト作成部 1 1、 乱数パターン入力部 1 2、 論理シミュレ ーシヨン部 1 3、 コスト関数計算部 1 4、 対象故障選択部 1 5、 経路活性化部 1 6、 故障シミュレーション部 1 7、 および故障リスト変更部 1 8からなる。
故障リスト作成部 1 1は、 回路内部に仮定される全ての故障を含む故障リスト 3 1を作成する手段である。 乱数パターン入力部 1 2は、 回路 2 0内に構成され る LFSR 2 1で作られる乱数パターン 3 0を一つ取り出す手段である。 論理シ ミュレーシヨン部 1 3は、 乱数パターン入力部 1 2が入力した乱数パターン 3 0 をもとに論理シミュレ一ションを行い、 回路内部の信号値を決定する手段である ο
コスト関数計算部 1 4は、 制御性、 観測性、 およびテスタピリティのコスト関 数をそれぞれ計算する手段である。 コスト関数計算部 1 4は、 制御性 C (X) を 算出する制御性算出部 1 4 1、 観測性 0 (X) を算出する観測性算出部 1 4 2、 および 0縮退故障検出のテスタピリティ T O (x) と 1縮退故障検出のテスタビ リティ T l (X) とを算出するテスタピリティ算出部 1 4 3を備える。 対象故障選択部 1 5は、 故障リスト 3 1中の故障のうち、 コスト関数計算部 1 4で算出したテスタビリティが最も低い故障を対象故障として選択する手段であ る
経路活性化部 1 6は、 対象故障選択部 1 5で選択した対象故障に対して制御性 および観測性のコスト関数を用いた経路活性化を行い、 乱数パターン 30のいく つかの制御可能な外部入力 (信号値) を反転した修正パターンを作成し、 乱数パ ターン 30の値を反転させるための制御信号 32を出力する手段である。
故障シミュレーション部 1 7は、 修正パターンを用いて故障シミュレーション を行い、 検出される別の故障を抽出する手段である。 故障リスト変更部 1 8は、 故障シミュレーション部 1 7が抽出した検出される故障を故障リスト 3 1から削 除する手段である。
第 3図に、 本発明の処理フロー図を示す。
ATPG 1 0は、 故障リスト作成部 1 1により、 回路内部に仮定される全ての 故障を含む故障リスト 3 1を作成する (ステップ S 1) 。 そして、 乱数パターン 入力部 1 2により、 LFSR21から乱数パターン 30を一つ取り出す (ステツ プ S 2) 。 そして、 論理シミュレーシヨン部 1 3により、 入力した乱数パターン 30をもとに論理シミュレーションを行い回路内部の信号値を決定する (ステツ プ S 3) 。 論理シミユレ一ションは既知の手法により行う。
つぎに、 コスト関数計算部 1 4により、 制御性 C (X) 、 観測性 0 (X) 、 お よびテスタピリティ TO (x) 、 T l (x) のコスト関数を、 信号値を決定した 回路全体に対して計算する (ステップ S 4) 。
制御性 C (X) は、 ある信号線 Xの信号値を反転させる困難さを表すものと定 義する。 η本の入力を持つ ANDゲートを考えたとき、 全ての入力の制御性が分 かっていると仮定すると、 出力の制御性 C (X) は以下のように計算する。
lf(state(x)="0" )then C(x) =∑(i=l to n) {state(i)="0"? C(i):0}
else C(x) = min(i=l to n) {C(i)} 式(1) ここで、 s t a t e (x) は信号線 xの信号値を表す。
回路全体の制御性 C (x) の計算は、 最初に、 外部入力すなわち乱数パターン 30の制御性に 1を与え、 外部入力から外部出力に向かって上記式 ( 1 ) に従つ て再帰的に計算を繰り返すことで行う。 結果として、 制御性 C (X) は信号線 X の信号値を反転するために反転が必要な外部入力の数を表す。
同様に観測性 0 (X) は、 ある信号線 Xの故障を観測点に伝播するための困難 さを表すものと定義する。 n本の入力を持つ ANDゲートを考えたとき、 全ての 入力の制御性が分かっていて、 かつ出力 Xの観測性 0 (X) が分かっていると仮 定すると、 ある入力 dの観測性〇 (d) は以下のように計算する。
0(d)=0(x) +∑(i=l to n, i≠d) {state(i)="0"? C(i):0} 式(2)
回路全体の観測性 0 (X) の計算は、 回路全体の制御性 C (X) を計算した後 、 最初に外部出力の観測性 0 (X) に 0を与え、 外部出力から外部入力に向かつ て上記式 (2) に従って再帰的に計算を繰り返すことで行う。 結果として、 〇 ( X) は信号線 Xの故障を観測点に伝播するために反転が必要な外部入力の数を表 す。
テスタピリティ TO (X) 、 T 1 (x) は、 ある信号線 Xのそれぞれ 0縮退故 障もしくは 1縮退故障を検出するテストパターン作成の困難さを表すものと定義 する。 テスタピリティ TO (X) 、 T 1 (x) は、 以下のように計算する。
T0(x)=0(x) + {state(x)="0"? C(x):0} 式(3)
Τ1(χ)=0(χ) + {state(x)="0"? 0:C(x)} 式(4)
上記式 (3) および式 (4) のように、 回路全体の制御性 C (x) と観測性〇 (x) を用いて、 全ての故障のテスタピリティを計算することができる。
ここで注意することは、 制御性 C (X) 、 観測性 0 (X) およびテスタビリテ ィ TO (x) 、 T l (X) の計算は、 与えられた入力パターンによって異なるこ とであり、 入力パターン、 ここでは乱数パターン 30の外部入力信号を反転する ごとに再計算する必要がある。 この場合に、 回路全体のコスト関数を再計算する 方法以外にも、 反転した外部入力の影響範囲のみを再計算して高速化することも 可能である。
具体的には制御性の再計算範囲は、 反転した外部入力の影響が前方に伝わる範 囲に限られる。 すなわち、 制御性 C (x) の再計算範囲 R 1は、 第 4図に示すよ うに、 回路内部の入力側の反転した入力信号から出力側へコーン状に広がる範囲 内に限られる。 また、 観測性 0 (X) およびテスタピリティ TO (X) 、 T l (x) の再計算 範囲は、 反転した外部入力の影響が到達した外部出力から今度は逆に外部入力方 向にバックトレースできる範囲内に限られる。 すなわち、 観測性〇 (X) および テスタピリティ TO (χ) 、 Τ 1 (χ) の再計算範囲 R 2は、 第 5図に示すよう に、 出力側で反転の影響があつた範囲内の出力信号から入力側へコ一ン状に広が る範囲内に限られる。
つぎに、 対象故障選択部 1 5により、 故障リスト 3 1中の故障のうちテスタビ リティ TO (x) /T 1 (χ) が最も低い故障を選択して対象故障とする (ステ ップ S 5) 。
そして、 経路活性化部 1 6により、 対象故障に对して、 前記のコスト関数を用 いた経路活性化 (p a t h— S en s i t i z a t i on) を行い、 入力した乱 数パターン 30のいくつかの制御可能な外部入力 (信号値) を反転した修正パ夕 ーンを作成し、 乱数パターン 30の当該外部入力を反転するための制御信号 32 を出力する (ステップ S 6)。
コスト関数を用いた経路活性化は、 以下のように行う。
対象故障点の故障値と現在の信号値が一致している場合には、 0縮退故障また は 1縮退故障を生じさせるために故障励起を行う。 故障励起では制御性 C (X) をガイドとして一つの外部入力まで後方探索を行う。 探索した外部入力の信号値 を反転させて論理シミュレーションを行い、 制御性 C (x) を無限大 (∞) にし て制御性 C (x) と観測性◦ (X) との再計算を行う。 制御性 C (X) を無限大 (oo) にするのは、 この後に生じるバックトレースで同一の外部入力の反転を繰 り返さないためである。 再び対象故障点の信号値の一致を調べ、 一致している間 は後方探索を繰り返す。 途中、 対象故障点の制御性が無限大 (∞) になった場合 には、 ノ ックトラックを行う。
次に故障伝播を行う。 入力に故障値を持ち、 かつ出力の観測性 0 (X) が無限 大 (oo) ではないゲートを Dフロンティアとすると、 故障伝播は Dフロンティア を外部出力まで進めることである。 Dフロンティアが複数ある場合は、 一つの D フロンティアを選択し、 故障値以外の入力に n on— Con t r o l 1 i ng va l u e (ANDゲートでは 1、 〇Rゲートでは 0) を設定することを目的と して、 制御性◦ (X) をガイドとして一つの外部入力まで後方探索を行う。 そし て、 その外部入力の信号値を反転させて論理シミュレーションを行い、 さらに制 御性 C (x) を無限大 (∞) にして制御性 C (x) および観測性 0 (X) の再計 算を行う。 再び Dフロンティアへの伝播処理を繰り返し、 外部出力に到達すれば 経路活性化が成功したものとする。 途中で、 Dフロンティアがなくなってしまつ た場合には、 バックトラックを行う。
第 6図ないし第 9図に示す簡単な例を用いて、 具体的にコスト関数を用いた経 路活性化の手順を説明する。
第 6図に、 ある回路および与えられた乱数パターンの例を示す。 第 6図は、 回 路に対して行われた論理シミユレーシヨンとコスト関数計算との結果も示してい る。 ここで、 乱数パターン 30およびゲートの出力の信号値 Vに続く括弧内の値 は、 それぞれ制御性 Ci と観測性〇i とを示す。 例えば、 信号線 hは、 信号値 V (制御性 Ci , 観測性 Oi ) =0 (1, 0) であることを示す。
ここで、 対象故障として信号線 eの 1縮退故障 Falを検出することを考える。 対象故障点 (ANDゲート Aの出力側) の信号値 Vは 1であって、 故障値と一致 しているので、 故障励起を行う必要がある。 信号線 eより制御性 C (x) をガイ ドとして後方探索を行う。 第 6図に示すように、 信号線 aと信号線 bのどちらか を反転させれば良いことがわかる。 ここでは、 図中太い矢印線で示すように、 反 転する入力として信号線 aを選択すると仮定する。
第 7図に、 信号線 aの信号値を反転した場合の論理シミュレーションとコスト 関数再計算の結果を示す。 信号線 eと信号線 f とが活性化されているが、 ともに 観測性〇i =0 (x) が無限大 (∞) となり Dフロンティア (DF) がないこと がわかる。 そこでバックトラックを行う。
バックトラックの結果、 信号線 aの選択をやめて、 故障励起のために信号線 b の信号値 Vを反転させる。 第 8図に、 信号線 bの信号値 Vを反転させた場合の論 理シミュレーションとコスト関数再計算の結果を示す。 ここで、 ANDゲート D に着目すると、 ANDゲート Dは、 入力ピンに故障値を持ち、 かつ観測性 Oi が 無限大 (∞) でないため Dフロンティア (DF) であることが分かる。
そこで、 ANDゲート Dに対する故障伝播処理を行う。 制御性 Ci =C (x) をガイドとして、 ANDゲート Dの 1縮退故障 Falの伝播していない入力 (信号 線 g) から後方探索を行うと信号線 dを反転させる必要があることが分かる。 第 9図に、 信号線 dの信号値 Vを反転させ、 論理シミュレーションおよびコスト関 数再計算を行った結果を示す。 結果として、 信号線 hに至る活性化経路が形成さ れ、 信号線 eの 1縮退故障 Falを検出するテストパターンが作成できている。 そ こで、 乱数パターン 3 0について信号線 aおよび信号線 dの信号値 Vを反転して 修正パターンを生成する。
また、 乱数パターン 3 0について信号線 aおよび信号線 dの信号値 Vを反転さ せるための制御信号 32をパターン修正器 22へ送出する。 なお、 パターン修正 器 22は、 この制御信号 3 2にしたがって乱数パターン 3 0を修正してスキャン パス 2 3ヘシフトインする。
故障シミュレーション部 1 7では、 故障点とその修正パターンとを取得して故 障シミユレ一ションを行い、 検出される別の故障を抽出し (ステップ S 7) 、 故 障リスト変更部 1 8により、 故障シミュレーション部 1 7が検出した故障を故障 リスト 3 1から削除する (ステップ S 8) 。
その後、 故障リスト変更部 1 8が故障を削除した後の故障リスト 3 1がまだ空 でなく故障が残っていれば (ステップ S 9) 、 ステップ S 2の処理へ戻り、 故障 リスト 3 1が空であれば処理を終了する。
本発明の別の実施の形態として、 ATPG 1 0では、 最初の数十パターンにつ いては、 LFSR 2 1の乱数パターン 3 0をそのまま使用して故障シミユレーシ ヨンのみを行う処理手順が考えられる。 これは、 回路内部のほとんど (5 0 %〜 8 0 %) の故障は乱数パターンで検出可能であり、 検出されずに残った故障のみ を検出するテストパターンを ATPG 1 0で生成することが処理時間の面で有利 なためである。 この場合に、 ATPG 1 0は、 乱数パターン入力部 1 2により、 LFSR 2 1が生成した乱数パターン 3 0のうちの最初の数十の乱数パターン 3 0についてはそのまま取り出し、 故障シミュレーション部 1 7により、 その乱数 パターン 3 Όについて故障シミュレーションのみを行う。 そして、 それ以降に取 り出した乱数パターン 3 0については、 第 3図に示す処理フローに従って処理を 行う。 また、 本発明の別の実施の形態として、 一つのパターンに対して一つの対象故 障に対する経路活性化を行うだけでなく、 複数の故障に対する経路活性化を試み た後に故障シミュレーションを行う処理手順が考えられる。 これは、 ダイナミツ クコンパクションと呼ばれる既知の手法を本発明に応用するものであり、 パター ン数の削減に非常に効果がある。
この場合には、 ATPG 1 0は、 第 3図に示す処理フローにおいて、 対象故障 選択部 1 5により対象故障を選択する場合に、 一つの乱数パターンで検出される 複数の対象故障を選択するようにし、 この複数の対象故障に対して以降の処理を 行ラ。
以上、 本発明をその実施の態様により説明したが、 本発明はその主旨の範囲に おいて種々の変形が可能である ό
本発明の実施の形態として、 制御性および観測性の両方をコスト関数として用 いた処理について説明したが、 例えば ATPG 1 0は、 これらのいずれか一方の コスト閧数を用いてテストパターン作成を行うことも可能である。
また、 ATPG 1 0の乱数パターン入力部 1 2は、 LF SR 2 1からパターン を取り出す代わりに、 LFSR 2 1が生成する乱数パターン 3 0と同様のパター ンを生成してもよい。
また、 乱数パターン入力部 1 2は、 LFSR 2 1から乱数パターン 3 0を取り 出す代わりに、 一つ前の処理で作成したテストパターンを用いてもよい。
また、 経路活性化部 1 6は、 制御信号 3 2の代わりに修正パターンを出力する ようにしてもよレ、。
本発明の各手段または機能または要素は、 コンピュータにより読み取られ実行 される処理プログラムとして実現することができる。 また、 本発明を実現するプ ログラムは、 コンピュータが読み取り可能な、 可搬媒体メモリ、 半導体メモリ、 ハードディスクなどの適当な記録媒体に格納することができ、 これらの記録媒体 に記録して提供され、 または、 通信インタフ ースを介して種々の通信網を利用 した送受信により提供されるものである。 産業上の利用可能性 以上のように、 本発明にかかる集積回路試験装置および試験方法は、 集積回路 の製造不良などの故障を検出するために利用することができる。
本発明は、 テストパターンを自動作成する集積回路試験装置において、 信号値 割り当ての選択が必要な場合に、 L F S Rが生成した乱数パターンをコスト関数 に従つて外部入力の反転が最少となるように柽路活性化する。 そして乱数パ夕一 ンの入力を反転した修正パターンを生成し、 この修正パターンを用いて回路の検 証を行う。 これにより、 乱数パターンをもとに差分をなるベく少なくして多くの 故障を検出することが可能であるため、 テストコストの削減が期待できる。
また、 本発明は、 特願平 1 2 - 3 7 2 2 3 1 「集積回路試験装置及び試験方法 」 で示した試験装置に適用すると非常に効果的である。 前記特許出願で開示した 試験装置は回路内部に L F S Rを備えており、 A T P Gが作成したパターンと乱 数パターンとの差分が小さいときに劇的にテストデータ量を削減し、 試験時間を 短縮することが可能となる。
また、 本発明は、 入力する乱数パターンの代わりに一つ前に作ったテストパ夕 ーンを用いることで、 信号変化の少ないテストパターンの作成が可能となる。 信 号変化を抑えたテストパターンを用いることで、 試験中の集積回路の消費電力を 抑えることができる。 高集積化で試験時の消費電力抑制が望まれる場合に効果的 である。

Claims

請求の範囲
1 . テストパターンを自動生成する集積回路試験装置において、
入力されたパターンに対する信号値割り当てにおいて値の選択が必要な場合に 、 ある信号線に対してある値を設定するための困難さである制御性を計算する第 1のコスト関数計算手段と、
前記制御性にもとづいて前記バターンの制御可能な外部入力の反転数が少なく なるように前記信号値割り当てを選択して経路活性化を行う経路活性化手段とを 備える
ことを特徴とする集積回路試験装置。
2 . テストパターンを自動生成する集積回路試験装置において、
入力されたパターンに対する信号値割り当てにおいて値の選択が必要な場合に 、 ある信号線の故障を観測点に伝播するための困難さである観測性を計算する第 2のコスト関数計算手段と、
前記観測性にもとづいて前記パターンの制御可能な外部入力の反転数が少なく なるように前記信号値割り当てを選択して経路活性化を行う経路活性化手段とを 備える
ことを特徴とする集積回路試験装置。
3 . テストパターンを自動生成する集積回路試験装置において、
入力されたパターンに対する信号値割り当てにおいて値の選択が必要な場合に 、 ある信号線に対してある値を設定するための困難さである制御性を計算する第 1のコスト関数計算手段と、
前記パターンに対する信号値割り当てにおいて値の選択が必要な場合に、 ある 信号線の故障を観測点に伝播するための困難さである観測性を計算する第 2のコ スト関数計算手段と、
前記制御性および前記観測性にもとづいて前記テストパターンの制御可能な外 部入力の反転数が少なくなるように前記信号値割り当てを選択して経路活性化を 行う経路活性化手段とを備える
ことを特徴とする集積回路試験装置。
4 . テストパターンを自動生成する集積回路試験装置において、 入力されたパターンで検出される故障を選択する場合に、 ある信号線の故障を 検出するための困難さであるテスタピリティを計算する第 3のコスト関数計算手 段と、
前記テスタピリティにもとづいて、 回路内部に仮定される全ての故障から対象 とする故障を選択する対象故障選択手段とを備える
ことを特徴とする集積回路試験装置。
5 . 当該集積回路試験装置は、 さらに、
入力されたパターンで検出される故障を選択する場合に、 ある信号線の故障を 検出するための困難さであるテスタピリティを計算する第 3のコスト関数計算手 段と、
前記テスタピリティにもとづいて、 回路内部に仮定される全ての故障から対象 とする故障を選択する対象故障選択手段とを備える
ことを特徴とする請求の範囲第 1項ないし第 3項のいずれかに記載の集積回路
6 . パターン発生器とそのパターンを外部入力により修正するパターン修正器と を備えて前記修正されたパターンが複数のスキャンパスに入力される集積回路試 験装置において、
前記パターン発生器から入力されたパターンに対する信号値割り当てにおいて 値の選択が必要な場合に、 ある信号線に対してある値を設定するための困難さで ある制御性を計算する第 1のコスト関数計算手段と、
前記パターンに対する信号値割り当てにおいて値の選択が必要な場合に、 ある 信号線の故障を観測点に伝播するための困難さである観測性を計算する第 2のコ スト関数計算手段と、
前記制御性および前記観測性にもとづいて前記パターンの制御可能な外部入力 の反転数が少なくなるように前記信号値割り当てを選択する経路活性化を行い、 前記選択を行うための制御信号を前記パターン修正器に出力する経路活性化手段 とを備える
ことを特徴とする集積回路試験装置。
7 . 当該集積回路試験装置は、 さらに
入力されたパターンで検出される故障を選択する場合に、 ある信号線の故障を 検出するための困難さであるテスタピリティを計算する第 3のコスト関数計算手 段と、
前記テスタピリティにもとづいて、 回路内部に仮定される全ての故障から対象 とする故障を選択する対象故障選択手段とを備える
ことを特徴とする請求の範囲第 6項記載の集積回路試験装置。
8 . テストパターンを自動生成する集積回路試験方法において、
入力されたパターンに対する信号値割り当てにおいて値の選択が必要な場合に 、 ある信号線に対してある値を設定するための困難さである制御性を計算し、 前記制御性にもとづいて前記パターンの制御可能な外部入力の反転数が少なく なるように前記信号値割り当てを選択して経路活性化を行う
ことを特徴とする集積回路試験方法。
9 . テストパターンを自動生成する集積回路試験方法において、
入力されたパターンに対する信号値割り当てにおいて値の選択が必要な場合に 、 ある信号線の故障を観測点に伝播するための困難さである観測性を計算し、 前記観測性にもとづいて前記パターンの制御可能な外部入力の反転数が少なく なるように前記信号値割り当てを選択して経路活性化を行う
ことを特徴とする集積回路試験方法。
1 0 . テストパターンを自動生成する集積回路試験方法において、
入力されたパターンで検出される故障を選択する場合に、 ある信号線の故障'を 検出するための困難さであるテスタピリティを計算し、
前記テスタピリティにもとづいて、 回路内部に仮定される全ての故障から対象 とする故障を選択する
ことを特徴とする集積回路試験方法。
PCT/JP2002/009606 2002-09-19 2002-09-19 集積回路試験装置および試験方法 WO2004027440A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
PCT/JP2002/009606 WO2004027440A1 (ja) 2002-09-19 2002-09-19 集積回路試験装置および試験方法
JP2004537515A JP4174048B2 (ja) 2002-09-19 2002-09-19 集積回路試験装置および試験方法
US11/023,457 US7266746B2 (en) 2002-09-19 2004-12-29 Device and method for testing integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2002/009606 WO2004027440A1 (ja) 2002-09-19 2002-09-19 集積回路試験装置および試験方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/023,457 Continuation US7266746B2 (en) 2002-09-19 2004-12-29 Device and method for testing integrated circuit

Publications (1)

Publication Number Publication Date
WO2004027440A1 true WO2004027440A1 (ja) 2004-04-01

Family

ID=32012225

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2002/009606 WO2004027440A1 (ja) 2002-09-19 2002-09-19 集積回路試験装置および試験方法

Country Status (3)

Country Link
US (1) US7266746B2 (ja)
JP (1) JP4174048B2 (ja)
WO (1) WO2004027440A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004309174A (ja) * 2003-04-02 2004-11-04 Nec Electronics Corp スキャンテストパタン入力方法および半導体集積回路
JP2006010655A (ja) * 2004-06-29 2006-01-12 Fujitsu Ltd 試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体
WO2008041537A1 (fr) * 2006-09-27 2008-04-10 Japan Science And Technology Agency Dispositif de génération, procédé de génération, programme et support d'enregistrement
US8726100B2 (en) 2011-02-03 2014-05-13 Fujitsu Limited Non-transitory computer-readable recording medium in which a failure analyzing program is recorded, failure analyzing apparatus, and method for analyzing failure
CN111737858A (zh) * 2020-05-29 2020-10-02 西安理工大学 一种堆石混凝土中随机堆石的生成方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7539906B2 (en) * 2005-03-30 2009-05-26 Freescale Semiconductor, Inc. System for integrated data integrity verification and method thereof
JP2007017430A (ja) * 2005-06-06 2007-01-25 Matsushita Electric Ind Co Ltd テストパターン生成方法
US7404126B2 (en) * 2006-03-29 2008-07-22 Texas Instruments Incorporated Scan tests tolerant to indeterminate states when employing signature analysis to analyze test outputs
US8051352B2 (en) * 2006-04-27 2011-11-01 Mentor Graphics Corporation Timing-aware test generation and fault simulation
US7669090B2 (en) * 2006-05-18 2010-02-23 Kabushiki Kaisha Toshiba Apparatus and method for verifying custom IC
JP4427068B2 (ja) * 2007-03-07 2010-03-03 富士通株式会社 擬似乱数発生器、半導体集積回路、該半導体集積回路の設計データを記録した記録媒体、擬似乱数発生器制御方法
US20110035638A1 (en) * 2008-04-07 2011-02-10 Ruifeng Guo Timing Failure Debug
US9118502B2 (en) * 2009-06-16 2015-08-25 Alcatel Lucent Auto VPN troubleshooting
US8731896B2 (en) * 2009-07-02 2014-05-20 Spirent Communications, Inc. Virtual testbed for system verification test
JP2011112434A (ja) * 2009-11-25 2011-06-09 Renesas Electronics Corp 論理回路用テストポイント挿入方法、論理回路試験装置
JP5794027B2 (ja) * 2011-08-02 2015-10-14 富士通株式会社 テストパターン生成装置,テストパターン生成方法およびテストパターン生成プログラム
JP5949785B2 (ja) * 2012-01-27 2016-07-13 富士通株式会社 情報処理方法、装置及びプログラム
US9310433B2 (en) 2014-04-18 2016-04-12 Breker Verification Systems Testing SOC with portable scenario models and at different levels

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07260897A (ja) * 1994-03-17 1995-10-13 Toshiba Corp テストパターン自動生成方法
JP2000009813A (ja) * 1998-06-19 2000-01-14 Hitachi Ltd 論理回路のテストパターン作成方法および作成装置
JP2000193726A (ja) * 1998-12-28 2000-07-14 Hitachi Ltd 論理回路のテストパタ―ン作成装置
JP2002236144A (ja) * 2000-12-07 2002-08-23 Fujitsu Ltd 集積回路の試験装置および試験方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5450414A (en) * 1993-05-17 1995-09-12 At&T Corp. Partial-scan built-in self-testing circuit having improved testability
US5592493A (en) * 1994-09-13 1997-01-07 Motorola Inc. Serial scan chain architecture for a data processing system and method of operation
US5831996A (en) * 1996-10-10 1998-11-03 Lucent Technologies Inc. Digital circuit test generator
US6256759B1 (en) * 1998-06-15 2001-07-03 Agere Systems Inc. Hybrid algorithm for test point selection for scan-based BIST
US6385750B1 (en) * 1999-09-01 2002-05-07 Synopsys, Inc. Method and system for controlling test data volume in deterministic test pattern generation
US6708305B1 (en) * 2000-10-18 2004-03-16 International Business Machines Corporation Deterministic random LBIST

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07260897A (ja) * 1994-03-17 1995-10-13 Toshiba Corp テストパターン自動生成方法
JP2000009813A (ja) * 1998-06-19 2000-01-14 Hitachi Ltd 論理回路のテストパターン作成方法および作成装置
JP2000193726A (ja) * 1998-12-28 2000-07-14 Hitachi Ltd 論理回路のテストパタ―ン作成装置
JP2002236144A (ja) * 2000-12-07 2002-08-23 Fujitsu Ltd 集積回路の試験装置および試験方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Edited by Masao MUKAIDONO, "MARUZEN Advanced Technology <Denshi.Joho.Tsushin Hen>". Fault Tolerant Computing, Maruzen Co., Ltd., pages 124 to 126 *

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004309174A (ja) * 2003-04-02 2004-11-04 Nec Electronics Corp スキャンテストパタン入力方法および半導体集積回路
JP4520103B2 (ja) * 2003-04-02 2010-08-04 ルネサスエレクトロニクス株式会社 スキャンテストパタン入力方法および半導体集積回路
JP2006010655A (ja) * 2004-06-29 2006-01-12 Fujitsu Ltd 試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体
JP4733935B2 (ja) * 2004-06-29 2011-07-27 富士通セミコンダクター株式会社 試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体
WO2008041537A1 (fr) * 2006-09-27 2008-04-10 Japan Science And Technology Agency Dispositif de génération, procédé de génération, programme et support d'enregistrement
JP2008082867A (ja) * 2006-09-27 2008-04-10 Japan Science & Technology Agency 生成装置、生成方法、この方法をコンピュータに実行させることが可能なプログラム、及び、このプログラムを記録した記録媒体
US8726100B2 (en) 2011-02-03 2014-05-13 Fujitsu Limited Non-transitory computer-readable recording medium in which a failure analyzing program is recorded, failure analyzing apparatus, and method for analyzing failure
CN111737858A (zh) * 2020-05-29 2020-10-02 西安理工大学 一种堆石混凝土中随机堆石的生成方法
CN111737858B (zh) * 2020-05-29 2024-03-08 西安理工大学 一种堆石混凝土中随机堆石的生成方法

Also Published As

Publication number Publication date
US7266746B2 (en) 2007-09-04
US20050149804A1 (en) 2005-07-07
JPWO2004027440A1 (ja) 2006-01-19
JP4174048B2 (ja) 2008-10-29

Similar Documents

Publication Publication Date Title
JP4174048B2 (ja) 集積回路試験装置および試験方法
EP0631235B1 (en) Partial-scan built-in selftesting sequential circuit
US5574733A (en) Scan-based built-in self test (BIST) with automatic reseeding of pattern generator
JP4031954B2 (ja) 集積回路の診断装置および診断方法
US7139955B2 (en) Hierarchically-controlled automatic test pattern generation
JP5034576B2 (ja) 半導体集積回路、テストデータ生成装置およびlsi試験装置
JP3749541B2 (ja) 集積回路試験装置及び試験法
US6553530B1 (en) Integrated circuit devices that include self-test apparatus for testing a plurality of functional blocks and methods of testing same
JP2002236144A (ja) 集積回路の試験装置および試験方法
Pomeranz Static test compaction for delay fault test sets consisting of broadside and skewed-load tests
US6484294B1 (en) Semiconductor integrated circuit and method of designing the same
US11815555B2 (en) Universal compactor architecture for testing circuits
US10078114B2 (en) Test point circuit, scan flip-flop for sequential test, semiconductor device and design device
US6735730B1 (en) Integrated circuit with design for testability and method for designing the same
JP3291706B2 (ja) 論理回路の高速動作検証方法、及び、論理回路
JP2006058152A (ja) 半導体装置の試験方法及び半導体装置の試験回路
JP5158087B2 (ja) 半導体集積回路装置および半導体集積回路装置の試験方法
US7587646B1 (en) Test pattern generation in residue networks
Cheng Test generation for delay faults in non-scan and partial scan sequential circuits
JP2005017067A (ja) 自己テスト回路内蔵の半導体集積回路およびその故障診断方法
JP4025301B2 (ja) 電子回路試験用回路、電子回路試験装置、および電子回路試験方法
JP2002189063A (ja) 半導体装置
JPH07294606A (ja) 半導体集積回路の自己検査回路
JPH11125661A (ja) 半導体集積回路
JP2001208810A (ja) ハードマクロテスト回路、そのテスト方法およびテストパタン生成方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP US

WWE Wipo information: entry into national phase

Ref document number: 2004537515

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 11023457

Country of ref document: US