US8709541B2 - Method for forming a film - Google Patents

Method for forming a film Download PDF

Info

Publication number
US8709541B2
US8709541B2 US13/386,307 US201013386307A US8709541B2 US 8709541 B2 US8709541 B2 US 8709541B2 US 201013386307 A US201013386307 A US 201013386307A US 8709541 B2 US8709541 B2 US 8709541B2
Authority
US
United States
Prior art keywords
processing chamber
gas
raw material
film
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US13/386,307
Other versions
US20120251721A1 (en
Inventor
Kenji Matsumoto
Hidenori Miyoshi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MATSUMOTO, KENJI, MIYOSHI, HIDENORI
Publication of US20120251721A1 publication Critical patent/US20120251721A1/en
Application granted granted Critical
Publication of US8709541B2 publication Critical patent/US8709541B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/406Oxides of iron group metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer

Definitions

  • the present disclosure relates to a device and method for forming a thin film, such as for example a manganese (Mn)-containing film, as a barrier/seed film on the surface of an object to be processed such as a semiconductor wafer, or the like.
  • a thin film such as for example a manganese (Mn)-containing film
  • Mn manganese
  • a tantalum (Ta), tantalum nitride film (TaN), or the like is generally used as a barrier layer in consideration of diffusion barrier properties, or the like of copper thereunder.
  • a thin seed film formed of a copper film is first formed on the entire surface of the wafer including all the faces of the wall within the recess, and the entire surface of the wafer is then plated with copper. Accordingly, the interior of the recess is totally buried. Thereafter, the remaining copper thin film on the surface of the wafer is polished and removed through chemical mechanical polishing (CMP), or the like.
  • CMP chemical mechanical polishing
  • a self-formation barrier layer using a manganese (Mn) film or a copper-manganese (CuMn) alloy film instead of Ta film or TaN film, has come to prominence in another related art.
  • Mn film or CuMn alloy film are formed through sputtering, and the Mn film or CuMn alloy film itself is used as a seed film.
  • a Cu-plated layer may be formed directly at an upper portion of the Mn film or the CuMn alloy film, and after plating the Cu plated layer, annealing may be performed.
  • the Cu-plated layer is reacted with an SiO 2 layer, the underlying insulting film, in a manner of self-alignment to form a barrier film called MnSixOy (where x and y are each a certain positive integer) or MnOx (where x is a certain positive integer), a manganese oxide, at the boundary between the SiO2 layer and the Mn film or the CuMn alloy film.
  • MnSixOy where x and y are each a certain positive integer
  • MnOx where x is a certain positive integer
  • the method of forming the Cu film or the CuMn alloy film through sputtering does not have good coverage, which may fail to sufficiently cope with the trend of fabricating a highly fine semiconductor device.
  • Recently, research for forming these films according to CVD has been conducted.
  • multiple types of manganese oxides such as MnO, Mn 3 O 4 , Mn 2 O 3 , MnO 2 , or the like exist depending on the degree of Mn, which will be generally referred to as MnO x in the present specification.
  • a thermal CVD method is generally used.
  • a great amount of sediment adheres on an inner surface of a processing chamber of the film forming device, on a surface of an inner structure within the processing chamber, and on an inner wall or on a surface of an inner structure of an exhaust pipe reaching a trap of an exhaust system, a pressure regulation valve (APC), a vacuum pump, or the like.
  • APC pressure regulation valve
  • the frequency of a cleaning process is increased or a great amount of particles is generated due to delamination of the sediment.
  • the present disclosure provides a device and method for forming a film capable of restraining sediment from adhering on the surface of a member exposed to an atmosphere within a processing chamber.
  • a hydrophobic layer is installed on a surface of a member exposed to the atmosphere within the processing chamber.
  • a hydrophobic layer is installed on a surface of a member exposed to the atmosphere within the processing chamber.
  • the method comprises: hydrophobizing a surface of the processing chamber by introducing a hydrophobic gas into the processing chamber without the object to be processed accommodated in the processing chamber; and forming the thin film by introducing the organic metal raw material gas into the processing chamber with the object to be processed accommodated in the processing chamber.
  • a film forming method for forming a thin film on a surface of an object to be processed by using an organic metal raw material gas within a processing chamber configured to exhaust air comprises: forming a silicon layer on a surface of a member exposed to the atmosphere within the processing chamber in advance; hydrophobizing a surface of the silicon layer by introducing a hydrophobic gas into the processing chamber without the object to be processed accommodated in the processing chamber; and forming the thin film by introducing the organic metal raw material gas into the processing chamber with the object to be processed accommodated in the processing chamber.
  • FIG. 1 is a view illustrating the configuration of one example of a device for forming a film according to the present disclosure.
  • FIG. 2 is a partial enlarged sectional view showing a hydrophobic layer formed on a surface of each member of the film forming device.
  • FIGS. 3A and 3B are views showing a process of one example of hydrophobic processing.
  • FIG. 4 is a graph showing experiment results of evaluating a film thickness of a film deposited on the hydrophobic layer used in the film forming device of the present disclosure.
  • FIG. 5 is a flowchart illustrating one example of a film forming method according to the present disclosure.
  • FIG. 1 is a view illustrating the configuration of one example of a device for forming a film according to the present disclosure
  • FIG. 2 is a partial enlarged sectional view showing a hydrophobic layer formed on a surface of each member of the film forming device of FIG. 1
  • FIG. 3 is a view showing a process of one example of hydrophobic processing.
  • the film forming device is a device for forming an Mn-containing film as a thin film by using an organic metal gas and an oxygen-containing gas. Also, in the following description, a case in which water vapor (H 2 O) is used as an oxygen-containing gas will be taken as an example.
  • a film forming device 2 includes a processing chamber 4 which is made of, for example, an aluminum material or an aluminum alloy material, and an internal section of which has a substantially circular shape.
  • a shower head unit 6 as a gas introduction unit for introducing required gas, e.g., a film formation gas, or the like is installed at the ceiling portion of the processing chamber 4 .
  • Various gases required for forming a film are sprayed toward a processing space S from a plurality of gas injection holes 10 A and 10 B installed on a gas injection face 8 of a lower portion thereof.
  • Two demarcated hollow gas diffusion spaces 12 A and 12 B are formed within the shower head unit 6 .
  • a processing gas introduced into the respective gas diffusion spaces 12 A and 12 B is diffused in a planar direction and then exhausted from the respective gas injection holes 10 A and 10 B in communication with the respective gas diffusion spaces 12 A and 12 B.
  • the gas injection holes 10 A and 10 B are disposed in a matrix form, and respective gases sprayed from the respective gas injection holes 10 A and 10 B are mixed in the processing space S.
  • This type of gas supply is called a post-mix.
  • the entirety of the shower head unit 6 is made of, for example, nickel, a nickel alloy such as Hastelloy® or the like, aluminum, or an aluminum alloy. If a film is formed through ALD to be described later, a structural aspect in which only one gas diffusion space is provided in the shower head unit 6 may be employed.
  • a sealing member 14 configured as, for example, an O ring or the like may be interposed at a connection portion between the shower head unit 6 and an upper opening portion of the processing chamber 4 to maintain air-tightness of the interior of the processing chamber 4 .
  • an entrance/exit 16 for allowing a semiconductor wafer W, as an object to be processed, to be carried in or out of the processing chamber 4 therethrough is formed at a side wall of the processing chamber 4 .
  • a gate valve 18 is installed on the entrance/exit 16 so as to be opened and closed air-tightly.
  • an exhaust space 22 is formed at a lower portion 20 of the processing chamber 4 . More specifically, a large opening 24 is formed at a central portion of the lower portion 20 of the chamber, and a cylindrical partition wall 26 which has a bottom of a cylindrical shape and extends downward is connected to the corresponding opening 24 and the interior of the cylindrical partition wall 26 forms (demarcates) the exhaust space 22 .
  • a mounting table structure 30 is installed on a bottom portion 28 of the cylindrical partition wall 26 such that it stands up on the bottom portion 28 .
  • the mounting table structure 30 is configured to mainly include a cylindrical support 32 standing up on the bottom portion 28 and a mounting table 34 fixed to an upper end portion of the support 32 and allowing the semiconductor wafer W, an object to be processed, to be mounted on an upper surface thereof.
  • the mounting table 34 is made of, for example, a ceramic material, quartz glass, or aluminum (also including an aluminum alloy).
  • a resistance heater 36 configured as, for example, a carbon wire heater, or the like for generating heat through electrical connection is contained as a heating unit in the mounting table 34 . Accordingly, the semiconductor wafer W mounted on the upper surface of the mounting table 34 can be heated.
  • a plurality of, e.g., three, pin insertion holes 38 are formed in a penetrative manner in a vertical direction in the mounting table 34 (only two pin insertion holes are illustrated in FIG. 1 ).
  • Push-up pins 40 are disposed in the respective insertion holes 38 in a state that they are inserted so as to be movable up and down.
  • Push-up rings 42 made of, for example, a ceramic material such as alumina, and having a circular ring shape are disposed at lower ends of the push-up pins 40 , respectively. Namely, the lower ends of the respective push-up pins 40 are supported by the push-up rings 42 .
  • An arm portion 44 extending from the push-up ring 42 is connected to an appearance/disappearance rod 46 installed to penetrate the bottom portion 20 of the chamber. The appearance/disappearance rod 46 is lifted and lowered by an actuator 48 .
  • the respective push-up pins 40 can be protruded from upper ends of the respective pin insertion holes 38 to an upper side or retreated therefrom.
  • a retractable bellows 50 is installed in a through portion of the bottom portion of the chamber of the appearance/disappearance rod 46 , and the appearance/disappearance rod 46 can ascend or descend while maintaining air-tightness in the interior of the processing chamber 4 .
  • the opening 24 at the entrance of the exhaust space 22 is configured to be smaller than the diameter of the mounting table 34 , and a gas flowing at an outer side of a circumferential portion of the mounting table 34 moves to a lower side of the mounting table 34 and then flows to the opening 24 .
  • an exhaust port 52 is formed on a lower side wall of the cylindrical partition wall 26 such that it faces the exhaust space 22 .
  • a vacuum exhaust system 54 is connected to the exhaust port 52 .
  • the vacuum exhaust system 54 has an exhaust passage 56 formed by an exhaust pipe 110 connected to the exhaust port 52 , and a pressure regulation valve 58 , a vacuum pump 60 , an abatement device (not shown), and the like are sequentially installed in the exhaust passage 56 . Accordingly, air can be exhausted by controlling the pressure of the atmosphere in the processing chamber 4 and the exhaust space 22 .
  • a rubber heater 112 , or the like is wound on the exhaust pipe 110 to perform heating at a certain temperature.
  • heating units e.g., cartridge heaters 62 , 64 , 114 , and 116 are buried in a side wall of the processing chamber 4 , a side wall of the shower head unit 6 , a side wall of the cylindrical partition wall 26 , and the bottom portion 28 of the cylindrical partition wall 26 in order to maintain a raw material gas at a certain temperature, e.g., at 80 degrees C., to prevent the raw material gas from being re-liquefied.
  • a certain temperature e.g., at 80 degrees C.
  • a raw material gas supply unit 66 for supplying a raw material gas and an oxygen-containing gas supply unit 68 for supplying an oxygen-containing gas, e.g., water vapor (H 2 O), are connected to the shower head unit 6 in order to supply a certain gas to the shower head unit 6 .
  • an oxygen-containing gas e.g., water vapor (H 2 O)
  • the raw material gas supply unit 66 has a raw material gas flow path 72 connected to a gas entrance 70 of the gas diffusion space 12 A in one direction among two gas diffusion spaces.
  • a switching valve 74 is installed at a midway point of the raw material gas flow path 72 , and the raw material gas flow path 72 is connected to a first raw material source 78 for containing a first raw material by way of the switching valve 74 .
  • a flow rate controller 76 such as a mass flow controller is installed on the raw material gas flow path 72 at an upper stream side of the first raw material source 78 in order to regulate a flow rate of a bubbling gas.
  • an organic metal raw material including metal is used as a first raw material.
  • a raw material gas may be bubbled by an inert gas, such as a flow rate-controlled Ar gas, or the like so as to be gasified, whereby the organic metal raw material may be supplied along with the inert gas.
  • the first raw material source 78 is heated by a heater (not shown), or the like in order to increase the vapor pressure of the raw material.
  • the organic metal raw material for example, (EtCp) 2 Mn (precursor: cyclopentadienylmanganese) including manganese is stored in a liquid state in the first raw material source 78 .
  • a rare gas such as He, Ne, or the like, or N 2 or H 2 , instead of Ar gas, may be used as the inert gas for bubbling.
  • a tape heater 80 is wound around the raw material gas flow path 72 or the switching valve 74 installed at the raw material gas flow path 72 in order to prevent the raw material gas from being re-liquefied, and these are heated at, for example, 80 degrees C. Also, of course, a plurality of raw material gas supply units may be installed depending on a raw material in use.
  • the oxygen-containing gas supply unit 68 includes a gas flow path 84 connected to a gas entrance 82 of the other gas diffusion space 12 B.
  • a switching valve 86 and a flow rate controller 88 such as a mass flow controller are sequentially installed in the midway points of the gas flow path 84 , connecting the gas flow path 84 to a water vapor source 90 for generating water vapor.
  • the water vapor source 90 may be configured as, for example, a water tank.
  • the water tank may be maintained at, for example, about 40 degrees C., by, for example, a temperature regulator 92 , and generates water vapor by increasing a vapor pressure.
  • a tape heater 94 is wound around the flow rate controller 88 without the gas flow path 84 and the switching valve 86 installed at the gas flow path 84 , in order to prevent water vapor from being re-liquefied, and these are heated at, for example, 80 degrees C.
  • the raw material gas is introduced into the gas diffusion space 12 A positioned at an upper side of the shower head unit 6 , and the oxygen-containing gas (water vapor) is introduced into the gas diffusion space 12 B positioned at a lower side of the shower head unit 6 .
  • the oxygen-containing gas water vapor
  • the temperature of the gas injection face 8 is increased. Namely, if the raw material gas is introduced into the lower gas diffusion space 12 B, the raw material gas would likely decompose.
  • a purging inert gas supply unit is connected to the shower head unit 6 , and a purging gas may be supplied as necessary.
  • a purging gas an inert gas such as N 2 gas, Ar gas, He gas, Ne gas, or the like may be used.
  • a hydrophobic layer 96 which is the feature of the present disclosure, is installed on the surfaces of members exposed to the atmosphere within the processing chamber 4 .
  • the members include the processing chamber 4 , the shower head unit 6 , the mounting table structure 30 , the gate valve 18 , and the like. Namely, they include the inner surface of the processing chamber 4 (also including the inner surface of the cylindrical partition wall 26 ), the lower surface of the shower head unit 6 , respective surfaces of the mounting table 34 , respective surfaces of the support 32 , the inner surface of the gate valve 18 , and the like, and the surfaces directly exposed to the atmosphere within the processing chamber 4 . And the hydrophobic layer 96 is installed on those surfaces. The situation in this case is shown in FIG. 2 . Namely, the hydrophobic layer 96 is installed on the surfaces of the respective members represented by the processing chamber 4 , and the like.
  • the surface of the hydrophobic layer 96 is hydrophobic.
  • adhesion of sediment on the respective surfaces of the hydrophobic layer 96 is suppressed.
  • the hydrophobic layer 96 may be formed on at least the inner surface of the processing chamber 4 .
  • the hydrophobic layer 96 is preferably installed on an inner surface of the exhaust pipe 110 connected to the processing chamber 4 , or the inner wall or an inner structure of the pressure regulation valve 58 and the vacuum pump 60 .
  • an SiOC layer As the hydrophobic layer 96 , specifically, an SiOC layer, a fluorinated resin layer, a lubricated alumite or hydrophobic heat-resistant paint, or a hydrophobized silicon layer may be used. Such hydrophobic layer 96 is formed to have a thickness ranging, for example, from 0.01 to 5 mm.
  • the SiOC layer the SiOC material itself is hydrophobic.
  • an SiOC material having a dense interior, or an SiOC material being porous therein may be used.
  • Black Diamond®, Aurora ULK®, or the like may be used.
  • the fluorinated resin layer the fluorinated resin material itself is hydrophobic.
  • the fluorinated resin layer for example, Teflon® may be used.
  • the lubricated alumite is formed by charging (filling) fatty acid such as oleic acid or the like, graphite, or a Teflon resin (fluorinated resin) in fine pores of a hard alumite coated film, and it also includes an alumite obtained by adsorbing fine particles of poly tetrafluoreethylene (PTFE).
  • PTFE poly tetrafluoreethylene
  • the silicon layer is formed on the surfaces of the respective members of the processing chamber 4 , or the like by a silicon thermal spray process, for example.
  • the surface of the silicon layer 100 is terminated with an —OH group which is a hydrophilic group, so hydrophobization (or hydrophobic treatment) is performed on the surface of the silicon layer 100 to make the surface hydrophobic, thus forming the hydrophobic layer 96 .
  • the hydrophobization may include a method for HF-cleansing the surface of the silicon layer 100 as shown in FIG.
  • HMDS hexamethyldisilazane
  • the —OH group on the surface of the silicon layer 100 is substituted with a —H and hydrogen-terminated as shown in FIG. 3A . Accordingly, hydrophobicity can be exhibited.
  • the —OH group on the surface of the silicon layer 100 is reacted with HMDS so as to be silylated and Si and three methyl groups are bonded as shown in FIG. 3B . Accordingly, hydrophobicity can be exhibited.
  • R 1 , R 2 , and R 3 are not limited to the methyl groups, but they may be alkyl groups.
  • the above-mentioned hydrophobic layer 96 is selectively formed on the surfaces which are to be exposed to the atmosphere within the processing chamber 4 after assembling the film forming device 2 .
  • a control unit 102 configured as a computer, or the like is installed.
  • the control unit 102 controls the initiation and the termination of the supply of respective gases, a supply amount of the respective gases, the pressure within the processing chamber 4 , the temperature of the wafer W, and the like.
  • the control unit 102 includes a storage medium 104 for storing a computer program for performing the foregoing control, or a user interface 106 .
  • the storage medium 104 for example, a flexible disk, a flash memory, a hard disk, a compact disc (CD), or the like may be used.
  • the user interface 106 is configured as a keyboard for allowing an operator to input and output a command to manage the film forming device 2 , a display for visually displaying an actuation state of the film forming device 2 , and the like.
  • the surface of the unprocessed semiconductor wafer W is covered by, for example, an insulating layer such as an interlaying insulating layer or the like, and the semiconductor wafer W has trenches such as a contact hole, a via hole, or a wiring recess, reaching an underlying wiring layer, previously formed therein.
  • Such a wafer W is maintained by a carrying arm (not shown) and carried into the processing chamber 4 through the gate valve 18 in an open state, and the entrance/exit 16 .
  • the wafer W is handed over to the lifted push-up pins 40 . As the push-up pins 40 are lowered, the wafer W is mounted on an upper surface of the mounting table 34 .
  • the raw material gas supply unit 66 or the oxygen-containing gas supply unit 58 operates, and respective gases, whose flow rates are controlled, are supplied to the shower head unit 6 and sprayed from the gas injection holes 10 A and 10 B so as to be introduced into the processing space S.
  • gases whose flow rates are controlled, are supplied to the shower head unit 6 and sprayed from the gas injection holes 10 A and 10 B so as to be introduced into the processing space S.
  • gases whose flow rates are controlled
  • the vacuum pump 60 installed in the vacuum exhaust system 54 is continuously driven to exhaust air within the processing chamber 4 or the exhaust space 22 .
  • the degree of opening the pressure regulation valve 58 is adjusted to maintain the atmosphere of the processing space S at a certain processing pressure.
  • the wafer W is heated by the resistance heater 36 installed in the mounting table 34 and maintained at a certain processing temperature.
  • the processing temperature of the wafer W is about 200 degrees C.
  • the shower head unit 6 or the processing chamber 4 is heated to have a temperature, e.g., about 80 degrees C., at which the Mn raw material gas is prevented from being re-liquefied.
  • the Mn-containing film is formed as a thin film on the surface of the wafer W.
  • the Mn-containing film may be, specifically, MnO x film (manganese oxide film), and may be MnSi x O y which has been reacted with an underlying base, depending on circumstances.
  • a gas supply aspect in this case includes a method of forming a thin film through thermal CVD by simultaneously supplying an Mn-containing raw material gas and water vapor, and an atomic layered deposition (ALD) method of repeatedly performing a raw material gas adsorption process and a reaction process by alternately intermittently supplying the Mn-containing raw material gas and water vapor repeatedly.
  • ALD atomic layered deposition
  • Any supply aspect film forming method
  • the adsorption of the raw material gas and the reaction by supplying water vapor are alternately performed to stack a thin film having a thickness of an atomic level or a molecular level one by one repeatedly.
  • the raw material gas or water vapor tend to be attached to the surfaces of the members exposed to the atmosphere within the processing chamber, e.g., the inner surface of the processing chamber, the gas injection face of the shower head unit, the surface of the mounting table, the inner surface of the gate valve, and the like, and the Mn-containing thin film is unnecessarily deposited thereon.
  • the hydrophobic layer 96 is installed on each of the surfaces of the members exposed to the atmosphere within the processing chamber 4 , i.e., the inner surface of the processing chamber 4 , the gas injection face 8 of the shower head unit 6 , the surface of the mounting table structure 30 including the mounting table 34 and the support 32 , and the inner surface of the gate valve 18 , the Mn-containing raw material gas or water vapor is effectively restrained from being attached to the surfaces of the respective members.
  • (EtCp) 2 Mn[ ⁇ Mn(C 2 H 5 C 5 H 4 ) 2 ], Cp 2 Mn[ ⁇ Mn(C 5 H 5 ) 2 ], (MeCp) 2 Mn[ ⁇ Mn(CH 3 C 5 H 4 ) 2 ], (i-PrCp) 2 Mn[ ⁇ Mn(C 3 H 7 C 5 H 4 ) 2 ], MeCpMn(CO) 3 [ ⁇ (CH 3 C 5 H 4 )Mn(CO) 3 ], (t-BuCp) 2 Mn[ ⁇ Mn(C 4 H 9 C 5 H 4 ) 2 ], Mn(DMPD)(EtCp)[ ⁇ Mn(C 7 H 11 C 2 H 5 C 5 H 4 )], ((CH3) 5 Cp) 2 Mn[ ⁇ Mn((CH 3 ) 5 C 5 H 4 ) 2 may be used.
  • one or more materials selected from the group consisting of these materials may be used.
  • attachment and deposition of unnecessary sediment on the surfaces of the respective members can be more effectively prevented.
  • the generation of particles due to delamination of the unnecessary sediment can be considerably restrained.
  • down time of the device according to maintenance such as cleaning, or the like within the processing chamber can be reduced, and operational cost can also be reduced.
  • FIG. 4 is a graph showing a film thickness of the films deposited on the respective surface layers.
  • the MnO x film having a thickness of about 4.2 nm is formed to be thick on the surface of the SiO 2 film chip which is a hydrophilic surface formed by using TEOS. This is undesirable.
  • the thickness of the deposited MnO x film is restrained in every material, so it is very thin. Namely, it can be seen that the film thickness of the chip having the HF-treated (hydrophobized) silicon layer was about 0.5 nm, that of the chip having the SiOC layer (Black Diamond) was about 0.2 nm, and that of the chip having the porous SiOC layer was about 0.6 nm, the results of all of which are good.
  • the hydrophobic layer 96 is formed on the required surfaces of the respective members, but the present disclosure is not limited thereto.
  • hydrophobization may be performed before forming the Mn-containing film after the film forming device is assembled.
  • FIG. 5 is a flowchart illustrating a process of an example in such a case. More specifically, a hydrophobizing process is performed (S 1 ). Namely, within the processing chamber 4 of the film forming device 2 fabricated by assembling respective members with a silicon layer formed thereon, with the wafer W not accommodated yet, a hydrophobic gas is allowed to flow into the processing chamber 4 from a gas source (not shown), and the surface of the silicon layer formed on the surface of each of the members is hydrophobized.
  • the hydrophobic gas, HF gas or HMDS gas may be used.
  • the surface of the silicon layer formed on the surfaces of the respective members that is, the inner surface of the processing chamber 4 , the gas injection face 8 of the shower head unit 6 , the surface of the mounting table structure 30 , or the surface of the gate valve 18 is hydrophobized to form the hydrophobic layer 96 as shown in FIG. 3 .
  • the hydrophobic layer 96 is formed on each of the surfaces of the respective members. Thereafter, as described above, a thin film forming process is performed (S 2 ). Namely, the Mn-containing raw material gas and water vapor are allowed to flow into the processing chamber 4 to form the MnO x film. Also in this case, since the hydrophobic layer 96 is formed on the surface of each of the members, the same working effects as that described above can be achieved. Further, the foregoing hydrophobization is performed on the silicon layer attached to the surface of each of the members, but the present disclosure is not limited thereto, and hydrophobization may be performed directly on the respective members without installing the silicon layer on the surface thereof.
  • the same material is used for the hydrophobic layer 96 of each member, but the present disclosure is not limited thereto and various materials may be separately combined.
  • an SiOC layer may be installed as the hydrophobic layer 96 on the inner surface of the processing chamber 4
  • an HF-treated silicon layer may be installed as the hydrophobic layer 96 on the gas injection face 8 of the shower head unit 6 .
  • water vapor was used as an oxygen-containing gas as an example, but the present disclosure is not limited thereto, and as the oxygen-containing gas, one or more selected from the group consisting of H 2 O (water vapor), N 2 O, NO 2 , NO, O 3 , O 2 , H 2 O 2 , CO, CO 2 , alcohols, and organic acid may be used.
  • the alcohols may include a methyl alcohol, an ethyl alcohol, and the like.
  • the Mn-containing raw material gas and the oxygen-containing gas were used and the MnO x film was used as a thin film as an example, but the present disclosure is not limited thereto. Namely, the present disclosure may also be applicable to a case where the Mn film is formed as a thin film by using the Mn-containing raw material gas, without using the oxygen-containing gas.
  • (EtCp) 2 Mn was used as the Mn-containing raw material as an example, but the present disclosure is not limited thereto, and as the Mn-containing raw material, one or more selected from the group consisting of (EtCp) 2 Mn[ ⁇ Mn(C 2 H 5 C 5 H 4 ) 2 ], Cp 2 Mn[ ⁇ Mn(C 5 H 5 ) 2 ], (MeCp) 2 Mn[ ⁇ Mn(CH 3 C 5 H 4 ) 2 ], (i-PrCp) 2 Mn[ ⁇ Mn(C 3 H 7 C 5 H 4 ) 2 ], MeCpMn(CO) 3 [ ⁇ (CH 3 C 5 H 4 )Mn(CO) 3 ], (t-BuCp) 2 Mn[ ⁇ Mn(C 4 H 9 C 5 H 4 ) 2 ], CH 3 Mn(CO) 5 , Mn(DPM) 3 [ ⁇ Mn(C 11 H 19 O 2 ) 3 ], Mn(DM
  • Mn was taken as an example of metal included in the organic metal raw material gas, but the present disclosure is not limited thereto.
  • the metal included in the organic metal raw material gas one or more selected from the group consisting of Mn, Nb, Zr, Cr, V, Y, Pd, Ni, Pt, Rh, Tc, Al, Mg, Sn, Ge, Ti, and Re may be used.
  • HF gas or HMDS gas was taken as an example of the hydrophobic gas, but the present disclosure is not limited thereto.
  • the hydrophobic gas one or more gases selected from the group consisting of HF, HMDS(Hexamethyldisilazane), TMDS(1,1,3,3-Tetramethyldisilazane), TMSDMA(Dimethylaminotrimethylsilane), DMSDMA(Dimethylsilyldimethylamine), TMMAS(Trimethylmethylaminosilane), TMICS(Trimethyl(isocyanato)silane), TMSA(Trimethylsilylacetylene), and TMSC(Trimethylsilylcyanide5), 1,3,5,7-tetramethylcyclotetrasiloxane, dimethylsilane, tetraethylcyclotetrasilosane, 1,2,3-triethyl-2,4,6-trimethylcyclotrisilazane, 1,2,3,
  • the hydrophobic layer is installed on the surface of the member exposed to the atmosphere within the processing chamber, the attachment of sediment on the surfaces of the member can be effectively restrained.
  • the semiconductor wafer is described here as an example of the object to be processed, but the semiconductor wafer includes a silicon substrate or a compound semiconductor substrate such as GaAs, SiC, GaN, or the like. Also, without being limited thereto, the present disclosure may also be applicable to a glass substrate, a ceramic substrate, or the like used for a liquid crystal display device.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A method for forming a thin film on a surface of an object to be processed by using an organic metal raw material gas within a processing chamber configured to exhaust air includes: hydrophobizing a surface of the processing chamber by introducing a hydrophobic gas into the processing chamber without the object to be processed accommodated in the processing chamber; and forming the thin film by introducing the organic metal raw material gas into the processing chamber with the object to be processed accommodated in the processing chamber.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application is a 35 U.S.C. §371 national stage filing of International Application No. PCT/JP2010/062242, filed Jul. 21, 2010, the entire contents of which are incorporated by reference herein, which claims priority to Japanese Patent Application No. 2009-170837, filed Jul. 22, 2009, the entire contents of which are incorporated by reference herein.
TECHNICAL FIELD
The present disclosure relates to a device and method for forming a thin film, such as for example a manganese (Mn)-containing film, as a barrier/seed film on the surface of an object to be processed such as a semiconductor wafer, or the like.
BACKGROUND
In general, in fabricating a semiconductor device, various processes such as film formation, pattern etching, and the like, are repeatedly performed on a semiconductor wafer to fabricate a desired device. As semiconductor devices are required to be highly integrated and fine, a line width or a hole diameter is increasingly reduced. According to one related art, as a material of a wiring or a material to be buried in a recess such as a trench, a hole, or the like, copper, which has very small electric resistance and is low-priced, tends to be used since it is necessary to reduce electric resistance due to the reduction in various dimensions. Also, when copper is used as a wiring material or buried material, a tantalum (Ta), tantalum nitride film (TaN), or the like is generally used as a barrier layer in consideration of diffusion barrier properties, or the like of copper thereunder.
In order to bury copper in the recess, in a plasma sputtering apparatus, a thin seed film formed of a copper film is first formed on the entire surface of the wafer including all the faces of the wall within the recess, and the entire surface of the wafer is then plated with copper. Accordingly, the interior of the recess is totally buried. Thereafter, the remaining copper thin film on the surface of the wafer is polished and removed through chemical mechanical polishing (CMP), or the like.
Recently, various techniques have been developed to further improve the reliability of the barrier layer. Among them, a self-formation barrier layer using a manganese (Mn) film or a copper-manganese (CuMn) alloy film, instead of Ta film or TaN film, has come to prominence in another related art. The Mn film or CuMn alloy film are formed through sputtering, and the Mn film or CuMn alloy film itself is used as a seed film. Thus, a Cu-plated layer may be formed directly at an upper portion of the Mn film or the CuMn alloy film, and after plating the Cu plated layer, annealing may be performed. Then, the Cu-plated layer is reacted with an SiO2 layer, the underlying insulting film, in a manner of self-alignment to form a barrier film called MnSixOy (where x and y are each a certain positive integer) or MnOx (where x is a certain positive integer), a manganese oxide, at the boundary between the SiO2 layer and the Mn film or the CuMn alloy film. Namely, the number of fabrication processes can be reduced.
However, the method of forming the Cu film or the CuMn alloy film through sputtering does not have good coverage, which may fail to sufficiently cope with the trend of fabricating a highly fine semiconductor device. Thus, recently, research for forming these films according to CVD has been conducted. Also, multiple types of manganese oxides such as MnO, Mn3O4, Mn2O3, MnO2, or the like exist depending on the degree of Mn, which will be generally referred to as MnOx in the present specification.
Here, as mentioned above, when forming the Mn film and the CuMn alloy film by using a film forming device, a thermal CVD method is generally used. In this case, however, a great amount of sediment adheres on an inner surface of a processing chamber of the film forming device, on a surface of an inner structure within the processing chamber, and on an inner wall or on a surface of an inner structure of an exhaust pipe reaching a trap of an exhaust system, a pressure regulation valve (APC), a vacuum pump, or the like. As a result, the frequency of a cleaning process is increased or a great amount of particles is generated due to delamination of the sediment. These problems are severe because a film formation rate increases when an oxygen-containing gas such as H2O, or the like is added as a reactant gas.
SUMMARY
The present disclosure provides a device and method for forming a film capable of restraining sediment from adhering on the surface of a member exposed to an atmosphere within a processing chamber.
According to one embodiment of the present disclosure, in a film forming apparatus for forming a thin film on a surface of an object to be processed by using an organic metal raw material gas within a processing chamber configured to exhaust air (atmosphere), a hydrophobic layer is installed on a surface of a member exposed to the atmosphere within the processing chamber.
According to one embodiment of the present disclosure, in a film forming apparatus for forming a thin film on a surface of an object to be processed by using an organic metal raw material gas and an oxygen-containing gas within a processing chamber configured to exhaust air, a hydrophobic layer is installed on a surface of a member exposed to the atmosphere within the processing chamber.
According to one embodiment of the present disclosure, in a film forming method for forming a thin film on a surface of an object to be processed by using an organic metal raw material gas within a processing chamber configured to exhaust air, the method comprises: hydrophobizing a surface of the processing chamber by introducing a hydrophobic gas into the processing chamber without the object to be processed accommodated in the processing chamber; and forming the thin film by introducing the organic metal raw material gas into the processing chamber with the object to be processed accommodated in the processing chamber.
Further, according to one embodiment of the present disclosure, in a film forming method for forming a thin film on a surface of an object to be processed by using an organic metal raw material gas within a processing chamber configured to exhaust air, the method comprises: forming a silicon layer on a surface of a member exposed to the atmosphere within the processing chamber in advance; hydrophobizing a surface of the silicon layer by introducing a hydrophobic gas into the processing chamber without the object to be processed accommodated in the processing chamber; and forming the thin film by introducing the organic metal raw material gas into the processing chamber with the object to be processed accommodated in the processing chamber.
BRIEF DESCRIPTION OF THE DRAWINGS
The accompanying drawings, which are incorporated in and constitute a part of the specification, illustrate embodiments of the disclosure, and together with the general description given above and the detailed description of the embodiments given below, serve to explain the principles of the disclosure.
FIG. 1 is a view illustrating the configuration of one example of a device for forming a film according to the present disclosure.
FIG. 2 is a partial enlarged sectional view showing a hydrophobic layer formed on a surface of each member of the film forming device.
FIGS. 3A and 3B are views showing a process of one example of hydrophobic processing.
FIG. 4 is a graph showing experiment results of evaluating a film thickness of a film deposited on the hydrophobic layer used in the film forming device of the present disclosure.
FIG. 5 is a flowchart illustrating one example of a film forming method according to the present disclosure.
DETAILED DESCRIPTION
Hereinafter, one example of a film forming device and a film forming method according to the present disclosure will be described with reference to the accompanying drawings. FIG. 1 is a view illustrating the configuration of one example of a device for forming a film according to the present disclosure, FIG. 2 is a partial enlarged sectional view showing a hydrophobic layer formed on a surface of each member of the film forming device of FIG. 1, and FIG. 3 is a view showing a process of one example of hydrophobic processing. The film forming device is a device for forming an Mn-containing film as a thin film by using an organic metal gas and an oxygen-containing gas. Also, in the following description, a case in which water vapor (H2O) is used as an oxygen-containing gas will be taken as an example.
As illustrated, a film forming device 2 according to the present embodiment includes a processing chamber 4 which is made of, for example, an aluminum material or an aluminum alloy material, and an internal section of which has a substantially circular shape. A shower head unit 6, as a gas introduction unit for introducing required gas, e.g., a film formation gas, or the like is installed at the ceiling portion of the processing chamber 4. Various gases required for forming a film are sprayed toward a processing space S from a plurality of gas injection holes 10A and 10B installed on a gas injection face 8 of a lower portion thereof.
Two demarcated hollow gas diffusion spaces 12A and 12B are formed within the shower head unit 6. A processing gas introduced into the respective gas diffusion spaces 12A and 12B is diffused in a planar direction and then exhausted from the respective gas injection holes 10A and 10B in communication with the respective gas diffusion spaces 12A and 12B. Namely, the gas injection holes 10A and 10B are disposed in a matrix form, and respective gases sprayed from the respective gas injection holes 10A and 10B are mixed in the processing space S.
This type of gas supply is called a post-mix. The entirety of the shower head unit 6 is made of, for example, nickel, a nickel alloy such as Hastelloy® or the like, aluminum, or an aluminum alloy. If a film is formed through ALD to be described later, a structural aspect in which only one gas diffusion space is provided in the shower head unit 6 may be employed. A sealing member 14 configured as, for example, an O ring or the like may be interposed at a connection portion between the shower head unit 6 and an upper opening portion of the processing chamber 4 to maintain air-tightness of the interior of the processing chamber 4.
Also, an entrance/exit 16 for allowing a semiconductor wafer W, as an object to be processed, to be carried in or out of the processing chamber 4 therethrough is formed at a side wall of the processing chamber 4. A gate valve 18 is installed on the entrance/exit 16 so as to be opened and closed air-tightly.
Further, an exhaust space 22 is formed at a lower portion 20 of the processing chamber 4. More specifically, a large opening 24 is formed at a central portion of the lower portion 20 of the chamber, and a cylindrical partition wall 26 which has a bottom of a cylindrical shape and extends downward is connected to the corresponding opening 24 and the interior of the cylindrical partition wall 26 forms (demarcates) the exhaust space 22. A mounting table structure 30 is installed on a bottom portion 28 of the cylindrical partition wall 26 such that it stands up on the bottom portion 28. Specifically, the mounting table structure 30 is configured to mainly include a cylindrical support 32 standing up on the bottom portion 28 and a mounting table 34 fixed to an upper end portion of the support 32 and allowing the semiconductor wafer W, an object to be processed, to be mounted on an upper surface thereof.
The mounting table 34 is made of, for example, a ceramic material, quartz glass, or aluminum (also including an aluminum alloy). A resistance heater 36 configured as, for example, a carbon wire heater, or the like for generating heat through electrical connection is contained as a heating unit in the mounting table 34. Accordingly, the semiconductor wafer W mounted on the upper surface of the mounting table 34 can be heated.
A plurality of, e.g., three, pin insertion holes 38 are formed in a penetrative manner in a vertical direction in the mounting table 34 (only two pin insertion holes are illustrated in FIG. 1). Push-up pins 40 are disposed in the respective insertion holes 38 in a state that they are inserted so as to be movable up and down. Push-up rings 42 made of, for example, a ceramic material such as alumina, and having a circular ring shape are disposed at lower ends of the push-up pins 40, respectively. Namely, the lower ends of the respective push-up pins 40 are supported by the push-up rings 42. An arm portion 44 extending from the push-up ring 42 is connected to an appearance/disappearance rod 46 installed to penetrate the bottom portion 20 of the chamber. The appearance/disappearance rod 46 is lifted and lowered by an actuator 48.
Accordingly, when the wafer W is carried in or out, the respective push-up pins 40 can be protruded from upper ends of the respective pin insertion holes 38 to an upper side or retreated therefrom. Also, a retractable bellows 50 is installed in a through portion of the bottom portion of the chamber of the appearance/disappearance rod 46, and the appearance/disappearance rod 46 can ascend or descend while maintaining air-tightness in the interior of the processing chamber 4.
The opening 24 at the entrance of the exhaust space 22 is configured to be smaller than the diameter of the mounting table 34, and a gas flowing at an outer side of a circumferential portion of the mounting table 34 moves to a lower side of the mounting table 34 and then flows to the opening 24. Also, an exhaust port 52 is formed on a lower side wall of the cylindrical partition wall 26 such that it faces the exhaust space 22. A vacuum exhaust system 54 is connected to the exhaust port 52. The vacuum exhaust system 54 has an exhaust passage 56 formed by an exhaust pipe 110 connected to the exhaust port 52, and a pressure regulation valve 58, a vacuum pump 60, an abatement device (not shown), and the like are sequentially installed in the exhaust passage 56. Accordingly, air can be exhausted by controlling the pressure of the atmosphere in the processing chamber 4 and the exhaust space 22. And, a rubber heater 112, or the like is wound on the exhaust pipe 110 to perform heating at a certain temperature.
Further, heating units, e.g., cartridge heaters 62, 64, 114, and 116 are buried in a side wall of the processing chamber 4, a side wall of the shower head unit 6, a side wall of the cylindrical partition wall 26, and the bottom portion 28 of the cylindrical partition wall 26 in order to maintain a raw material gas at a certain temperature, e.g., at 80 degrees C., to prevent the raw material gas from being re-liquefied.
Also, a raw material gas supply unit 66 for supplying a raw material gas and an oxygen-containing gas supply unit 68 for supplying an oxygen-containing gas, e.g., water vapor (H2O), are connected to the shower head unit 6 in order to supply a certain gas to the shower head unit 6.
The raw material gas supply unit 66 has a raw material gas flow path 72 connected to a gas entrance 70 of the gas diffusion space 12A in one direction among two gas diffusion spaces. A switching valve 74 is installed at a midway point of the raw material gas flow path 72, and the raw material gas flow path 72 is connected to a first raw material source 78 for containing a first raw material by way of the switching valve 74. Also, a flow rate controller 76 such as a mass flow controller is installed on the raw material gas flow path 72 at an upper stream side of the first raw material source 78 in order to regulate a flow rate of a bubbling gas.
As a first raw material, an organic metal raw material including metal is used. For example, a raw material gas may be bubbled by an inert gas, such as a flow rate-controlled Ar gas, or the like so as to be gasified, whereby the organic metal raw material may be supplied along with the inert gas. Here, when a vapor pressure of the raw material is low, the first raw material source 78 is heated by a heater (not shown), or the like in order to increase the vapor pressure of the raw material. As the organic metal raw material, for example, (EtCp)2Mn (precursor: cyclopentadienylmanganese) including manganese is stored in a liquid state in the first raw material source 78. Also, a rare gas such as He, Ne, or the like, or N2 or H2, instead of Ar gas, may be used as the inert gas for bubbling.
Further, a tape heater 80 is wound around the raw material gas flow path 72 or the switching valve 74 installed at the raw material gas flow path 72 in order to prevent the raw material gas from being re-liquefied, and these are heated at, for example, 80 degrees C. Also, of course, a plurality of raw material gas supply units may be installed depending on a raw material in use.
The oxygen-containing gas supply unit 68 includes a gas flow path 84 connected to a gas entrance 82 of the other gas diffusion space 12B. A switching valve 86 and a flow rate controller 88 such as a mass flow controller are sequentially installed in the midway points of the gas flow path 84, connecting the gas flow path 84 to a water vapor source 90 for generating water vapor. The water vapor source 90 may be configured as, for example, a water tank. The water tank may be maintained at, for example, about 40 degrees C., by, for example, a temperature regulator 92, and generates water vapor by increasing a vapor pressure.
Also, a tape heater 94 is wound around the flow rate controller 88 without the gas flow path 84 and the switching valve 86 installed at the gas flow path 84, in order to prevent water vapor from being re-liquefied, and these are heated at, for example, 80 degrees C.
In the present embodiment, the raw material gas is introduced into the gas diffusion space 12A positioned at an upper side of the shower head unit 6, and the oxygen-containing gas (water vapor) is introduced into the gas diffusion space 12B positioned at a lower side of the shower head unit 6. This is because, since the shower head unit 6 is in proximity to the mounting table 34, the temperature of the gas injection face 8 is increased. Namely, if the raw material gas is introduced into the lower gas diffusion space 12B, the raw material gas would likely decompose.
Also, although not shown, a purging inert gas supply unit is connected to the shower head unit 6, and a purging gas may be supplied as necessary. As the purging gas, an inert gas such as N2 gas, Ar gas, He gas, Ne gas, or the like may be used. And, a hydrophobic layer 96, which is the feature of the present disclosure, is installed on the surfaces of members exposed to the atmosphere within the processing chamber 4.
More specifically, in the present embodiment, the members include the processing chamber 4, the shower head unit 6, the mounting table structure 30, the gate valve 18, and the like. Namely, they include the inner surface of the processing chamber 4 (also including the inner surface of the cylindrical partition wall 26), the lower surface of the shower head unit 6, respective surfaces of the mounting table 34, respective surfaces of the support 32, the inner surface of the gate valve 18, and the like, and the surfaces directly exposed to the atmosphere within the processing chamber 4. And the hydrophobic layer 96 is installed on those surfaces. The situation in this case is shown in FIG. 2. Namely, the hydrophobic layer 96 is installed on the surfaces of the respective members represented by the processing chamber 4, and the like. The surface of the hydrophobic layer 96 is hydrophobic. Thus, adhesion of sediment on the respective surfaces of the hydrophobic layer 96 is suppressed. Also, in this case, in order to sufficiently exert the sediment adhesion suppression effects, the hydrophobic layer 96 may be formed on at least the inner surface of the processing chamber 4. In addition, the hydrophobic layer 96 is preferably installed on an inner surface of the exhaust pipe 110 connected to the processing chamber 4, or the inner wall or an inner structure of the pressure regulation valve 58 and the vacuum pump 60.
As the hydrophobic layer 96, specifically, an SiOC layer, a fluorinated resin layer, a lubricated alumite or hydrophobic heat-resistant paint, or a hydrophobized silicon layer may be used. Such hydrophobic layer 96 is formed to have a thickness ranging, for example, from 0.01 to 5 mm. In the SiOC layer, the SiOC material itself is hydrophobic. As the SiOC layer, an SiOC material having a dense interior, or an SiOC material being porous therein may be used. In one example, as the SiOC layer, Black Diamond®, Aurora ULK®, or the like may be used. Also, in the fluorinated resin layer, the fluorinated resin material itself is hydrophobic. As the fluorinated resin layer, for example, Teflon® may be used. Also, the lubricated alumite is formed by charging (filling) fatty acid such as oleic acid or the like, graphite, or a Teflon resin (fluorinated resin) in fine pores of a hard alumite coated film, and it also includes an alumite obtained by adsorbing fine particles of poly tetrafluoreethylene (PTFE).
Also, the silicon layer is formed on the surfaces of the respective members of the processing chamber 4, or the like by a silicon thermal spray process, for example. In this case, as shown in FIGS. 3A and 3B, the surface of the silicon layer 100 is terminated with an —OH group which is a hydrophilic group, so hydrophobization (or hydrophobic treatment) is performed on the surface of the silicon layer 100 to make the surface hydrophobic, thus forming the hydrophobic layer 96. Examples of the hydrophobization may include a method for HF-cleansing the surface of the silicon layer 100 as shown in FIG. 3A and a method of processing the surface of the silicon layer 100 with hexamethyldisilazane (HMDS)[=(CH3)3Si—NH—Si(CH3)3] as shown in FIG. 3B. By performing HF cleansing, the —OH group on the surface of the silicon layer 100 is substituted with a —H and hydrogen-terminated as shown in FIG. 3A. Accordingly, hydrophobicity can be exhibited. Or, by performing HMDS, the —OH group on the surface of the silicon layer 100 is reacted with HMDS so as to be silylated and Si and three methyl groups are bonded as shown in FIG. 3B. Accordingly, hydrophobicity can be exhibited. Also, as shown in FIG. 3B, R1, R2, and R3 are not limited to the methyl groups, but they may be alkyl groups.
Preferably, before the film forming device 2 is assembled, i.e., in a state the respective members exist as unassembled parts, the above-mentioned hydrophobic layer 96 is selectively formed on the surfaces which are to be exposed to the atmosphere within the processing chamber 4 after assembling the film forming device 2.
Referring back to FIG. 1, in order to control the overall operation of this device, for example, a control unit 102 configured as a computer, or the like is installed. The control unit 102 controls the initiation and the termination of the supply of respective gases, a supply amount of the respective gases, the pressure within the processing chamber 4, the temperature of the wafer W, and the like. Also, the control unit 102 includes a storage medium 104 for storing a computer program for performing the foregoing control, or a user interface 106. As the storage medium 104, for example, a flexible disk, a flash memory, a hard disk, a compact disc (CD), or the like may be used. The user interface 106 is configured as a keyboard for allowing an operator to input and output a command to manage the film forming device 2, a display for visually displaying an actuation state of the film forming device 2, and the like.
Next, the operation of the film forming device 2 configured as described above will be described. First, the surface of the unprocessed semiconductor wafer W is covered by, for example, an insulating layer such as an interlaying insulating layer or the like, and the semiconductor wafer W has trenches such as a contact hole, a via hole, or a wiring recess, reaching an underlying wiring layer, previously formed therein. Such a wafer W is maintained by a carrying arm (not shown) and carried into the processing chamber 4 through the gate valve 18 in an open state, and the entrance/exit 16. Also, the wafer W is handed over to the lifted push-up pins 40. As the push-up pins 40 are lowered, the wafer W is mounted on an upper surface of the mounting table 34.
Then, the raw material gas supply unit 66 or the oxygen-containing gas supply unit 58 operates, and respective gases, whose flow rates are controlled, are supplied to the shower head unit 6 and sprayed from the gas injection holes 10A and 10B so as to be introduced into the processing space S. Various gas supply aspects exist, as will be described later. Here, an Mn-containing raw material gas and water vapor are supplied.
Also, the vacuum pump 60 installed in the vacuum exhaust system 54 is continuously driven to exhaust air within the processing chamber 4 or the exhaust space 22. And, the degree of opening the pressure regulation valve 58 is adjusted to maintain the atmosphere of the processing space S at a certain processing pressure. At this time, the wafer W is heated by the resistance heater 36 installed in the mounting table 34 and maintained at a certain processing temperature. In this case, the processing temperature of the wafer W is about 200 degrees C. Also, the shower head unit 6 or the processing chamber 4 is heated to have a temperature, e.g., about 80 degrees C., at which the Mn raw material gas is prevented from being re-liquefied.
Accordingly, a desired thin film is formed on the surface of the semiconductor wafer W. In this case, the Mn-containing film is formed as a thin film on the surface of the wafer W. The Mn-containing film may be, specifically, MnOx film (manganese oxide film), and may be MnSixOy which has been reacted with an underlying base, depending on circumstances.
A gas supply aspect in this case, as in yet another related art, for example, includes a method of forming a thin film through thermal CVD by simultaneously supplying an Mn-containing raw material gas and water vapor, and an atomic layered deposition (ALD) method of repeatedly performing a raw material gas adsorption process and a reaction process by alternately intermittently supplying the Mn-containing raw material gas and water vapor repeatedly. Any supply aspect (film forming method) may be used. In the ALD method, the adsorption of the raw material gas and the reaction by supplying water vapor are alternately performed to stack a thin film having a thickness of an atomic level or a molecular level one by one repeatedly.
In the film forming process as described above, in case of the conventional film forming device, when the Mn-containing raw material gas or water vapor is supplied into the processing chamber, the raw material gas or water vapor tend to be attached to the surfaces of the members exposed to the atmosphere within the processing chamber, e.g., the inner surface of the processing chamber, the gas injection face of the shower head unit, the surface of the mounting table, the inner surface of the gate valve, and the like, and the Mn-containing thin film is unnecessarily deposited thereon.
However, in the film forming device 2 according to the present embodiment, as described above, since the hydrophobic layer 96 is installed on each of the surfaces of the members exposed to the atmosphere within the processing chamber 4, i.e., the inner surface of the processing chamber 4, the gas injection face 8 of the shower head unit 6, the surface of the mounting table structure 30 including the mounting table 34 and the support 32, and the inner surface of the gate valve 18, the Mn-containing raw material gas or water vapor is effectively restrained from being attached to the surfaces of the respective members.
In particular, when a raw material such as (EtCp)2Mn is used as an Mn-containing raw material, since cyclo pentadienyl (Cp) is aromaticus and has π electrons, it is considered difficult for the Mn-containing raw material itself to be adsorbed to the surface of the hydrophobic member. As an Mn raw material having this cyclo pentadienyl ligand, for example, (EtCp)2Mn[═Mn(C2H5C5H4)2], Cp2Mn[═Mn(C5H5)2], (MeCp)2Mn[═Mn(CH3C5H4)2], (i-PrCp)2Mn[═Mn(C3H7C5H4)2], MeCpMn(CO)3[═(CH3C5H4)Mn(CO)3], (t-BuCp)2Mn[═Mn(C4H9C5H4)2], Mn(DMPD)(EtCp)[═Mn(C7H11C2H5C5H4)], ((CH3)5Cp)2Mn[═Mn((CH3)5C5H4)2 may be used. Namely, one or more materials selected from the group consisting of these materials may be used. In this case, attachment and deposition of unnecessary sediment on the surfaces of the respective members can be more effectively prevented. Thus, the generation of particles due to delamination of the unnecessary sediment can be considerably restrained. Also, down time of the device according to maintenance such as cleaning, or the like within the processing chamber can be reduced, and operational cost can also be reduced.
Next, an experiment of evaluating the hydrophobic layer 96 used for the film forming device as described above was performed. The results will be described. Here, a chip (small piece) having a surface with materials constituting respective hydrophobic layers formed thereon was installed on the mounting table within the processing chamber, 200 degrees C., as the same processing temperature as that in forming the MnOx film, was maintained, and the same film forming processing as that of MnOx film as described was performed for 10 minutes.
Also, for a comparison, the same film forming processing was performed on a chip of an aluminum alloy used as a constituent material of the processing chamber which was not subjected to hydrophobization and a chip having an SiO2 film formed on a surface thereof by using TEOS as a representative of a hydrophilic surface. The results in this case are shown in FIG. 4. FIG. 4 is a graph showing a film thickness of the films deposited on the respective surface layers.
As shown in FIG. 4, the MnOx film having a thickness of about 4.2 nm is formed to be thick on the surface of the SiO2 film chip which is a hydrophilic surface formed by using TEOS. This is undesirable.
In comparison, in case of using the hydrophobic layer disclosed in the present disclosure, it can be seen that the thickness of the deposited MnOx film is restrained in every material, so it is very thin. Namely, it can be seen that the film thickness of the chip having the HF-treated (hydrophobized) silicon layer was about 0.5 nm, that of the chip having the SiOC layer (Black Diamond) was about 0.2 nm, and that of the chip having the porous SiOC layer was about 0.6 nm, the results of all of which are good.
In the film forming device 2 according to the present embodiment, before the film forming device 2 is assembled, the hydrophobic layer 96 is formed on the required surfaces of the respective members, but the present disclosure is not limited thereto. For example, when a silicon layer is used as the hydrophobic layer 96, hydrophobization may be performed before forming the Mn-containing film after the film forming device is assembled.
FIG. 5 is a flowchart illustrating a process of an example in such a case. More specifically, a hydrophobizing process is performed (S1). Namely, within the processing chamber 4 of the film forming device 2 fabricated by assembling respective members with a silicon layer formed thereon, with the wafer W not accommodated yet, a hydrophobic gas is allowed to flow into the processing chamber 4 from a gas source (not shown), and the surface of the silicon layer formed on the surface of each of the members is hydrophobized. The hydrophobic gas, HF gas or HMDS gas may be used. Accordingly, the surface of the silicon layer formed on the surfaces of the respective members, that is, the inner surface of the processing chamber 4, the gas injection face 8 of the shower head unit 6, the surface of the mounting table structure 30, or the surface of the gate valve 18 is hydrophobized to form the hydrophobic layer 96 as shown in FIG. 3.
Accordingly, as shown in FIG. 1, the hydrophobic layer 96 is formed on each of the surfaces of the respective members. Thereafter, as described above, a thin film forming process is performed (S2). Namely, the Mn-containing raw material gas and water vapor are allowed to flow into the processing chamber 4 to form the MnOx film. Also in this case, since the hydrophobic layer 96 is formed on the surface of each of the members, the same working effects as that described above can be achieved. Further, the foregoing hydrophobization is performed on the silicon layer attached to the surface of each of the members, but the present disclosure is not limited thereto, and hydrophobization may be performed directly on the respective members without installing the silicon layer on the surface thereof.
In addition, in the foregoing embodiment, the same material is used for the hydrophobic layer 96 of each member, but the present disclosure is not limited thereto and various materials may be separately combined. For example, an SiOC layer may be installed as the hydrophobic layer 96 on the inner surface of the processing chamber 4, and an HF-treated silicon layer may be installed as the hydrophobic layer 96 on the gas injection face 8 of the shower head unit 6.
Also, in the foregoing embodiment, water vapor was used as an oxygen-containing gas as an example, but the present disclosure is not limited thereto, and as the oxygen-containing gas, one or more selected from the group consisting of H2O (water vapor), N2O, NO2, NO, O3, O2, H2O2, CO, CO2, alcohols, and organic acid may be used. The alcohols may include a methyl alcohol, an ethyl alcohol, and the like.
Additionally, in the foregoing embodiment, the Mn-containing raw material gas and the oxygen-containing gas were used and the MnOx film was used as a thin film as an example, but the present disclosure is not limited thereto. Namely, the present disclosure may also be applicable to a case where the Mn film is formed as a thin film by using the Mn-containing raw material gas, without using the oxygen-containing gas.
Also, in the foregoing embodiment, (EtCp)2Mn was used as the Mn-containing raw material as an example, but the present disclosure is not limited thereto, and as the Mn-containing raw material, one or more selected from the group consisting of (EtCp)2Mn[═Mn(C2H5C5H4)2], Cp2Mn[═Mn(C5H5)2], (MeCp)2Mn[═Mn(CH3C5H4)2], (i-PrCp)2Mn[═Mn(C3H7C5H4)2], MeCpMn(CO)3[═(CH3C5H4)Mn(CO)3], (t-BuCp)2Mn[═Mn(C4H9C5H4)2], CH3Mn(CO)5, Mn(DPM)3[═Mn(C11H19O2)3], Mn(DMPD)(EtCp)[═Mn(C7H11C2H5C5H4)], Mn(acac)2[═Mn(C5H7O2)2], Mn(DPM)2[═Mn(C11H19O2)2], Mn(acac)3[═Mn(C5H7O2)3], Mn(hfac)2[═Mn(C5HF6O2)3], ((CH3)5Cp)2Mn[═Mn((CH3)5C5H4)2], [Mn(iPr-AMD)2][═Mn(C3H7NC(CH3)NC3H7)2], and [Mn(tBu-AMD)2][═Mn(C4H9NC(CH3)NC4H9)2] may be used.
Further, in the foregoing embodiment, Mn was taken as an example of metal included in the organic metal raw material gas, but the present disclosure is not limited thereto. As the metal included in the organic metal raw material gas, one or more selected from the group consisting of Mn, Nb, Zr, Cr, V, Y, Pd, Ni, Pt, Rh, Tc, Al, Mg, Sn, Ge, Ti, and Re may be used.
Also, in the foregoing embodiment, HF gas or HMDS gas was taken as an example of the hydrophobic gas, but the present disclosure is not limited thereto. As the hydrophobic gas, one or more gases selected from the group consisting of HF, HMDS(Hexamethyldisilazane), TMDS(1,1,3,3-Tetramethyldisilazane), TMSDMA(Dimethylaminotrimethylsilane), DMSDMA(Dimethylsilyldimethylamine), TMMAS(Trimethylmethylaminosilane), TMICS(Trimethyl(isocyanato)silane), TMSA(Trimethylsilylacetylene), and TMSC(Trimethylsilylcyanide5), 1,3,5,7-tetramethylcyclotetrasiloxane, dimethylsilane, tetraethylcyclotetrasilosane, 1,2,3-triethyl-2,4,6-trimethylcyclotrisilazane, 1,2,3,4,5,6-hexamethylcyclotrisilazane, monomethylsilane, hexamethyldisilane, hexamethylsiloxane, trimethylsilane, tetramethylsilane, dimethyldimethoxysilane, octamethylcyclotetrasiloxane, trimethoxymethylsilane, hexaethyldisilazane, hexaphenyldisilazane, heptamethyldisilazane, dipropyl-tetramethyldisalazane, di-n-butyl-tetramethyldisilazane, di-n-octyl-tetramethyldisilazane, divinyl-tetramethyldisilazane, 1,1,3,3,5,5-hexamethylcyclotrisilazane, hexaethylcyclotrisilazane, hexaphenylcyclotrisilazane, octamethylcyclotrisilazane, octaethylcyclotrisilazane, tetraethyl-tetramethylcyclotrisilazane, tetraphenyldimethyldisilazane, diphenyl-tetramethyldisilazane, trivinyl-trimethylcyclotrisilazane, and tetravinyl-tetramethylcyclotetrasilazane may be used.
According to the present disclosure in some embodiments, since the hydrophobic layer is installed on the surface of the member exposed to the atmosphere within the processing chamber, the attachment of sediment on the surfaces of the member can be effectively restrained.
Furthermore, the semiconductor wafer is described here as an example of the object to be processed, but the semiconductor wafer includes a silicon substrate or a compound semiconductor substrate such as GaAs, SiC, GaN, or the like. Also, without being limited thereto, the present disclosure may also be applicable to a glass substrate, a ceramic substrate, or the like used for a liquid crystal display device.

Claims (3)

What is claimed is:
1. A film forming method for forming a thin film on a surface of an object to be processed by using an organic metal raw material gas within a processing chamber configured to exhaust air, the method comprising:
hydrophobizing a surface of the processing chamber by introducing a hydrophobic gas into the processing chamber without the object to be processed accommodated in the processing chamber; and
forming the thin film by introducing the organic metal raw material gas into the processing chamber with the object to be processed accommodated in the processing chamber.
2. A film forming method for forming a thin film on a surface of an object to be processed by using an organic metal raw material gas within a processing chamber configured to exhaust air, the method comprising:
forming a silicon layer on a surface of a member exposed to the atmosphere within the processing chamber in advance;
hydrophobizing a surface of the silicon layer by introducing a hydrophobic gas into the processing chamber without the object to be processed accommodated in the processing chamber; and
forming the thin film by introducing the organic metal raw material gas into the processing chamber with the object to be processed accommodated in the processing chamber.
3. The method of claim 1, wherein the hydrophobic gas is configured as one or more gases selected from the group consisting of HF, HMDS(Hexamethyldisilazane), TMDS(1,1,3,3-Tetramethyldisilazane), TMSDMA(Dimethylaminotrimethylsilane), DMSDMA(Dimethylsilyldimethylamine), TMMAS(Trimethylmethylaminosilane), TMICS(Trimethyl(isocyanato)silane), TMSA(Trimethylsilylacetylene), and TMSC(Trimethylsilylcyanide5), 1,3,5,7-tetramethylcyclotetrasiloxane, dimethylsilane, tetraethylcyclotetrasilosane, 1,2,3-triethyl-2,4,6-trimethylcyclotrisilazane, 1,2,3,4,5,6-hexamethylcyclotrisilazane, monomethylsilane, hexamethyldisilane, hexamethylsiloxane, trimethylsilane, tetramethylsilane, dimethyldimethoxysilane, octamethylcyclotetrasiloxane, trimethoxymethylsilane, hexaethyldisilazane, hexaphenyldisilazane, heptamethyldisilazane, dipropyl-tetramethyldisalazane, di-n-butyl-tetramethyldisilazane, di-n-octyl-tetramethyldisilazane, divinyl-tetramethyldisilazane, 1,1,3,3,5,5-hexamethylcyclotrisilazane, hexaethylcyclotrisilazane, hexaphenylcyclotrisilazane, octamethylcyclotrisilazane, octaethylcyclotrisilazane, tetraethyl-tetramethylcyclotrisilazane, tetraphenyldimethyldisilazane, diphenyl-tetramethyldisilazane, trivinyl-trimethylcyclotrisilazane, and tetravinyl-tetramethylcyclotetrasilazane.
US13/386,307 2009-07-22 2010-07-21 Method for forming a film Expired - Fee Related US8709541B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2009-170837 2009-07-22
JP2009170837A JP5359642B2 (en) 2009-07-22 2009-07-22 Deposition method
PCT/JP2010/062242 WO2011010660A1 (en) 2009-07-22 2010-07-21 Device and method for forming film

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2010/062242 A-371-Of-International WO2011010660A1 (en) 2009-07-22 2010-07-21 Device and method for forming film

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/204,551 Division US20140190409A1 (en) 2009-07-22 2014-03-11 Device and method for forming film

Publications (2)

Publication Number Publication Date
US20120251721A1 US20120251721A1 (en) 2012-10-04
US8709541B2 true US8709541B2 (en) 2014-04-29

Family

ID=43499132

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/386,307 Expired - Fee Related US8709541B2 (en) 2009-07-22 2010-07-21 Method for forming a film
US14/204,551 Abandoned US20140190409A1 (en) 2009-07-22 2014-03-11 Device and method for forming film

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/204,551 Abandoned US20140190409A1 (en) 2009-07-22 2014-03-11 Device and method for forming film

Country Status (5)

Country Link
US (2) US8709541B2 (en)
JP (1) JP5359642B2 (en)
KR (1) KR101361249B1 (en)
CN (1) CN102395705A (en)
WO (1) WO2011010660A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120114869A1 (en) * 2009-07-14 2012-05-10 Tokyo Electron Limited Film forming method
US20190148238A1 (en) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI509695B (en) 2010-06-10 2015-11-21 Asm Int Method for selectively depositing film on substrate
JP5538128B2 (en) * 2010-08-09 2014-07-02 東京エレクトロン株式会社 Exhaust method and gas processing apparatus
JP5687587B2 (en) * 2011-09-14 2015-03-18 株式会社東芝 Film forming method and film forming apparatus
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
CN103178001B (en) * 2011-12-21 2016-06-01 中芯国际集成电路制造(上海)有限公司 The method processing porous ultra-low dielectric constant layer
JP5960614B2 (en) * 2012-03-29 2016-08-02 Ckd株式会社 Fluid control system and fluid control method
JP6068849B2 (en) * 2012-07-17 2017-01-25 東京エレクトロン株式会社 Upper electrode and plasma processing apparatus
US9907175B2 (en) 2013-03-04 2018-02-27 Longitude Semiconductors S.A.R.L. Semiconductor device
CN103400890A (en) * 2013-07-08 2013-11-20 浙江晶科能源有限公司 Reworking technology for striping re-plating of crystal silicon solar cell PECVD (plasma enhanced chemical vapor deposition) chromatic aberration slice
US9847302B2 (en) * 2013-08-23 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer surface conditioning for stability in fab environment
TWI739285B (en) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
JP2016004610A (en) * 2014-06-13 2016-01-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Electrode for battery and method of manufacturing the same
US10316408B2 (en) * 2014-12-12 2019-06-11 Silcotek Corp. Delivery device, manufacturing system and process of manufacturing
JP5963893B2 (en) * 2015-01-09 2016-08-03 株式会社日立国際電気 Substrate processing apparatus, gas dispersion unit, semiconductor device manufacturing method and program
US9816180B2 (en) * 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (en) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. Method of forming induced self-assembly layer on a substrate
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
JP2017222928A (en) * 2016-05-31 2017-12-21 東京エレクトロン株式会社 Selective accumulation by surface treatment
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
JP7183187B2 (en) 2017-05-16 2022-12-05 エーエスエム アイピー ホールディング ビー.ブイ. Selective PEALD of oxides on dielectrics
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
JP7146690B2 (en) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. Selective layer formation using deposition and removal
CN109166898A (en) * 2018-09-04 2019-01-08 武汉华星光电半导体显示技术有限公司 A kind of production method of organic light emitting diode display
US11155916B2 (en) 2018-09-21 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and methods for pumping gases from a chamber
JP2020056104A (en) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
CN111364027A (en) * 2018-12-25 2020-07-03 广东聚华印刷显示技术有限公司 Atomic layer deposition chamber component, preparation method thereof and atomic layer deposition equipment
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
JP7238687B2 (en) * 2019-08-16 2023-03-14 東京エレクトロン株式会社 Film forming apparatus and film forming method
KR102319197B1 (en) * 2019-10-31 2021-11-01 세메스 주식회사 Apparatus and Method for treating substrate
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
CN113106420B (en) * 2020-02-26 2024-05-14 台湾积体电路制造股份有限公司 Method for manufacturing semiconductor device
TW202140833A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
TW202140832A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on metal surfaces
TW202204658A (en) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Simultaneous selective deposition of two different materials on two different surfaces
CN114790543A (en) * 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 Method and system for depositing layers
US11702738B2 (en) * 2021-05-17 2023-07-18 Applied Materials, Inc. Chamber processes for reducing backside particles

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002266073A (en) 2001-03-07 2002-09-18 Tokyo Electron Ltd Film deposition method
JP2004107747A (en) 2002-09-19 2004-04-08 Tokyo Electron Ltd Electroless plating apparatus and electroless plating method
JP2004115899A (en) 2002-09-27 2004-04-15 Ulvac Japan Ltd Surface treatment method, and vacuum vessel
US20040089232A1 (en) * 2002-07-22 2004-05-13 Koji Sasaki Organic film formation apparatus
JP2004311937A (en) 2002-11-30 2004-11-04 Samsung Electronics Co Ltd Method for manufacturing capacitor of semiconductor device through simplification of dielectric film forming process and its dielectric film forming equipment
JP2005251975A (en) 2004-03-04 2005-09-15 Ulvac Japan Ltd Surface structure for vacuum processing chamber
JP2005277390A (en) 2004-02-27 2005-10-06 Handotai Rikougaku Kenkyu Center:Kk Semiconductor device and its manufacturing method
WO2006101171A1 (en) 2005-03-24 2006-09-28 Ulvac, Inc. Production method for vacuum component, resin coating forming device and vacuum film forming system
JP2007501902A (en) 2003-05-09 2007-02-01 エーエスエム アメリカ インコーポレイテッド Reactor surface passivation through chemical deactivation
US20070194005A1 (en) * 2006-02-17 2007-08-23 Tokyo Electron Limited Heating apparatus, heating method, coating apparatus, and storage medium
JP2007537360A (en) 2004-05-12 2007-12-20 アプライド マテリアルズ インコーポレイテッド Apparatus and method for atomic layer deposition of hafnium-containing high dielectric constant dielectric materials
US7344226B2 (en) * 2005-04-04 2008-03-18 Silverbrook Research Pty Ltd Method of hydrophobically coating a printhead
US20090023600A1 (en) * 2004-11-05 2009-01-22 Universitat Osnabruck Device and Process for Measuring Cell Properties
US7600856B2 (en) * 2006-12-12 2009-10-13 Eastman Kodak Company Liquid ejector having improved chamber walls
US7984973B2 (en) * 2006-12-04 2011-07-26 Silverbrook Research Pty Ltd Thermal bend actuator comprising aluminium alloy
US8491803B2 (en) * 2007-11-29 2013-07-23 Zamtec Ltd Method of hydrophobizing and patterning frontside surface of integrated circuit

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
CN1189923C (en) * 2002-09-27 2005-02-16 上海华虹(集团)有限公司 Structure of grid medium with high dielectric and its preparation method
TW200524018A (en) * 2003-11-20 2005-07-16 Ulvac Inc Method of cleaning surface of semiconductor substrate, method of manufacturing film, method of manufacturing semiconductor device and semiconductor device
JP2007194503A (en) * 2006-01-20 2007-08-02 Toshiba Corp Method and device of treating substrate

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002266073A (en) 2001-03-07 2002-09-18 Tokyo Electron Ltd Film deposition method
US20040089232A1 (en) * 2002-07-22 2004-05-13 Koji Sasaki Organic film formation apparatus
JP2004107747A (en) 2002-09-19 2004-04-08 Tokyo Electron Ltd Electroless plating apparatus and electroless plating method
JP2004115899A (en) 2002-09-27 2004-04-15 Ulvac Japan Ltd Surface treatment method, and vacuum vessel
JP2004311937A (en) 2002-11-30 2004-11-04 Samsung Electronics Co Ltd Method for manufacturing capacitor of semiconductor device through simplification of dielectric film forming process and its dielectric film forming equipment
JP2007501902A (en) 2003-05-09 2007-02-01 エーエスエム アメリカ インコーポレイテッド Reactor surface passivation through chemical deactivation
JP2005277390A (en) 2004-02-27 2005-10-06 Handotai Rikougaku Kenkyu Center:Kk Semiconductor device and its manufacturing method
JP2005251975A (en) 2004-03-04 2005-09-15 Ulvac Japan Ltd Surface structure for vacuum processing chamber
JP2007537360A (en) 2004-05-12 2007-12-20 アプライド マテリアルズ インコーポレイテッド Apparatus and method for atomic layer deposition of hafnium-containing high dielectric constant dielectric materials
US20090023600A1 (en) * 2004-11-05 2009-01-22 Universitat Osnabruck Device and Process for Measuring Cell Properties
WO2006101171A1 (en) 2005-03-24 2006-09-28 Ulvac, Inc. Production method for vacuum component, resin coating forming device and vacuum film forming system
US7344226B2 (en) * 2005-04-04 2008-03-18 Silverbrook Research Pty Ltd Method of hydrophobically coating a printhead
US20110228004A1 (en) * 2005-04-04 2011-09-22 Silverbrook Research Pty Ltd Method of hydrophobizing ejection face of printhead
US20070194005A1 (en) * 2006-02-17 2007-08-23 Tokyo Electron Limited Heating apparatus, heating method, coating apparatus, and storage medium
US7984973B2 (en) * 2006-12-04 2011-07-26 Silverbrook Research Pty Ltd Thermal bend actuator comprising aluminium alloy
US7600856B2 (en) * 2006-12-12 2009-10-13 Eastman Kodak Company Liquid ejector having improved chamber walls
US8491803B2 (en) * 2007-11-29 2013-07-23 Zamtec Ltd Method of hydrophobizing and patterning frontside surface of integrated circuit

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
International Search Report cited in PCT Application No. PCT/JP2010/062242, dated Sep. 21, 2010, four (4) pages.

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120114869A1 (en) * 2009-07-14 2012-05-10 Tokyo Electron Limited Film forming method
US9293417B2 (en) * 2009-07-14 2016-03-22 Tokyo Electron Limited Method for forming barrier film on wiring line
US20190148238A1 (en) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof
US10483168B2 (en) * 2017-11-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof
US10510612B2 (en) 2017-11-15 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Low-K gate spacer and formation thereof
US10854521B2 (en) 2017-11-15 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof

Also Published As

Publication number Publication date
US20140190409A1 (en) 2014-07-10
JP2011026634A (en) 2011-02-10
WO2011010660A1 (en) 2011-01-27
US20120251721A1 (en) 2012-10-04
JP5359642B2 (en) 2013-12-04
CN102395705A (en) 2012-03-28
KR20120034110A (en) 2012-04-09
KR101361249B1 (en) 2014-02-11

Similar Documents

Publication Publication Date Title
US8709541B2 (en) Method for forming a film
US8242015B2 (en) Film forming method and film forming apparatus
KR101214704B1 (en) Film forming method and processing system
WO2007142329A1 (en) Film forming apparatus, film forming method, computer program and storage medium
US7695563B2 (en) Pulsed deposition process for tungsten nucleation
KR101275679B1 (en) Barrier layer, film deposition method, and treating system
US7220461B2 (en) Method and apparatus for forming silicon oxide film
KR101422982B1 (en) Film forming method and film forming apparatus
US20030203616A1 (en) Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
KR20220079671A (en) Gap Fill Deposition Process
KR20030056677A (en) Method of forming copper interconnection, semiconductor device fabricated by the same and system for forming copper interconnection
US9536745B2 (en) Tungsten film forming method
WO2005083777A1 (en) Methods and apparatuses promoting adhesion of dielectric barrier film to copper
US8263181B2 (en) Ti-based film forming method and storage medium
US20190096750A1 (en) Selective Film Forming Method and Method of Manufacturing Semiconductor Device
US10096548B2 (en) Method of manufacturing Cu wiring
CN101006194A (en) Film-forming apparatus and film-forming method
US9892965B2 (en) Cu wiring manufacturing method and Cu wiring manufacturing system
JP2006093552A (en) Method of forming copper wire
JP2011171559A (en) Manganese-containing low-dielectric-constant film and method of forming the same, method of manufacturing semiconductor device, and film-forming apparatus
WO2022245726A1 (en) Catalyst enhanced molybdenum deposition and gap fill
JP2006024667A (en) Process for fabricating semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MATSUMOTO, KENJI;MIYOSHI, HIDENORI;REEL/FRAME:028404/0087

Effective date: 20120120

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.)

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.)

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20180429