KR20220079671A - Gap Fill Deposition Process - Google Patents

Gap Fill Deposition Process Download PDF

Info

Publication number
KR20220079671A
KR20220079671A KR1020227016096A KR20227016096A KR20220079671A KR 20220079671 A KR20220079671 A KR 20220079671A KR 1020227016096 A KR1020227016096 A KR 1020227016096A KR 20227016096 A KR20227016096 A KR 20227016096A KR 20220079671 A KR20220079671 A KR 20220079671A
Authority
KR
South Korea
Prior art keywords
layer
substrate
way
gas
chamber
Prior art date
Application number
KR1020227016096A
Other languages
Korean (ko)
Inventor
하오 지앙
니콜라오스 베키아리스
에리카 첸
메훌 비. 나익
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220079671A publication Critical patent/KR20220079671A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides

Abstract

클러스터 처리 시스템(cluster processing system)에서 기판 상에 상호 접속들 구조를 형성하고 이러한 상호 접속들 구조를 열처리하는 방법들이 제공된다. 일 실시예에서, 반도체 디바이스들(semiconductor devices)을 위한 디바이스 구조를 위한 방법은 기판 상에 배치된 재료 층에 형성된 개구에 배리어 층(barrier layer)을 형성하는 단계, 배리어 층 상에 인터페이스 층(interface layer)을 형성하는 단계, 인터페이스 층 상에 갭 충전 층(gap filling layer)을 형성하는 단계, 및 기판 상에 어닐링 공정(annealing process)을 수행하는 단계 ― 어닐링 공정은 5 bar 초과의 압력 범위에서 수행됨 ― 를 포함한다.Methods are provided for forming interconnect structures on a substrate and thermally treating such interconnect structures in a cluster processing system. In one embodiment, a method for device structure for semiconductor devices comprises: forming a barrier layer in an opening formed in a material layer disposed on a substrate; layer), forming a gap filling layer on the interface layer, and performing an annealing process on the substrate, wherein the annealing process is performed in a pressure range greater than 5 bar — includes

Description

갭 충전 증착 공정Gap Fill Deposition Process

[0001] 본 개시의 실시예들은 일반적으로 금속 함유 재료를 형성하기 위한 방법들에 관한 것이다. 보다 구체적으로, 본 개시의 실시예들은 일반적으로 반도체 디바이스들(semiconductor devices)을 위한 디바이스 구조에서 작은 치수 개구에 금속 함유 재료를 형성하기 위한 방법들에 관한 것이다.[0001] SUMMARY Embodiments of the present disclosure relate generally to methods for forming a metal-containing material. More particularly, embodiments of the present disclosure relate generally to methods for forming a metal-containing material in a small dimension opening in a device structure for semiconductor devices.

[0002] 0.5 마이크론 이하의 작은 피처들을 안정적으로 생성하는 것은 반도체 디바이스들의 차세대 초고밀도 집적 회로(Very Large Scale Integration)(VLSI) 및 극대규모 집적 회로(Ultra Large-Scale Integration)(ULSI)를 위한 핵심 기술 과제들 중 하나이다. 그러나, 회로 기술의 한계들까지 내몰림에 따라, VLSI 및 ULSI 상호 접속 기술의 축소된 치수들은 처리 능력들에 대한 추가 요구 사항들을 발생시켰다. 기판 상에 게이트 구조들을 안정적으로 형성하는 것은 VLSI 및 ULSI의 성공 및 개별 기판들 및 다이(die)의 회로 밀도 및 품질을 높이려는 지속적인 노력에 중요하다.[0002] Reliably creating features as small as 0.5 microns are key technical challenges for the next generation of Very Large Scale Integration (VLSI) and Ultra Large-Scale Integration (ULSI) of semiconductor devices. one of them However, as the limitations of circuit technology have been pushed, the reduced dimensions of VLSI and ULSI interconnect technology have placed additional requirements on processing capabilities. Reliably forming gate structures on a substrate is critical to the success of VLSI and ULSI and to the continued effort to increase circuit density and quality of individual substrates and dies.

[0003] 집적 회로 구성요소들의 치수들이 (예를 들어, 초 서브 마이크론(deep sub-micron) 치수들까지) 감소됨에 따라, 만족스러운 수준들의 전기적 성능을 얻기 위해 이러한 구성요소들을 제조하는데 사용되는 재료들이 신중하게 선택되어야 한다. 차세대 디바이스들 및 구조들의 제조를 가능하게 하기 위해, 반도체 칩들의 3 차원(3D) 적층은 트랜지스터들(transistors)의 성능을 개선하기 위해 종종 이용된다. 기존의 2 차원들 대신에 3 차원들로 트랜지스터들을 배열함으로써, 집적 회로들(ICs)에서 복수 개의 트랜지스터들이 서로 매우 가깝게 배치될 수 있다. 반도체 칩들의 3 차원(3D) 적층은 와이어(wire) 길이들을 감소시키고, 배선 지연을 낮게 유지한다. 트렌치들(trenches)의 폭이 계속 축소됨에 따라, 반도체 칩들의 적층에 대한 종횡비(깊이를 폭으로 나눈 값)가 계속 증가한다. 높은 종횡비의 트렌치들의 제조와 관련된 한 가지 과제는 트렌치들에 원하는 재료들을 증착하는 동안 보이드들(voids)이 형성되는 것을 회피하는 것이다.[0003] As the dimensions of integrated circuit components are reduced (eg, down to deep sub-micron dimensions), the materials used to fabricate these components are carefully selected to obtain satisfactory levels of electrical performance. should be chosen To enable the fabrication of next-generation devices and structures, three-dimensional (3D) stacking of semiconductor chips is often used to improve the performance of transistors. By arranging transistors in three dimensions instead of the conventional two dimensions, a plurality of transistors in integrated circuits (ICs) can be arranged very close to each other. Three-dimensional (3D) stacking of semiconductor chips reduces wire lengths and keeps wiring latencies low. As the width of the trenches continues to decrease, the aspect ratio (depth divided by the width) of the stack of semiconductor chips continues to increase. One challenge associated with the fabrication of high aspect ratio trenches is avoiding the formation of voids during deposition of desired materials in the trenches.

[0004] 트렌치를 충전하기 위해, 유전 층 또는 금속 층과 같은 재료 층의 층이 증착된다. 재료 층은 일반적으로 트렌치의 벽들 및 바닥뿐만 아니라 필드(field)도 덮는다. 트렌치가 넓고 얕으면, 트렌치를 완전히 충전하기가 비교적 쉽다. 그러나, 트렌치 종횡비가 증가함에 따라, 트렌치의 개구가 "핀치 오프(pinch off)"되어 트렌치 내에 보이드(예를 들어, 결함들)를 형성할 가능성이 더 높아진다.[0004] To fill the trench, a layer of a material layer, such as a dielectric layer or a metal layer, is deposited. The material layer generally covers the field as well as the walls and floor of the trench. If the trench is wide and shallow, it is relatively easy to completely fill the trench. However, as the trench aspect ratio increases, the openings in the trench are more likely to “pinch off” forming voids (eg, defects) within the trench.

[0005] 트렌치 내에 보이드를 형성하거나 또는 트렌치 내에 시임들(seams)을 형성할 가능성을 감소시키기 위해, 최소한의 결함들을 가지고 원하는 재료 층으로 트렌치를 충전하기 위해 많은 상이한 공정 기술들이 개발되어 왔다. 그러나, 증착 공정 중에 공정 제어가 불량하면 트렌치의 불규칙한 구조 프로파일들(profiles) 또는 조기 폐쇄가 발생할 것이고, 트렌치를 유전체 재료들로 충전하는 동안 트렌치에 보이드들, 시임들 또는 에어 갭(air gap)이 발생한다.[0005] To reduce the likelihood of forming voids in the trench or seams in the trench, many different processing techniques have been developed to fill the trench with a desired layer of material with minimal defects. However, poor process control during the deposition process will result in premature closure or irregular structural profiles of the trench, and voids, seams or air gaps in the trench while filling the trench with dielectric materials. Occurs.

[0006] 따라서, 최소한의 결함들을 갖는 원하는 프로파일들을 갖는 트렌치에 재료 층들을 형성하기 위한 증착 공정들의 개선들에 대한 필요성이 존재한다.[0006] Accordingly, a need exists for improvements in deposition processes for forming material layers in a trench having desired profiles with minimal defects.

[0007] 클러스터 처리 시스템(cluster processing system)에서 기판 상에 상호 접속들 구조를 형성하고 이러한 상호 접속들 구조를 열처리하는 방법들이 제공된다. 일 실시예에서, 반도체 디바이스를 위한 디바이스 구조를 형성하는 방법은 기판 상에 배치된 재료 층에 형성된 개구에 배리어 층(barrier layer)을 형성하는 단계, 배리어 층 상에 인터페이스 층(interface layer)을 형성하는 단계, 인터페이스 층 상에 갭 충전 층(gap filling layer)을 형성하는 단계, 및 기판 상에 어닐링 공정(annealing process)을 수행하는 단계를 포함하고, 여기서 어닐링 공정은 5 bar 초과의 압력 범위에서 수행된다.[0007] Methods are provided for forming interconnect structures on a substrate and thermally treating such interconnect structures in a cluster processing system. In one embodiment, a method of forming a device structure for a semiconductor device includes forming a barrier layer in an opening formed in a material layer disposed on a substrate, forming an interface layer on the barrier layer forming a gap filling layer on the interface layer, and performing an annealing process on the substrate, wherein the annealing process is performed in a pressure range of greater than 5 bar do.

[0008] 다른 실시예에서, 상호 접속 구조는 기판 상에 배치된 재료 층에 한정된 개구에 형성된 배리어 층, 배리어 층 상에 배치된 인터페이스 층, 및 인터페이스 층 상에 배치된 갭 충전 층을 포함하고, 여기서 갭 충전 층은 10 nm 초과의 평균 결정 입도(average grain size)를 갖는다.[0008] In another embodiment, the interconnect structure includes a barrier layer formed in an opening defined in a material layer disposed on a substrate, an interface layer disposed on the barrier layer, and a gap filling layer disposed on the interface layer, wherein the gap fill layer The layer has an average grain size greater than 10 nm.

[0009] 또 다른 실시예에서, 상호 접속 구조를 형성하는 방법은 미리 결정된 두께의 갭 충전 층이 얻어질 때까지 증착 공정 및 플라즈마 처리 공정을 반복적으로 수행함으로써 갭 충전 층 형성 공정을 형성하는 단계, 및 수소 또는 수소 동위 원소 함유 가스를 공급하면서 5 bar 초과의 압력에서 갭 충전 층 상에 어닐링 공정을 수행하는 단계를 포함한다.[0009] In another embodiment, a method of forming an interconnect structure includes forming a gap-filling layer forming process by repeatedly performing a deposition process and a plasma treatment process until a gap-filling layer of a predetermined thickness is obtained, and hydrogen or and performing an annealing process on the gap filling layer at a pressure of greater than 5 bar while supplying a gas containing an isotope of hydrogen.

[0010] 이상에서 언급된 본 개시의 특징들이 상세하게 이해될 수 있도록, 위에서 간략하게 요약된 본 개시의 보다 특정한 설명은 실시예들을 참조하여 이루어질 수 있으며, 이 실시예들 중 일부가 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시의 전형적인 실시예들만을 예시하는 것이고, 따라서, 본 개시의 범위를 제한하는 것으로 간주되어서는 안된다는 점에 유의해야 하는데, 이는 본 개시가 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1은 기판 상에서 사전 클리닝 공정을 수행하기 위해 이용될 수 있는 사전 클리닝 처리 챔버를 도시한다.
[0012] 도 2는 본 개시의 일 실시예에 따른 원자층 증착(ALD) 처리 공정을 수행하기 위해 이용될 수 있는 장치를 도시한다.
[0013] 도 3은 본 개시의 일 실시예에 따른 화학 기상 증착(CVD) 처리 공정을 수행하기 위해 이용될 수 있는 장치를 도시한다.
[0014] 도 4는 본 개시의 일 실시예에 따른 고압 열 어닐링 처리 공정을 수행하기 위해 이용될 수 있는 장치를 도시한다.
[0015] 도 5는 본 개시의 일 실시예를 실시하기 위해 도 1 내지 도 4의 처리 챔버들이 내부에 통합될 수 있는 클러스터 처리 시스템의 일 실시예를 도시한다.
[0016] 도 6은 기판 상에 금속 함유 재료를 형성하기 위한 방법의 일 예의 흐름도를 도시한다.
[0017] 도 7a 내지 도 7d는 도 6에 도시된 공정에 따른 제조 공정 동안 기판 상에 금속 함유 재료를 형성하기 위한 시퀀스의 일 실시예를 도시한다.
[0018] 도 8은 본 개시의 일 실시예를 실시하기 위해 도면의 방법에 의해 제조된 상호 접속 구조의 단면도를 도시한다.
[0019] 이해를 용이하게 하기 위해, 도면들에 공통적인 동일한 요소들을 나타내기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 요소들 및 특징들은 더 이상의 언급 없이도 다른 실시예들에 유리하게 통합될 수 있는 것이 고려된다.
[0020] 그러나, 첨부된 도면들은 본 개시의 예시적인 실시예들을 예시할 뿐이고, 따라서 본 개시의 범위를 제한하는 것으로 간주되어서는 안된다는 점에 유의해야 하는데, 이는 본 개시가 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
BRIEF DESCRIPTION OF THE DRAWINGS In order that the features of the present disclosure mentioned above may be understood in detail, a more specific description of the present disclosure briefly summarized above may be made with reference to embodiments, some of which are attached drawings are exemplified in It should be noted, however, that the appended drawings illustrate only typical embodiments of the present disclosure and, therefore, are not to be regarded as limiting the scope of the present disclosure, as the present disclosure permits other equally effective embodiments. because you can
1 shows a pre-clean processing chamber that may be used to perform a pre-clean process on a substrate;
2 shows an apparatus that may be used to perform an atomic layer deposition (ALD) processing process in accordance with an embodiment of the present disclosure;
3 shows an apparatus that may be used to perform a chemical vapor deposition (CVD) processing process according to an embodiment of the present disclosure;
4 shows an apparatus that may be used to perform a high pressure thermal annealing treatment process according to an embodiment of the present disclosure.
[0015] FIG. 5 shows one embodiment of a cluster processing system into which the processing chambers of FIGS. 1-4 may be incorporated to practice one embodiment of the present disclosure;
6 shows a flow diagram of an example of a method for forming a metal-containing material on a substrate;
7A-7D show one embodiment of a sequence for forming a metal-containing material on a substrate during a manufacturing process according to the process shown in FIG. 6 ;
8 shows a cross-sectional view of an interconnect structure fabricated by the method of the drawing for practicing an embodiment of the present disclosure;
To facilitate understanding, the same reference numbers have been used where possible to refer to like elements that are common to the drawings. It is contemplated that elements and features of one embodiment may be advantageously incorporated into other embodiments without further recitation.
[0020] It should be noted, however, that the appended drawings illustrate exemplary embodiments of the present disclosure only, and are therefore not to be regarded as limiting the scope of the present disclosure, since the present disclosure may differ from other equally effective embodiments. Because they can allow them.

[0021] 반도체 디바이스에서 우수한 갭 충전 성능을 갖는 기판 상에 금속 함유 상호 접속 구조를 형성하는 방법들이 제공된다. 일 예에서, 우수한 갭 충전 성능을 갖는 기판 상에 배치된 재료 층의 개구를 충전하기 위해 사전 클리닝 공정, 배리어 층 증착 공정, 인터페이스 층 증착 공정, 갭 충전 층 증착 공정 및 고압 어닐링 공정이 수행된다. 또한, 대기로부터 또는 환경으로부터의 오염 및 오탁 가능성이 실질적으로 제거될 수 있도록 진공을 깨뜨리지 않고(예를 들어, 기판이 클러스터 처리 시스템에 있는 동안 대기에 노출되지 않음) 클러스터 처리 시스템에서 사전 클리닝 공정, 배리어 층 증착 공정, 인터페이스 층 증착 공정 및 갭 충전 층 증착 공정이 형성될 수 있다. 배리어 층, 인터페이스 층 및 갭 충전 층을 형성한 후 수행되는 고압 어닐링 공정은 갭 충전 층의 결정 구조를 향상시켜, 이에 따라 갭 충전 층의 막 품질들 및 순도들을 향상시키는데 도움이 될 수 있다.[0021] Methods are provided for forming a metal-containing interconnect structure on a substrate having good gap filling performance in a semiconductor device. In one example, a pre-cleaning process, a barrier layer deposition process, an interface layer deposition process, a gap fill layer deposition process, and a high pressure annealing process are performed to fill an opening in a material layer disposed on a substrate having good gap filling performance. Also, a pre-cleaning process in the cluster processing system without breaking the vacuum (e.g., the substrate is not exposed to the atmosphere while in the cluster processing system) so that the potential for contamination and contamination from the atmosphere or from the environment can be substantially removed; A barrier layer deposition process, an interface layer deposition process, and a gap fill layer deposition process may be formed. The high-pressure annealing process performed after forming the barrier layer, interface layer and gap filling layer can help to improve the crystal structure of the gap filling layer, thus improving the film qualities and purities of the gap filling layer.

[0022] 도 1은 아래에서 더 설명되는 바와 같이 기판 사전 클리닝 공정을 수행하기에 적합한 예시적인 처리 챔버(100)의 단면도이다. 처리 챔버(100)는 기판 표면으로부터의 표면 오염 또는 자연 산화물을 제거하도록 구성될 수 있다. 처리 챔버(100)는 원격 플라즈마 표면 클리닝 공정을 수행하는데 특히 유용하다. 처리 챔버(100)는 캘리포니아, 산타클라라 소재의 Applied Materials로부터 입수 가능한 FrontierTM, PCxT Reactive PrecleanTM (RPC), AKTIV Pre-CleanTM, SiconiTM 또는 CapaTM 챔버일 수 있다. 다른 제조사들로부터 입수 가능한 다른 진공 처리 챔버들이 또한 본 개시를 실시하도록 구성될 수 있다는 점에 유의해야 한다.1 is a cross-sectional view of an exemplary processing chamber 100 suitable for performing a substrate pre-cleaning process as further described below. The processing chamber 100 may be configured to remove surface contamination or native oxides from the substrate surface. The processing chamber 100 is particularly useful for performing remote plasma surface cleaning processes. The treatment chamber 100 may be a Frontier , PCxT Reactive Preclean (RPC), AKTIV Pre-Clean , Siconi or Capa chamber available from Applied Materials, Santa Clara, CA. It should be noted that other vacuum processing chambers available from other manufacturers may also be configured to practice the present disclosure.

[0023] 처리 챔버(100)는 챔버 본체(112), 덮개 조립체(123), 및 지지 조립체(180)를 포함한다. 덮개 조립체(123)는 챔버 본체(112)의 상부 단부에 배치되고, 지지 조립체(180)는 적어도 부분적으로 챔버 본체(112) 내에 배치된다.[0023] The processing chamber 100 includes a chamber body 112 , a lid assembly 123 , and a support assembly 180 . A lid assembly 123 is disposed at an upper end of the chamber body 112 , and a support assembly 180 is disposed at least partially within the chamber body 112 .

[0024] 챔버 본체(112)는 처리 챔버(100)의 내부로의 접근을 제공하기 위해 그 측벽에 형성된 슬릿 밸브 개구(slit valve opening)(114)를 포함한다. 슬릿 밸브 개구(114)는 웨이퍼 핸들링 로봇(wafer handling robot)(도시되지 않음)에 의해 챔버 본체(112)의 내부로의 접근을 허용하도록 선택적으로 개방되고 폐쇄된다.[0024] The chamber body 112 includes a slit valve opening 114 formed in its sidewall to provide access to the interior of the processing chamber 100 . The slit valve opening 114 is selectively opened and closed to allow access to the interior of the chamber body 112 by a wafer handling robot (not shown).

[0025] 하나 이상의 구현들에서, 챔버 본체(112)는 이를 통해 열 전달 유체를 흐르게 하기 위해 내부에 형성된 채널(115)을 포함한다. 챔버 본체(112)는 지지 조립체(180)를 둘러싸는 라이너(liner)(120)를 더 포함할 수 있다. 라이너(120)는 정비 및 클리닝을 위해 제거 가능하다. 하나 이상의 실시예들에서, 라이너(120)는 하나 이상의 구멍들(125) 및 진공 시스템과 유체 연통하는 내부에 형성된 펌핑 채널(pumping channel)(129)을 포함한다. 구멍들(125)은 처리 챔버(100) 내의 가스들에 대한 출구를 제공하는 펌핑 채널(129)로의 가스들의 흐름 경로를 제공한다.[0025] In one or more implementations, the chamber body 112 includes a channel 115 formed therein for flowing a heat transfer fluid therethrough. The chamber body 112 may further include a liner 120 surrounding the support assembly 180 . The liner 120 is removable for servicing and cleaning. In one or more embodiments, the liner 120 includes one or more apertures 125 and a pumping channel 129 formed therein in fluid communication with a vacuum system. The apertures 125 provide a flow path for gases into a pumping channel 129 that provides an outlet for the gases within the processing chamber 100 .

[0026] 진공 시스템은 처리 챔버(100)를 통한 가스들의 흐름을 조절하기 위해 진공 펌프(130) 및 스로틀 밸브(throttle valve)(132)를 포함할 수 있다. 진공 펌프(130)는 챔버 본체(112)에 배치된 진공 포트(131)에 결합되고, 따라서 라이너(120) 내에 형성된 펌핑 채널(129)과 유체 연통한다.[0026] The vacuum system may include a vacuum pump 130 and a throttle valve 132 to regulate the flow of gases through the processing chamber 100 . The vacuum pump 130 is coupled to a vacuum port 131 disposed in the chamber body 112 , and is thus in fluid communication with a pumping channel 129 formed within the liner 120 .

[0027] 원격 플라즈마 시스템(110)은 할로겐 함유 전구체, 예를 들어 불소 함유 전구체를 처리할 수 있으며, 이는 그 후 가스 입구 조립체(111)를 통해 이동한다. 2 개의 별개의 가스 공급 채널들(제1 채널(109) 및 제2 채널(113))은 가스 입구 조립체(111) 내에서 볼 수 있다. 제1 채널(109)은 원격 플라즈마 시스템(110)(RPS)을 통과하는 가스를 운반하는 반면, 제2 채널(113)은 원격 플라즈마 시스템(110)을 우회한다. 채널(109, 113) 중 하나는 할로겐 함유 전구체에 대해 사용될 수 있다. 한편, 제1 채널(109)은 공정 가스에 대해 사용될 수 있고, 제2 채널(113)은 처리 가스에 대해 사용될 수 있다. 덮개 조립체(또는 전도성 상단 부분)(123) 및 천공된 격벽(153)(또는 샤워헤드)이 그 사이에 절연 링(124)을 갖는 것으로 도시되어 있으며, 이는 천공된 격벽(153)에 대해 덮개 조립체(123)에 AC 전위가 인가되도록 허용한다. AC 전위는 챔버 플라즈마 영역(121)의 플라즈마와 충돌한다. 공정 가스는 제1 채널(109)을 통해 챔버 플라즈마 영역(121)으로 이동할 수 있고, 챔버 플라즈마 영역(121)에서 단독으로 또는 원격 플라즈마 시스템(110)과 조합하여 플라즈마에 의해 여기될 수 있다. 공정 가스가 제2 채널(113)을 통해 흐른다면, 이 경우 챔버 플라즈마 영역(121)만이 여기를 위해 사용된다. 챔버 플라즈마 영역(121) 및/또는 원격 플라즈마 시스템(110)의 조합은 여기에서 원격 플라즈마 시스템으로 지칭될 수 있다. 천공된 격벽(샤워헤드라고도 함)(153)은 천공된 격벽(153) 아래의 기판 처리 영역(141)으로부터 챔버 플라즈마 영역(121)을 분리한다. 천공된 격벽(153)은 챔버 플라즈마 영역(121)에 존재하는 플라즈마가 기판 처리 영역(141)에서 가스를 직접적으로 여기시키는 것을 회피할 수 있게 허용하는 한편, 여기된 종이 여전히 챔버 플라즈마 영역(121)으로부터 기판 처리 영역(141)으로 이동하는 것을 허용한다.[0027] The remote plasma system 110 may process a halogen containing precursor, eg, a fluorine containing precursor, which then travels through the gas inlet assembly 111 . Two separate gas supply channels (first channel 109 and second channel 113 ) are visible in gas inlet assembly 111 . A first channel 109 carries gas through the remote plasma system 110 (RPS), while a second channel 113 bypasses the remote plasma system 110 . One of the channels 109 and 113 may be used for the halogen containing precursor. Meanwhile, the first channel 109 may be used for the process gas, and the second channel 113 may be used for the process gas. A lid assembly (or conductive top portion) 123 and a perforated septum 153 (or showerhead) are shown with an insulating ring 124 therebetween, which is relative to the perforated septum 153 . Allow AC potential to be applied to (123). The AC potential collides with the plasma in the chamber plasma region 121 . The process gas may travel through the first channel 109 into the chamber plasma region 121 and may be excited by the plasma in the chamber plasma region 121 alone or in combination with the remote plasma system 110 . If the process gas flows through the second channel 113 , in this case only the chamber plasma region 121 is used for excitation. The combination of chamber plasma region 121 and/or remote plasma system 110 may be referred to herein as a remote plasma system. A perforated septum (also referred to as a showerhead) 153 separates the chamber plasma region 121 from the substrate processing region 141 below the perforated septum 153 . The perforated barrier rib 153 allows the plasma present in the chamber plasma region 121 to avoid directly exciting gases in the substrate processing region 141 , while the excited species still remain in the chamber plasma region 121 . to the substrate processing area 141 .

[0028] 천공된 격벽(153)은 챔버 플라즈마 영역(121)과 기판 처리 영역(141) 사이에 위치 결정되고, 원격 플라즈마 시스템(110) 및/또는 챔버 플라즈마 영역(121) 내에서 생성된 플라즈마 유출물(전구체 또는 다른 가스들의 여기된 유도체들)이 복수의 관통 홀들(156)을 통과하도록 허용한다. 천공된 격벽(153)은 또한, 증기 또는 가스 형태의 전구체로 충전될 수 있고 관통 홀들(156)을 통해 기판 처리 영역(141)으로 통과되지만 챔버 플라즈마 영역(121)으로 직접 통과되지는 않는 하나 이상의 중공 체적들(151)을 갖는다. 챔버 플라즈마 영역(121)으로부터 기판 처리 영역(141)으로 침투하는 여기된 종의 상당한 농도를 유지하기 위해, 관통 홀들(156)의 길이(126)는 제한되고 필요에 따라 다른 구성들로 구성될 수 있다.[0028] The perforated barrier rib 153 is positioned between the chamber plasma region 121 and the substrate processing region 141 , and a plasma effluent (precursor) generated within the remote plasma system 110 and/or chamber plasma region 121 . or excited derivatives of other gases) to pass through the plurality of through holes 156 . The perforated barrier rib 153 may also be filled with a precursor in vapor or gas form and pass through the through holes 156 into the substrate processing region 141 but not directly into the chamber plasma region 121 . It has hollow volumes 151 . To maintain a significant concentration of the excited species penetrating from the chamber plasma region 121 into the substrate processing region 141 , the length 126 of the through holes 156 is limited and may be configured in other configurations as desired. have.

[0029] 천공된 격벽(153)은 도 1에 도시된 바와 같이 이온 억제기의 역할을 하도록 구성될 수 있다. 대안적으로, 기판 처리 영역(141)으로 이동하는 이온 농도를 억제하는 별도의 처리 챔버 요소가 포함될 수 있다(도시되지 않음). 덮개 조립체(123) 및 천공된 격벽(153)은 각각 제1 전극 및 제2 전극으로 기능할 수 있어, 덮개 조립체(123) 및 천공된 격벽(153)이 서로 다른 전압들을 인가받을 수 있다. 이러한 구성들에서, 전력(예를 들어, RF 전력)은 덮개 조립체(123), 천공된 격벽(153), 또는 둘 모두에 인가될 수 있다. 예를 들어, 천공된 격벽(153)(이온 억제기의 역할을 함)이 접지되는 동안 덮개 조립체(123)에 전력이 인가될 수 있다. 기판 처리 챔버(100)는 필요에 따라 덮개 조립체(123) 및/또는 천공된 격벽(153)에 전력을 제공하는 RF 생성기를 포함할 수 있다. 덮개 조립체(123)에 인가된 전압은 챔버 플라즈마 영역(121) 내에서 플라즈마의 균일한 분포를 촉진할 수 있다(즉, 국부적인 플라즈마를 감소시킴). 챔버 플라즈마 영역(121)에서 플라즈마의 형성을 가능하게 하기 위해, 절연 링(124)은 천공된 격벽(153)으로부터 덮개 조립체(123)를 전기적으로 절연할 수 있다. 절연 링(124)은 세라믹으로 제조될 수 있고, 스파크를 피하기 위해 높은 항복 전압을 가질 수 있다. 방금 설명된 용량 결합 플라즈마 구성요소들 근처의 기판 처리 챔버(100)의 부분들은 순환 냉각제(예를 들어, 물)로 플라즈마에 노출된 표면을 냉각하기 위한 하나 이상의 냉각 유체 채널들을 포함하는 냉각 유닛(도시되지 않음)을 더 포함할 수 있다.[0029] Perforated septum 153 may be configured to act as an ion suppressor as shown in FIG. 1 . Alternatively, a separate processing chamber element may be included (not shown) that suppresses the concentration of ions migrating to the substrate processing region 141 . The cover assembly 123 and the perforated barrier rib 153 may function as a first electrode and a second electrode, respectively, so that the cover assembly 123 and the perforated barrier rib 153 may receive different voltages. In such configurations, power (eg, RF power) may be applied to the lid assembly 123 , the perforated bulkhead 153 , or both. For example, power may be applied to the lid assembly 123 while the perforated septum 153 (which serves as an ion suppressor) is grounded. The substrate processing chamber 100 may include an RF generator that provides power to the lid assembly 123 and/or the perforated septum 153 as needed. The voltage applied to the lid assembly 123 may promote a uniform distribution of plasma within the chamber plasma region 121 (ie, reduce localized plasma). To facilitate the formation of plasma in chamber plasma region 121 , insulating ring 124 may electrically insulate lid assembly 123 from perforated bulkhead 153 . The insulating ring 124 may be made of ceramic and may have a high breakdown voltage to avoid sparks. Portions of the substrate processing chamber 100 near the capacitively coupled plasma components just described include a cooling unit comprising one or more cooling fluid channels for cooling a surface exposed to the plasma with a circulating coolant (eg, water); not shown) may be further included.

[0030] 도시된 실시예에서, 천공된 격벽(153)은 챔버 플라즈마 영역(121)에서 플라즈마에 의해 여기될 때 수소, 불소 및/또는 이러한 공정 가스들의 플라즈마 유출물들을 함유하는 공정 가스들을 (관통 홀들(156)을 통해) 분배할 수 있다. 실시예들에서, 원격 플라즈마 시스템(110) 및/또는 챔버 플라즈마 영역(121) 내로 도입되는 공정 가스는 (F2 또는 HF와 같은) 불소를 함유할 수 있다. 공정 가스는 또한 헬륨, 아르곤, 수소(H2) 등과 같은 캐리어 가스를 포함할 수 있다. 플라즈마 유출물들은 공정 가스의 이온화된 또는 중성 유도체들을 포함할 수 있고, 본 명세서에서 도입된 공정 가스의 원자 성분을 언급하는 라디칼-불소로 또한 지칭될 수 있다.In the illustrated embodiment, the perforated barrier rib 153 passes through (through) process gases containing hydrogen, fluorine and/or plasma effluents of such process gases when excited by a plasma in the chamber plasma region 121 . through holes 156). In embodiments, the process gas introduced into remote plasma system 110 and/or chamber plasma region 121 may contain fluorine (such as F 2 or HF). The process gas may also include a carrier gas such as helium, argon, hydrogen (H 2 ), or the like. Plasma effluents may contain ionized or neutral derivatives of the process gas and may also be referred to herein as radical-fluorine, which refers to the atomic component of the process gas introduced.

[0031] 관통 홀들(156)은, 이온적으로 하전된 종(species)이 챔버 플라즈마 영역(121) 외부로 이동하는 것을 억제하는 한편, 하전되지 않은 중성 또는 라디칼 종이 천공된 격벽(153)을 통해 기판 처리 영역(141)으로 통과하는 것을 허용하도록 구성된다. 이러한 하전되지 않은 종은 관통 홀들(156)에 의해 반응성이 낮은 캐리어 가스와 함께 수송되는 반응성이 높은 종을 포함할 수 있다. 위에서 언급한 바와 같이, 관통 홀들(156)에 의한 이온 종의 이동은 감소될 수 있고, 일부 경우들에서는 완전히 억제될 수 있다. 천공된 격벽(153)을 통과하는 이온 종의 양을 제어하는 것은 하부 웨이퍼 기판과 접촉하게 되는 가스 혼합물에 대한 제어를 증가시키고, 이는 차례로 가스 혼합물의 증착 및/또는 에칭 특성의 제어를 증가시킨다. 예를 들어, 가스 혼합물의 이온 농도를 조정하면 에칭 선택도(예를 들어, 실리콘 질화물/산화물 : 실리콘 에칭 비율들)가 크게 변경될 수 있다.[0031] The through holes 156 inhibit migration of ionically charged species out of the chamber plasma region 121 , while uncharged neutral or radical species pass through the perforated barrier rib 153 to the substrate processing region. is configured to allow passage to (141). Such uncharged species may include highly reactive species that are transported with a less reactive carrier gas by the through holes 156 . As mentioned above, migration of ionic species by the through holes 156 may be reduced, and in some cases completely inhibited. Controlling the amount of ionic species passing through the perforated barrier rib 153 increases control over the gas mixture that comes into contact with the underlying wafer substrate, which in turn increases control of the deposition and/or etching properties of the gas mixture. For example, adjusting the ion concentration of the gas mixture can significantly change the etch selectivity (eg, silicon nitride/oxide:silicon etch ratios).

[0032] 실시예들에서, 관통 홀들(156)의 개수는 약 60 내지 약 2000 개일 수 있다. 관통 홀들(156)은 다양한 형상들을 가질 수 있지만, 그러나 가장 쉽게 원형으로 제조될 수 있다. 또한 원추형, 원통형 또는 이 2 개의 형상들의 조합들로 제조될 수 있는 관통 홀들의 단면 형상을 선택하는데 자유가 있다. 관통 홀들(156)은 천공된 격벽(153)을 통한 플라즈마 활성화된 가스(즉, 이온성, 라디칼, 및/또는 중성 종)의 통과를 제어하도록 구성될 수 있다. 예를 들어, 홀들의 종횡비(즉, 홀 직경 대 길이) 및/또는 홀들의 기하학적 구조는 천공된 격벽(153)을 통과하는 활성화된 가스에서 이온으로 하전된 종의 흐름이 감소되도록 제어될 수 있다. 천공된 격벽(153)의 관통 홀들(156)은 챔버 플라즈마 영역(121)을 향하는 테이퍼진 부분(tapered portion), 및 기판 처리 영역(141)을 향하는 원통형 부분을 포함할 수 있다. 원통형 부분은 기판 처리 영역(141) 내로 통과하는 이온 종의 흐름을 제어하도록 비례되고 치수가 결정될 수 있다. 조정 가능한 전기 바이어스(electrical bias)가 또한 천공된 격벽(153)을 통한 이온 종의 흐름을 제어하기 위한 추가 수단으로서 천공된 격벽(153)에 인가될 수 있다.[0032] In embodiments, the number of through holes 156 may range from about 60 to about 2000. The through-holes 156 can have a variety of shapes, however, they can most easily be made circular. There is also freedom to choose the cross-sectional shape of the through-holes, which can be made conical, cylindrical or combinations of the two shapes. The through holes 156 may be configured to control the passage of a plasma activated gas (ie, ionic, radical, and/or neutral species) through the perforated septum 153 . For example, the aspect ratio of the holes (ie, hole diameter to length) and/or the geometry of the holes may be controlled such that the flow of ionically charged species in the activated gas through the perforated septum 153 is reduced. . The through holes 156 of the perforated partition wall 153 may include a tapered portion facing the chamber plasma region 121 and a cylindrical portion facing the substrate processing region 141 . The cylindrical portion may be proportioned and dimensioned to control the flow of ionic species passing into the substrate processing region 141 . An adjustable electrical bias may also be applied to the perforated septum 153 as an additional means to control the flow of ionic species through the perforated septum 153 .

[0033] 대안적으로, 관통 홀들(156)은 천공된 격벽(153)의 상단 표면으로 갈수록 더 작은 내부 직경(ID)을 가질 수 있고, 바닥 표면으로 갈수록 더 큰 ID를 가질 수 있다. 또한, 관통 홀들(156)의 바닥 에지는, 플라즈마 유출물들이 샤워헤드를 빠져나갈 때 기판 처리 영역(141)에서 플라즈마 유출물들을 고르게 분포시키는 것을 돕고 플라즈마 유출물들 및 전구체 가스들의 고른 분포를 촉진하도록 모따기될 수 있다. 더 작은 ID는 관통 홀들(156)을 따라 다양한 위치들에 배치될 수 있고, 여전히 천공된 격벽(153)이 기판 처리 영역(141) 내의 이온 밀도를 감소시키도록 허용할 수 있다. 이온 밀도의 감소는 기판 처리 영역(141)으로 진입하기 전에 벽들과의 충돌들의 횟수의 증가로 인해 발생한다. 각각의 충돌은 이온이 벽에서 전자를 얻거나 또는 잃음으로써 중화될 확률을 증가시킨다. 일반적으로 말해서, 관통 홀들(156)의 더 작은 ID는 약 0.2 mm 내지 약 20 mm일 수 있다. 다른 실시예들에서, 더 작은 ID는 약 1 mm 내지 6 mm 또는 약 0.2 mm 내지 약 5 mm일 수 있다. 또한, 관통 홀들(156)의 종횡비들(즉, 더 작은 ID 대 홀 길이)는 대략 1 내지 20 일 수 있다. 관통 홀들(156)의 더 작은 ID는 관통 홀들의 길이를 따라 발견되는 최소 ID일 수 있다. 관통 홀들(156)의 단면 형상은 일반적으로 원통형, 원추형, 또는 이들의 임의의 조합일 수 있다.[0033] Alternatively, the through holes 156 may have a smaller inner diameter ID toward the top surface of the perforated partition wall 153 , and may have a larger ID toward the bottom surface. In addition, the bottom edge of the through holes 156 helps to evenly distribute the plasma effluents in the substrate processing region 141 as they exit the showerhead and promotes an even distribution of the plasma effluents and precursor gases. can be chamfered. The smaller ID may be disposed at various locations along the through holes 156 , while still allowing the perforated septum 153 to reduce the ion density within the substrate processing region 141 . The decrease in ion density occurs due to an increase in the number of collisions with the walls prior to entering the substrate processing region 141 . Each collision increases the probability that the ion is neutralized by gaining or losing electrons from the wall. Generally speaking, the smaller ID of the through holes 156 may be between about 0.2 mm and about 20 mm. In other embodiments, the smaller ID may be from about 1 mm to about 6 mm or from about 0.2 mm to about 5 mm. Also, the aspect ratios (ie, smaller ID to hole length) of the through holes 156 may be approximately 1-20. The smaller ID of the through holes 156 may be the smallest ID found along the length of the through holes. The cross-sectional shape of the through holes 156 may be generally cylindrical, conical, or any combination thereof.

[0034] 지지 조립체(180)는 챔버 본체(112) 내에서 처리하기 위해 기판(도 1에 도시되지 않음)을 지지하기 위한 지지 부재(185)를 포함할 수 있다. 지지 부재(185)는 챔버 본체(112)의 바닥 표면에 형성된 중앙에 위치된 개구(116)를 통해 연장되는 샤프트(187)를 통해 리프트 기구(lift mechanism)(183)에 결합될 수 있다. 리프트 기구(183)는 샤프트(187) 주위로부터 진공 누출을 방지하는 벨로우즈(bellows)(188)에 의해 챔버 본체(112)에 유연하게 밀봉될 수 있다.[0034] The support assembly 180 may include a support member 185 for supporting a substrate (not shown in FIG. 1 ) for processing within the chamber body 112 . The support member 185 may be coupled to a lift mechanism 183 via a shaft 187 extending through a centrally located opening 116 formed in the bottom surface of the chamber body 112 . The lift mechanism 183 may be flexibly sealed to the chamber body 112 by bellows 188 that prevent vacuum leakage from around the shaft 187 .

[0035] 지지 부재(185)는 리프트 핀들(lift pins)(193)(하나가 도 1에 도시되어 있음)을 수용하기 위해, 지지 부재(185)를 관통하여 형성된 보어들(bores)(192)을 포함할 수 있다. 각각의 리프트 핀(193)은 세라믹 또는 세라믹 함유 재료들로 구성되며, 기판 취급 및 수송을 위해 사용된다. 리프트 핀(193)은 챔버 본체(112) 내에 배치된 환형 리프트 링(195)과 맞물릴 때 그의 각각의 보어(192) 내에서 이동 가능하다. 지지 조립체(180)는 지지 부재(185) 주위에 배치된 에지 링(196)을 더 포함할 수 있다.[0035] The support member 185 may include bores 192 formed therethrough to receive lift pins 193 (one of which is shown in FIG. 1 ). can Each lift pin 193 is constructed of ceramic or ceramic containing materials and is used for substrate handling and transportation. The lift pins 193 are movable within their respective bores 192 when engaged with an annular lift ring 195 disposed within the chamber body 112 . The support assembly 180 can further include an edge ring 196 disposed about the support member 185 .

[0036] 지지 조립체(180)의 온도는 지지 부재(185)의 본체에 매립된 유체 채널(198)을 통해 순환되는 유체에 의해 제어될 수 있다. 하나 이상의 구현들에서, 유체 채널(198)은 지지 조립체(180)의 샤프트(187)를 통해 배치된 열 전달 도관(199)과 유체 연통한다. 유체 채널(198)은 지지 부재(185)의 기판 수용 표면에 균일한 열 전달을 제공하기 위해 지지 부재(185) 주위에 위치 결정된다. 유체 채널(198) 및 열 전달 도관(199)은 지지 부재(185)를 가열하거나 또는 냉각하기 위해 열 전달 유체들을 흐르게 할 수 있다. 물, 질소, 에틸렌 글리콜, 또는 이들의 혼합물들과 같은 임의의 적합한 열 전달 유체가 사용될 수 있다. 지지 조립체(180)는 지지 부재(185)의 지지 표면의 온도를 모니터링하기 위한 매립된 열전대(thermocouple)(도시되지 않음)를 더 포함할 수 있다. 예를 들어, 열전대로부터의 신호는 유체 채널(198)을 통해 순환되는 유체의 온도 또는 유량을 제어하기 위해 피드백 루프(feedback loop)에서 사용될 수 있다.[0036] The temperature of the support assembly 180 may be controlled by a fluid circulating through the fluid channel 198 embedded in the body of the support member 185 . In one or more implementations, the fluid channel 198 is in fluid communication with a heat transfer conduit 199 disposed through the shaft 187 of the support assembly 180 . A fluid channel 198 is positioned around the support member 185 to provide uniform heat transfer to the substrate receiving surface of the support member 185 . Fluid channel 198 and heat transfer conduit 199 may flow heat transfer fluids to heat or cool support member 185 . Any suitable heat transfer fluid may be used, such as water, nitrogen, ethylene glycol, or mixtures thereof. The support assembly 180 may further include an embedded thermocouple (not shown) for monitoring the temperature of the support surface of the support member 185 . For example, a signal from a thermocouple may be used in a feedback loop to control the temperature or flow rate of a fluid circulated through the fluid channel 198 .

[0037] 지지 부재(185)는 챔버 본체(112) 내에서 수직으로 이동되어 지지 부재(185)와 덮개 조립체(140) 사이의 거리가 제어될 수 있다. 센서(도시되지 않음)가 처리 챔버(100) 내의 지지 부재(185)의 포지션에 관한 정보를 제공할 수 있다.[0037] The support member 185 may be vertically moved within the chamber body 112 to control the distance between the support member 185 and the cover assembly 140 . A sensor (not shown) may provide information regarding the position of the support member 185 within the processing chamber 100 .

[0038] 시스템 제어기(도시되지 않음)가 처리 챔버(100)의 동작들을 조절하는데 사용될 수 있다. 시스템 제어기는 제어기의 메모리 또는 다른 메모리 소스에 저장된 컴퓨터 프로그램의 제어 하에 작동할 수 있다. 컴퓨터 프로그램은 후술되는 사전 클리닝 공정이 처리 챔버(100)에서 수행될 수 있게 하는 명령어들을 포함할 수 있다. 예를 들어, 컴퓨터 프로그램은 공정 시퀀싱(sequencing) 및 타이밍(timing), 가스들의 혼합, 챔버 압력들, RF 전력 수준들, 서셉터 위치 결정(susceptor positioning), 슬릿 밸브 개방 및 폐쇄, 웨이퍼 냉각 및 특정 공정의 다른 파라미터들을 지정할 수 있다.[0038] A system controller (not shown) may be used to regulate operations of the processing chamber 100 . The system controller may operate under the control of a computer program stored in the controller's memory or other memory source. The computer program may include instructions that enable a pre-cleaning process to be described below to be performed in the processing chamber 100 . For example, a computer program can perform process sequencing and timing, mixing of gases, chamber pressures, RF power levels, susceptor positioning, slit valve opening and closing, wafer cooling and specific Other parameters of the process can be specified.

[0039] 도 2는 원자층 증착(ALD) 처리 챔버(200)의 일 실시예의 개략적인 단면도이다. ALD 처리 챔버(200)는 ALD 또는 화학 기상 증착(CVD)과 같은 주기적 증착을 위해 구성된 가스 전달 장치(230)를 포함한다. 본 명세서에서 사용되는 바와 같은 ALD 및 CVD라는 용어들은 기판 구조 위에 얇은 층을 증착하기 위해 반응물들을 순차적으로 도입하는 것을 의미한다. 반응물들의 순차적인 도입은 원하는 두께로 컨포멀 층(conformal layer)을 형성하기 위해 복수의 얇은 층들을 증착하기 위해 반복될 수 있다. 챔버(200)는 또한 리소그래피 공정과 함께 다른 증착 기술들을 위해 구성될 수 있다.[0039] 2 is a schematic cross-sectional view of one embodiment of an atomic layer deposition (ALD) processing chamber 200 . The ALD processing chamber 200 includes a gas delivery device 230 configured for periodic deposition, such as ALD or chemical vapor deposition (CVD). The terms ALD and CVD as used herein refer to the sequential introduction of reactants to deposit a thin layer over a substrate structure. The sequential introduction of reactants may be repeated to deposit a plurality of thin layers to form a conformal layer to a desired thickness. Chamber 200 may also be configured for other deposition techniques in conjunction with a lithographic process.

[0040] 챔버(200)는 바닥(234)을 갖는 챔버 본체(229)를 포함한다. 챔버 본체(229)를 통해 형성된 슬릿 밸브 터널(233)은 로봇(도시되지 않음)이 챔버(200)로부터 200 mm, 300 mm 또는 450 mm 반도체 기판 또는 유리 기판과 같은 기판(201)을 전달 및 회수하기 위한 접근을 제공한다.[0040] Chamber 200 includes a chamber body 229 having a bottom 234 . The slit valve tunnel 233 formed through the chamber body 229 allows a robot (not shown) to transfer and retrieve a substrate 201 such as a 200 mm, 300 mm or 450 mm semiconductor substrate or glass substrate from the chamber 200 . provide access to

[0041] 기판 지지부(292)가 챔버(200) 내에 배치되어 처리 동안 기판(201)을 지지한다. 기판 지지부(292)는 리프트(214)에 장착되어 기판 지지부(292) 및 그 위에 배치된 기판(201)을 상승 및 하강시킨다. 리프트 플레이트(lift plate)(216)가 리프트 플레이트(216)의 높이를 제어하는 리프트 플레이트 액추에이터(218)에 연결된다. 리프트 플레이트(216)는 기판 지지부(292)를 통해 이동 가능하게 배치된 핀들(220)을 상승 및 하강시키기 위해 상승 및 하강될 수 있다. 핀들(220)은 기판 지지부(292)의 표면 위에서 기판(201)을 상승 및 하강시키기 위해 사용된다. 기판 지지부(292)는 처리 동안 기판 지지부(292)의 표면에 기판(201)을 고정하기 위한 진공 척(vacuum chuck), 정전기 척, 또는 클램프 링을 포함할 수 있다.[0041] A substrate support 292 is disposed within the chamber 200 to support the substrate 201 during processing. The substrate support 292 is mounted on the lift 214 to raise and lower the substrate support 292 and the substrate 201 disposed thereon. A lift plate 216 is connected to a lift plate actuator 218 that controls the height of the lift plate 216 . The lift plate 216 may be raised and lowered to raise and lower the movably disposed pins 220 through the substrate support 292 . The pins 220 are used to raise and lower the substrate 201 above the surface of the substrate support 292 . The substrate support 292 may include a vacuum chuck, an electrostatic chuck, or a clamp ring for securing the substrate 201 to a surface of the substrate support 292 during processing.

[0042] 기판 지지부(292)는 그 위에 배치된 기판(201)을 가열하기 위해 가열될 수 있다. 예를 들어, 기판 지지부(292)는 저항성 히터와 같은 매립된 가열 요소를 사용하여 가열될 수 있거나, 또는 기판 지지부(292) 위에 배치된 가열 램프들과 같은 복사 열을 사용하여 가열될 수 있다. 퍼지 링(purge ring)(222)이 기판 지지부(292) 상에 배치되어, 기판(201)의 주변 부분에 퍼지 가스를 제공하여 그 위의 증착을 방지하는 퍼지 채널(224)을 한정할 수 있다.[0042] The substrate support 292 may be heated to heat the substrate 201 disposed thereon. For example, the substrate support 292 may be heated using an embedded heating element, such as a resistive heater, or may be heated using radiant heat, such as heating lamps disposed over the substrate support 292 . A purge ring 222 may be disposed on the substrate support 292 to define a purge channel 224 that provides a purge gas to a peripheral portion of the substrate 201 to prevent deposition thereon. .

[0043] 챔버 본체(229)의 상부 부분에는 공정 가스 및/또는 퍼지 가스와 같은 가스를 챔버(200)에 제공하기 위한 가스 전달 장치(230)가 배치된다. 펌핑 시스템(278)이 펌핑 채널(279)과 연통하여, 챔버(200)로부터 임의의 원하는 가스들을 배기하고 챔버(200)의 펌핑 존(266) 내부에서 원하는 압력 또는 원하는 압력 범위를 유지하는 것을 돕는다.[0043] A gas delivery device 230 is disposed in the upper portion of the chamber body 229 for providing a gas such as a process gas and/or a purge gas to the chamber 200 . A pumping system 278 is in communication with the pumping channel 279 to evacuate any desired gases from the chamber 200 and to help maintain a desired pressure or desired pressure range within the pumping zone 266 of the chamber 200 . .

[0044] 일 실시예에서, 가스 전달 장치(230)는 챔버 덮개(232)를 포함한다. 챔버 덮개(232)는 챔버 덮개(232)의 중앙 부분으로부터 연장되는 확장 채널(237) 및 확장 채널(237)로부터 챔버 덮개(232)의 주변 부분으로 연장되는 바닥 표면(260)을 포함한다. 바닥 표면(260)은 기판 지지부(292) 상에 배치된 기판(201)을 실질적으로 덮도록 크기 및 형상이 결정된다. 챔버 덮개(232)는 기판(201)의 주변에 인접한 챔버 덮개(232)의 주변 부분에 초크(choke)(262)를 가질 수 있다. 캡 부분(cap portion)(272)은 확장 채널(237)의 일부 및 가스 입구들(236A, 236B)을 포함한다. 확장 채널(237)은 2 개의 유사한 밸브들(242A, 242B)로부터의 가스 흐름들을 제공하기 위해 가스 입구들(236A, 236B)을 갖는다. 밸브들(242A, 242B)로부터의 가스 흐름들은 함께 및/또는 별도로 제공될 수 있다.[0044] In one embodiment, the gas delivery device 230 includes a chamber lid 232 . The chamber lid 232 includes an enlarged channel 237 extending from a central portion of the chamber lid 232 and a bottom surface 260 extending from the enlarged channel 237 to a peripheral portion of the chamber lid 232 . The bottom surface 260 is sized and shaped to substantially cover the substrate 201 disposed on the substrate support 292 . The chamber lid 232 may have a choke 262 at a peripheral portion of the chamber lid 232 adjacent to the periphery of the substrate 201 . A cap portion 272 includes a portion of an enlarged channel 237 and gas inlets 236A, 236B. Expansion channel 237 has gas inlets 236A, 236B to provide gas flows from two similar valves 242A, 242B. Gas flows from valves 242A, 242B may be provided together and/or separately.

[0045] 일 구성에서, 밸브(242A) 및 밸브(242B)는 개별 반응 가스 소스들에 결합되지만, 그러나 동일한 퍼지 가스 소스에 결합된다. 예를 들어, 밸브(242A)는 반응 가스 소스(238)에 결합되고, 밸브(242B)는 반응 가스 소스(239)에 결합되며, 두 개의 밸브들(242A, 242B)은 가스 소스(240)를 퍼지하도록 결합된다. 각각의 밸브(242A, 242B)는 밸브 시트 조립체(244A, 244B)를 갖는 전달 라인(243A, 243B)을 포함하고, 밸브 시트 조립체(246A, 246B)를 갖는 퍼지 라인(245A, 245B)을 포함한다. 전달 라인(243A, 243B)은 반응 가스 소스(238, 239)와 연통하고, 확장 채널(290)의 가스 입구(237A, 237B)와 연통한다. 전달 라인(243A, 243B)의 밸브 시트 조립체(244A, 244B)는 반응 가스 소스(238, 239)로부터 확장 채널(290)로의 반응 가스의 흐름을 제어한다. 퍼지 라인(245A, 245B)은 퍼지 가스 소스(240)와 연통하고, 전달 라인(243A, 243B)의 밸브 시트 조립체(244A, 244B) 하류에서 전달 라인(243A, 243B)과 교차한다. 퍼지 라인(245A, 245B)의 밸브 시트 조립체(246A, 246B)는 퍼지 가스 소스(240)로부터 전달 라인(243A, 243B)으로 퍼지 가스의 흐름을 제어한다. 캐리어 가스가 반응 가스 소스(238, 239)로부터 반응 가스들을 전달하는데 사용되는 경우, 동일한 가스가 캐리어 가스 및 퍼지 가스로 사용될 수 있다(즉, 아르곤 가스가 캐리어 가스 및 퍼지 가스 모두로 사용될 수 있음).[0045] In one configuration, valve 242A and valve 242B are coupled to separate reactant gas sources, but to the same purge gas source. For example, valve 242A is coupled to reactant gas source 238 , valve 242B is coupled to reactant gas source 239 , and two valves 242A, 242B are coupled to gas source 240 . coupled to purge. Each valve 242A, 242B includes a delivery line 243A, 243B having a valve seat assembly 244A, 244B, and a purge line 245A, 245B having a valve seat assembly 246A, 246B. . Delivery lines 243A, 243B communicate with reactant gas sources 238 , 239 , and communicate with gas inlets 237A and 237B of expansion channel 290 . The valve seat assemblies 244A, 244B of the delivery lines 243A, 243B control the flow of reactant gas from the reactant gas sources 238 , 239 to the expansion channel 290 . Purge lines 245A, 245B communicate with purge gas source 240 and intersect delivery lines 243A, 243B downstream of valve seat assemblies 244A, 244B of delivery lines 243A, 243B. The valve seat assemblies 246A, 246B of the purge lines 245A, 245B control the flow of purge gas from the purge gas source 240 to the delivery lines 243A, 243B. When a carrier gas is used to deliver the reactant gases from the reactant gas sources 238 and 239, the same gas may be used as the carrier gas and the purge gas (ie, argon gas may be used as both the carrier gas and the purge gas). .

[0046] 각각의 밸브(242A, 242B)는 밸브의 밸브 시트 조립체(244A, 244B)가 폐쇄될 때 전달 라인(243A, 243B)으로부터 반응 가스의 플러싱(flushing)을 가능하게 하는 제로 불용 체적 밸브(zero dead volume valve)일 수 있다. 예를 들어, 퍼지 라인(245A, 245B)은 전달 라인(243A, 243B)의 밸브 시트 조립체(244A, 244B)에 인접하게 위치 결정될 수 있다. 밸브 시트 조립체(244A, 244B)가 폐쇄될 때, 퍼지 라인(245A, 245B)은 전달 라인(243A, 243B)을 플러싱하기 위해 퍼지 가스를 제공할 수 있다. 도시된 실시예에서, 퍼지 라인(245A, 245B)은 개방 시 퍼지 가스가 밸브 시트 조립체(244A, 244B) 내로 직접 전달되지 않도록 전달 라인(243A, 243B)의 밸브 시트 조립체(244A, 244B)로부터 약간 이격되어 위치 결정된다. 여기에서 사용된 바와 같은 제로 불용 체적 밸브는 무시할 수 있는 불용 체적을 갖는 밸브로 정의된다(즉, 제로 불용 체적이 필요한 것은 아님). 각각의 밸브(242A, 242B)는 소스들(238, 239)로부터의 반응 가스 및 소스(240)로부터 퍼지 가스의 결합된 가스 흐름 및/또는 분리된 가스 흐름을 제공하도록 구성될 수 있다. 퍼지 가스의 펄스들은 퍼지 라인(245A)의 밸브 시트 조립체(246A)의 다이어프램(diaphragm)을 개방 및 폐쇄함으로써 제공될 수 있다. 반응 가스 소스(238)로부터의 반응 가스의 펄스들은 전달 라인(243A)의 밸브 시트 조립체(244A)를 개방 및 폐쇄함으로써 제공될 수 있다.[0046] Each valve 242A, 242B is a zero dead volume valve that enables the flushing of reactant gas from delivery lines 243A, 243B when the valve seat assembly 244A, 244B is closed. valve). For example, purge lines 245A, 245B may be positioned adjacent to valve seat assemblies 244A, 244B of delivery lines 243A, 243B. When valve seat assemblies 244A, 244B are closed, purge lines 245A, 245B may provide purge gas to flush delivery lines 243A, 243B. In the illustrated embodiment, the purge lines 245A, 245B are slightly from the valve seat assemblies 244A, 244B of the delivery lines 243A, 243B such that, upon opening, no purge gas is delivered directly into the valve seat assemblies 244A, 244B. spaced apart and positioned. A zero dead volume valve as used herein is defined as a valve having a negligible dead volume (ie, zero dead volume is not required). Each valve 242A, 242B may be configured to provide a combined and/or separate gas flow of reactant gas from sources 238 , 239 and purge gas from source 240 . Pulses of purge gas may be provided by opening and closing the diaphragm of valve seat assembly 246A of purge line 245A. Pulses of reactant gas from the reactant gas source 238 may be provided by opening and closing the valve seat assembly 244A of the delivery line 243A.

[0047] 제어 유닛(280)이 처리 조건들을 제어하기 위해 챔버(200)에 결합될 수 있다. 제어 유닛(280)은 중앙 처리 유닛(CPU)(282), 지원 회로(284), 및 관련된 제어 소프트웨어(283)를 포함하는 메모리(286)를 포함한다. 제어 유닛(280)은 다양한 챔버들 및 서브 프로세서들을 제어하기 위한 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서들 중 하나일 수 있다. CPU(282)는 랜덤 액세스 메모리, 읽기 전용 메모리, 플로피 디스크 드라이브, 컴팩트 디스크 드라이브, 하드 디스크, 또는 로컬 또는 원격의 임의의 다른 형태의 디지털 스토리지와 같은 임의의 적절한 메모리(186)를 사용할 수 있다. 다양한 지원 회로들이 챔버(200)를 지원하기 위해 CPU(282)에 결합될 수 있다. 제어 유닛(280)은 밸브들(242A, 242B)의 프로그램 가능 논리 제어기들(248A, 248B)과 같은 개별 챔버 구성요소들에 인접하여 위치되는 다른 제어기에 결합될 수 있다. 제어 유닛(280)과 챔버(200)의 다양한 다른 구성요소들 사이의 양방향 통신들은 신호 버스들(288)로 집합적으로 지칭되는 수많은 신호 케이블들을 통해 처리되며, 그 중 일부가 도 2에 도시되어 있다. 가스 소스들(238, 239, 240) 및 밸브들(242A, 242B)의 프로그램 가능 로직 제어기들(248A, 248B)로부터의 공정 가스들 및 퍼지 가스들의 제어에 더하여, 제어 유닛(280)은 다른 활동들 중에서, 기판 수송, 온도 제어, 챔버 배기와 같은 기판 처리에 사용되는 다른 활동들(이들 중 일부가 본원의 다른 곳에서 설명됨)의 자동화된 제어를 담당하도록 구성될 수 있다.[0047] A control unit 280 may be coupled to the chamber 200 to control the processing conditions. The control unit 280 includes a memory 286 including a central processing unit (CPU) 282 , support circuitry 284 , and associated control software 283 . The control unit 280 may be one of any type of general-purpose computer processors that may be used in an industrial setting for controlling various chambers and sub-processors. CPU 282 may use any suitable memory 186, such as random access memory, read-only memory, floppy disk drive, compact disk drive, hard disk, or any other form of digital storage, local or remote. Various support circuits may be coupled to CPU 282 to support chamber 200 . Control unit 280 may be coupled to another controller positioned adjacent to individual chamber components, such as programmable logic controllers 248A, 248B of valves 242A, 242B. Bidirectional communications between the control unit 280 and the various other components of the chamber 200 are handled over a number of signal cables collectively referred to as signal buses 288, some of which are shown in FIG. have. In addition to control of the process gases and purge gases from the programmable logic controllers 248A, 248B of the gas sources 238 , 239 , 240 and valves 242A, 242B, the control unit 280 is capable of other activities. may be configured to be responsible for the automated control of other activities used in substrate processing, some of which are described elsewhere herein, such as substrate transport, temperature control, chamber evacuation, among others.

[0048] 도 3은 반도체 디바이스들 제조를 위한 반도체 상호 접속 구조들로서 이용될 수 있는 플라즈마 증착 공정(예를 들어, 플라즈마 강화 CVD 또는 금속 유기 CVD)을 수행하기에 적합한 처리 챔버(300)의 단면도이다. 처리 챔버(300)는 캘리포니아, 산타클라라에 소재한 Applied Materials, Inc.로부터 입수 가능한 적합하게 구성된 CENTURA®, PRODUCER® SE 또는 PRODUCER® GT 또는 PRODUCER® XP 처리 시스템일 수 있다. 다른 제조사들에 의해 생산된 것들을 포함하는 다른 처리 시스템들이 여기에 설명된 실시예들로부터 이익을 얻을 수 있는 것이 고려된다.[0048] 3 is a cross-sectional view of a processing chamber 300 suitable for performing a plasma deposition process (eg, plasma enhanced CVD or metal organic CVD) that may be used as semiconductor interconnect structures for manufacturing semiconductor devices. The processing chamber 300 may be a suitably configured CENTURA®, PRODUCER® SE or PRODUCER® GT or PRODUCER® XP processing system available from Applied Materials, Inc. of Santa Clara, CA. It is contemplated that other processing systems, including those made by other manufacturers, may benefit from the embodiments described herein.

[0049] 처리 챔버(300)는 챔버 본체(351)를 포함한다. 챔버 본체(351)는 내부 체적(326)을 한정하는 덮개(325), 측벽(303) 및 바닥 벽(322)을 포함한다.[0049] The processing chamber 300 includes a chamber body 351 . The chamber body 351 includes a lid 325 defining an interior volume 326 , side walls 303 and a bottom wall 322 .

[0050] 기판 지지 페데스탈(pedestal)(350)이 챔버 본체(351)의 내부 체적(326)에 제공된다. 페데스탈(350)은 알루미늄, 세라믹, 질화알루미늄, 및 다른 적절한 재료들로 제조될 수 있다. 일 실시예에서, 페데스탈(350)은 페데스탈(350)에 열적 손상을 일으키지 않으면서 플라즈마 공정 환경과 같은 고온 환경에서 사용하기에 적합한 재료인 질화알루미늄과 같은 세라믹 재료로 제조된다. 페데스탈(350)은 리프트 기구(도시되지 않음)를 이용하여 챔버 본체(351) 내부에서 수직 방향으로 이동될 수 있다.[0050] A substrate support pedestal 350 is provided in the interior volume 326 of the chamber body 351 . Pedestal 350 may be made of aluminum, ceramic, aluminum nitride, and other suitable materials. In one embodiment, pedestal 350 is made of a ceramic material, such as aluminum nitride, which is a material suitable for use in high temperature environments, such as plasma processing environments, without causing thermal damage to pedestal 350 . The pedestal 350 may be vertically moved inside the chamber body 351 using a lift mechanism (not shown).

[0051] 페데스탈(350)은 페데스탈(350) 상에 지지된 기판(301)의 온도를 제어하기에 적합한 매립된 히터 요소(370)를 포함할 수 있다. 일 실시예에서, 페데스탈(350)은 전원(306)으로부터 히터 요소(370)로 전류를 인가함으로써 저항적으로 가열될 수 있다. 일 실시예에서, 히터 요소(370)는 니켈-철-크롬 합금(예를 들어, INCOLOY®) 외피 튜브에 캡슐화된 니켈-크롬 와이어로 제조될 수 있다. 전원(306)으로부터 공급된 전류는 히터 요소(370)에 의해 생성된 열을 제어하기 위해 제어기(310)에 의해 조절되고, 따라서 기판(301) 및 페데스탈(350)은 임의의 적절한 온도 범위에서 막 증착 동안 실질적으로 일정한 온도로 유지된다. 다른 실시예에서, 페데스탈은 필요에 따라 실온에서 유지될 수 있다. 또 다른 실시예에서, 페데스탈(350)은 필요에 따라 실온 미만의 범위에서 페데스탈(350)을 냉각시키기 위해 필요에 따라 냉각기(도시되지 않음)를 또한 포함할 수 있다. 공급되는 전류는 섭씨 약 20 도 내지 약 700 도에서 페데스탈(350)의 온도를 선택적으로 제어하도록 조정될 수 있다.[0051] The pedestal 350 may include an embedded heater element 370 suitable for controlling the temperature of a substrate 301 supported on the pedestal 350 . In one embodiment, pedestal 350 may be resistively heated by applying a current from power source 306 to heater element 370 . In one embodiment, the heater element 370 may be made of a nickel-chromium wire encapsulated in a nickel-iron-chromium alloy (eg, INCOLOY®) sheath tube. The current supplied from the power source 306 is regulated by the controller 310 to control the heat generated by the heater element 370, so that the substrate 301 and the pedestal 350 are filmed in any suitable temperature range. It is maintained at a substantially constant temperature during deposition. In other embodiments, the pedestal may be maintained at room temperature as needed. In another embodiment, the pedestal 350 may also include a cooler (not shown) as needed to cool the pedestal 350 to a range below room temperature, if desired. The supplied current may be adjusted to selectively control the temperature of the pedestal 350 at about 20 degrees Celsius to about 700 degrees Celsius.

[0052] 열전대와 같은 온도 센서(372)는 통상적인 방식으로 페데스탈(350)의 온도를 모니터링하기 위해 기판 지지 페데스탈(350)에 매립될 수 있다. 측정된 온도는 기판을 원하는 온도로 유지하기 위해 히터 요소(370)에 공급되는 전력을 제어하기 위해 제어기(310)에 의해 사용된다.[0052] A temperature sensor 372 , such as a thermocouple, may be embedded in the substrate support pedestal 350 to monitor the temperature of the pedestal 350 in a conventional manner. The measured temperature is used by the controller 310 to control the power supplied to the heater element 370 to maintain the substrate at the desired temperature.

[0053] 페데스탈(350)은 일반적으로 페데스탈(350)로부터 기판(301)을 들어올리고 종래의 방식으로 로봇(도시되지 않음)과 기판(301)의 교환을 용이하게 하도록 구성되고 페데스탈을 통해 배치되는 복수의 리프트 핀들(도시되지 않음)을 포함한다.[0053] The pedestal 350 is generally configured to lift the substrate 301 from the pedestal 350 and facilitate exchange of the substrate 301 with a robot (not shown) in a conventional manner and a plurality of lifts disposed therethrough. pins (not shown).

[0054] 페데스탈(350)은 페데스탈(350) 상에 기판(301)을 보유하기 위한 적어도 하나의 전극(392)을 포함한다. 전극(392)은 통상적으로 알려진 바와 같이 페데스탈 표면에 기판(301)을 유지하는 정전기력을 발생시키기 위해 척킹(chucking) 전원(308)에 의해 구동된다. 대안적으로, 기판(301)은 클램핑, 진공 또는 중력에 의해 페데스탈(350)에 보유될 수 있다.[0054] The pedestal 350 includes at least one electrode 392 for holding the substrate 301 on the pedestal 350 . Electrode 392 is driven by a chucking power source 308 to generate an electrostatic force that holds substrate 301 to the pedestal surface as is commonly known. Alternatively, the substrate 301 may be held to the pedestal 350 by clamping, vacuum, or gravity.

[0055] 일 실시예에서, 페데스탈(350)은 2 개의 RF 바이어스 전원들(384, 386)로서 도 3에 도시된 적어도 하나의 RF 바이어스 전원에 결합된 전극(392)이 내부에 매립된 캐소드(cathode)로서 구성된다. 도 3에 도시된 예는 2 개의 RF 바이어스 전원들(384, 386)을 보여주지만, RF 바이어스 전원들의 개수는 필요에 따라 임의의 개수일 수 있다는 점에 유의해야 한다. RF 바이어스 전원들(384, 386)은 페데스탈(350)에 배치된 전극(392)과 처리 챔버(300)의 가스 분배 플레이트(342) 또는 덮개(325)와 같은 다른 전극 사이에 결합된다. RF 바이어스 전원(384, 386)은 처리 챔버(300)의 처리 영역에 배치된 가스들로부터 형성된 플라즈마 방전을 여기하고 유지한다.[0055] In one embodiment, pedestal 350 is a cathode embedded therein with electrode 392 coupled to at least one RF bias power source shown in FIG. 3 as two RF bias power supplies 384 and 386 . is composed It should be noted that although the example shown in FIG. 3 shows two RF bias power supplies 384 and 386, the number of RF bias power supplies may be any number as desired. RF bias power supplies 384 , 386 are coupled between an electrode 392 disposed on the pedestal 350 and another electrode, such as a gas distribution plate 342 or lid 325 of the processing chamber 300 . RF bias power supplies 384 , 386 excite and maintain a plasma discharge formed from gases disposed in the processing region of the processing chamber 300 .

[0056] 도 3에 도시된 실시예에서, 이중 RF 바이어스 전원들(384, 386)은 정합 회로(304)를 통해 페데스탈(350)에 배치된 전극(392)에 결합된다. RF 바이어스 전원(384, 386)에 의해 생성된 신호는 처리 챔버(300)에 제공된 가스 혼합물을 이온화하기 위해 정합 회로(304)를 통해 페데스탈(350)로 단일 피드(single feed)를 통해 전달되어, 이로써 증착 또는 다른 플라즈마 강화 공정을 수행하는데 필요한 이온 에너지를 제공할 수 있다. RF 바이어스 전원들(384, 386)은 일반적으로 약 50 kHz 내지 약 200 MHz의 주파수 및 약 0 와트 내지 약 5000 와트의 전력을 갖는 RF 신호를 생성할 수 있다.[0056] 3 , the dual RF bias power supplies 384 , 386 are coupled to an electrode 392 disposed on the pedestal 350 via a matching circuit 304 . The signal generated by the RF bias power supply 384, 386 is passed via a single feed to the pedestal 350 through a matching circuit 304 to ionize the gas mixture provided to the processing chamber 300, This may provide the ion energy needed to perform a deposition or other plasma enhanced process. The RF bias power supplies 384 and 386 are generally capable of generating an RF signal having a frequency of about 50 kHz to about 200 MHz and a power of about 0 watts to about 5000 watts.

[0057] 여기에 도시된 일 예에서, 플라즈마는 필요에 따라 처리 챔버(300)에서 클리닝 공정이 수행될 때에만 턴온된다는 점에 유의해야 한다.[0057] It should be noted that in one example shown here, the plasma is turned on only when a cleaning process is performed in the processing chamber 300 as needed.

[0058] 챔버 본체(351)의 바닥(322)에 형성된 포트에는 진공 펌프(302)가 결합된다. 진공 펌프(302)는 챔버 본체(351)에서 원하는 가스 압력을 유지하는데 사용된다. 진공 펌프(302)는 또한 챔버 본체(351)로부터 공정의 부산물들 및 후처리 가스들을 배기한다.[0058] A vacuum pump 302 is coupled to the port formed on the bottom 322 of the chamber body 351 . A vacuum pump 302 is used to maintain a desired gas pressure in the chamber body 351 . The vacuum pump 302 also exhausts process by-products and after-treatment gases from the chamber body 351 .

[0059] 처리 챔버(300)는 처리 챔버(300)의 덮개(325)를 통해 결합된 하나 이상의 가스 전달 통로들(344)을 포함한다. 가스 전달 통로들(344) 및 진공 펌프(302)는 처리 챔버(300)의 대향 단부들에 위치 결정되어 입자 오염을 최소화하기 위해 내부 체적(326) 내의 층류를 유도한다.[0059] The processing chamber 300 includes one or more gas delivery passages 344 coupled through a lid 325 of the processing chamber 300 . Gas delivery passages 344 and vacuum pump 302 are positioned at opposite ends of processing chamber 300 to induce laminar flow within interior volume 326 to minimize particle contamination.

[0060] 가스 전달 통로(344)는 내부 체적(326) 내로 가스 혼합물을 제공하기 위해 원격 플라즈마 소스(RPS)(348)를 통해 가스 패널(393)에 결합된다. 일 실시예에서, 가스 전달 통로(344)를 통해 공급된 가스 혼합물은 가스 전달 통로(344) 아래에 배치된 가스 분배 플레이트(342)를 통해 더 전달될 수 있다. 일 예에서, 복수의 구멍들(343)을 갖는 가스 분배 플레이트(342)는 페데스탈(350) 위의 챔버 본체(351)의 덮개(325)에 결합된다. 가스 분배 플레이트(342)의 구멍들(343)은 가스 패널(393)로부터 챔버 본체(351) 내로 공정 가스들을 도입하기 위해 이용된다. 구멍들(343)은 상이한 공정 요건들에 대한 다양한 공정 가스들의 흐름을 용이하게 하기 위해 상이한 크기들, 개수, 분포들, 형상, 설계, 및 직경들을 가질 수 있다. 플라즈마는 가스 분배 플레이트(342)를 빠져나가는 공정 가스 혼합물로부터 형성되어, 공정 가스들의 열 분해를 향상시켜 기판(301)의 표면(391) 상에 재료의 증착을 발생시킨다.[0060] A gas delivery passageway 344 is coupled to the gas panel 393 via a remote plasma source (RPS) 348 to provide a gas mixture into the interior volume 326 . In one embodiment, the gas mixture supplied through the gas delivery passageway 344 may be further delivered through a gas distribution plate 342 disposed below the gas delivery passageway 344 . In one example, a gas distribution plate 342 having a plurality of apertures 343 is coupled to the lid 325 of the chamber body 351 above the pedestal 350 . The holes 343 of the gas distribution plate 342 are used to introduce process gases from the gas panel 393 into the chamber body 351 . The apertures 343 may have different sizes, numbers, distributions, shapes, designs, and diameters to facilitate the flow of various process gases for different process requirements. A plasma is formed from the process gas mixture exiting the gas distribution plate 342 , which enhances thermal decomposition of the process gases resulting in deposition of material on the surface 391 of the substrate 301 .

[0061] 가스 분배 플레이트(342) 및 기판 지지 페데스탈(350)은 내부 체적(326)에서 이격된 한 쌍의 전극들로 형성될 수 있다. 하나 이상의 RF 소스들(347)은 가스 분배 플레이트(342)와 페데스탈(350) 사이의 플라즈마의 생성을 용이하게 하기 위해 매칭 네트워크(345)를 통해 가스 분배 플레이트(342)에 바이어스 전위를 제공한다. 대안적으로, RF 소스들(347) 및 매칭 네트워크(345)는 가스 분배 플레이트(342), 기판 지지 페데스탈(350)에 결합되거나, 또는 가스 분배 플레이트(342) 및 기판 지지 페데스탈(350) 모두에 결합되거나, 또는 챔버 본체(351) 외부에 배치된 안테나(도시되지 않음)에 결합된다. 일 실시예에서, RF 소스들(347)은 약 30 kHz 내지 약 13.6 MHz의 주파수에서 약 10 와트 내지 약 3000 와트를 제공할 수 있다. 대안적으로, RF 소스(347)는 내부 체적(326)에서 플라즈마의 생성을 보조하는 가스 분배 플레이트(342)에 마이크로파 전력을 제공하는 마이크로파 생성기일 수 있다.[0061] Gas distribution plate 342 and substrate support pedestal 350 may be formed of a pair of electrodes spaced apart in interior volume 326 . The one or more RF sources 347 provide a bias potential to the gas distribution plate 342 via a matching network 345 to facilitate generation of a plasma between the gas distribution plate 342 and the pedestal 350 . Alternatively, RF sources 347 and matching network 345 are coupled to gas distribution plate 342 , substrate support pedestal 350 , or to both gas distribution plate 342 and substrate support pedestal 350 . or coupled to an antenna (not shown) disposed outside the chamber body 351 . In one embodiment, the RF sources 347 may provide from about 10 watts to about 3000 watts at a frequency from about 30 kHz to about 13.6 MHz. Alternatively, the RF source 347 may be a microwave generator that provides microwave power to the gas distribution plate 342 to assist in the creation of a plasma in the interior volume 326 .

[0062] 일 실시예에서, 원격 플라즈마 소스(RPS)(348)는 가스 패널(393)로부터 내부 체적(326) 내로 공급된 가스들로부터 플라즈마를 형성하는 것을 돕기 위해 대안적으로 가스 전달 통로들(344)에 결합될 수 있다. 원격 플라즈마 소스(348)는 가스 패널(393)에 의해 제공되는 가스 혼합물로부터 형성된 플라즈마를 처리 챔버(300)에 제공한다.[0062] In one embodiment, a remote plasma source (RPS) 348 is alternatively connected to the gas delivery passages 344 to help form a plasma from gases supplied from the gas panel 393 into the interior volume 326 . can be combined. A remote plasma source 348 provides plasma formed from the gas mixture provided by the gas panel 393 to the processing chamber 300 .

[0063] 제어기(310)는 중앙 처리 유닛(CPU)(312), 메모리(316), 및 공정 시퀀스를 제어하고 가스 패널(393)로부터의 가스 흐름들을 조절하는데 사용되는 지원 회로(314)를 포함한다. CPU(312)는 산업 현장에서 사용될 수 있는 범용 컴퓨터 프로세서의 임의의 형태일 수 있다. 소프트웨어 루틴들은 랜덤 액세스 메모리, 읽기 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 스토리지와 같은 메모리(316)에 저장될 수 있다. 지원 회로(314)는 통상적으로 CPU(312)에 결합되고, 캐시, 클록 회로들, 입력/출력 시스템들, 전원 공급 장치들 등을 포함할 수 있다. 제어기(310)와 처리 챔버(300)의 다양한 구성요소들 사이의 양방향 통신들은 신호 버스들(318)로 집합적으로 지칭되는 수많은 신호 케이블들을 통해 처리되며, 그 중 일부가 도 3에 도시되어 있다.[0063] The controller 310 includes a central processing unit (CPU) 312 , a memory 316 , and support circuitry 314 used to control the process sequence and regulate gas flows from the gas panel 393 . The CPU 312 may be any form of general-purpose computer processor that may be used in an industrial setting. The software routines may be stored in memory 316, such as random access memory, read-only memory, a floppy or hard disk drive, or other form of digital storage. Support circuitry 314 is typically coupled to CPU 312 and may include cache, clock circuits, input/output systems, power supplies, and the like. Bidirectional communications between the controller 310 and the various components of the processing chamber 300 are handled over a number of signal cables collectively referred to as signal buses 318 , some of which are illustrated in FIG. 3 . .

[0064] 도 4는 단일 기판(401)의 고압 어닐링 공정을 위한 단일 기판 처리 챔버(400)의 단순화된 정면 단면도이다. 단일 기판 처리 챔버(400)는 외부 표면(412) 및 내부 체적(425)을 둘러싸는 내부 표면(413)을 갖는 본체(410)를 갖는다. 도 4와 같은 일부 실시예들에서, 본체(410)는 환형 단면을 갖지만, 다른 실시예들에서, 본체(410)의 단면은 직사각형이거나 또는 임의의 폐쇄 형상일 수 있다. 본체(410)의 외부 표면(412)은 스테인리스강과 같은(그러나 이에 제한되지 않음) 부식 방지 강(corrosion resistant steel)(CRS)으로 제조될 수 있다. 단일 기판 처리 챔버(400)로부터 외부 환경으로의 열 손실을 방지하는 하나 이상의 열 차폐물들(415)이 본체(410)의 내부 표면(413) 상에 배치된다. 본체(410)의 내부 표면(413) 및 열 차폐물들(415)은 HASTELLOY®, ICONEL®, 및 MONEL®과 같은(그러나 이에 제한되지 않음) 높은 부식 저항성을 나타내는 니켈 기반 강철 합금들로 제조될 수 있다.[0064] 4 is a simplified front cross-sectional view of a single substrate processing chamber 400 for a high pressure annealing process of a single substrate 401 . The single substrate processing chamber 400 has a body 410 having an exterior surface 412 and an interior surface 413 surrounding an interior volume 425 . In some embodiments, such as FIG. 4 , the body 410 has an annular cross-section, however, in other embodiments, the cross-section of the body 410 may be rectangular or any closed shape. The outer surface 412 of the body 410 may be made of corrosion resistant steel (CRS), such as, but not limited to, stainless steel. One or more heat shields 415 are disposed on the interior surface 413 of the body 410 to prevent heat loss from the single substrate processing chamber 400 to the external environment. The inner surface 413 and the heat shields 415 of the body 410 may be made of nickel-based steel alloys that exhibit high corrosion resistance, such as, but not limited to, HASTELLOY®, ICONEL®, and MONEL®. have.

[0065] 기판 지지부(430)는 내부 체적(425) 내에 배치된다. 기판 지지부(430)는 스템(stem)(434) 및 스템(434)에 의해 유지되는 기판 지지 부재(432)를 갖는다. 스템(434)은 챔버 본체(410)를 통해 형성된 통로(422)를 통과한다. 액추에이터(438)에 연결된 로드(rod)(439)는 챔버 본체(410)를 통해 형성된 제2 통로(423)를 통과한다. 로드(439)는 기판 지지부(430)의 스템(434)을 수용하는 구멍(436)을 갖는 플레이트(435)에 결합된다. 리프트 핀들(437)은 기판 지지 부재(432)에 연결된다. 액추에이터(438)는 플레이트(435)가 리프트 핀들(437)과 연결 및 분리되도록 위 또는 아래로 이동되도록 로드(439)를 작동시킨다. 리프트 핀들(437)이 상승 또는 하강함에 따라, 기판 지지 부재(432)는 챔버(400)의 내부 체적(425) 내에서 상승 또는 하강된다. 기판 지지 부재(432)는 내부 중앙에 매립된 저항성 가열 요소(431)를 갖는다. 전원(433)은 저항성 가열 요소(431)에 전기적으로 전력을 공급하도록 구성된다. 전원(433) 및 액추에이터(438)의 작동은 제어기(480)에 의해 제어된다.[0065] The substrate support 430 is disposed within the interior volume 425 . The substrate support 430 has a stem 434 and a substrate support member 432 held by the stem 434 . The stem 434 passes through a passageway 422 formed through the chamber body 410 . A rod 439 connected to the actuator 438 passes through a second passage 423 formed through the chamber body 410 . The rod 439 is coupled to a plate 435 having an aperture 436 for receiving the stem 434 of the substrate support 430 . The lift pins 437 are connected to the substrate support member 432 . Actuator 438 actuates rod 439 to move plate 435 up or down to engage and disengage lift pins 437 . As the lift pins 437 are raised or lowered, the substrate support member 432 is raised or lowered within the interior volume 425 of the chamber 400 . The substrate support member 432 has a resistive heating element 431 embedded centrally therein. The power source 433 is configured to electrically power the resistive heating element 431 . The operation of power source 433 and actuator 438 is controlled by controller 480 .

[0066] 단일 기판 처리 챔버(400)는 본체(410)에 개구(411)를 가지며, 이 개구를 통해 하나 이상의 기판들(401)이 내부 체적(425)에 배치된 기판 지지부(430)로 로딩되고 기판 지지부로부터 언로딩될 수 있다. 개구(411)는 본체(410) 상에 터널(421)을 형성한다. 슬릿 밸브(418)는 개구(411) 및 내부 체적(425)이 슬릿 밸브(418)가 개방되어 있을 때만 접근될 수 있도록 터널(421)을 밀봉 가능하게 폐쇄하도록 구성된다. 고압 시일(427)은 처리를 위해 내부 체적(425)을 밀봉하기 위해 본체(410)에 슬릿 밸브(418)를 밀봉하기 위해 사용된다. 고압 시일(427)은 중합체, 예를 들어 퍼플루오로엘라스토머 및 폴리테트라플루오로에틸렌(PTFE)과 같은(그러나 이에 제한되지 않음) 플루오로중합체로 제조될 수 있다. 고압 시일(427)은 시일 성능을 개선하기 위해 시일을 바이어싱하기 위한 스프링 부재를 더 포함할 수 있다. 냉각 채널(424)은 처리 동안 고압 시일들(427)의 최대 안전 작동 온도 미만으로 고압 시일들(427)을 유지하기 위해 고압 시일들(427)에 인접한 터널(421)에 배치된다. 불활성, 유전체, 및 고성능 열 전달 유체와 같은(그러나 이에 제한되지 않음) 냉각 유체 소스(426)로부터의 냉각제가 냉각 채널(424) 내에서 순환될 수 있다. 냉각 유체 소스(426)로부터의 냉각제의 흐름은 온도 센서(416) 또는 흐름 센서(도시되지 않음)로부터 수신된 피드백을 통해 제어기(480)에 의해 제어된다. 환형 형상의 열 초크(419)가 슬릿 밸브(418)가 개방될 때 개구(411)를 통해 내부 체적(425)으로부터의 열의 흐름을 방지하기 위해 터널(421) 주위에 형성된다.[0066] The single substrate processing chamber 400 has an opening 411 in the body 410 through which one or more substrates 401 are loaded into a substrate support 430 disposed in the interior volume 425 and into the substrate support. can be unloaded from The opening 411 forms a tunnel 421 on the body 410 . Slit valve 418 is configured to sealably close tunnel 421 such that opening 411 and interior volume 425 can only be accessed when slit valve 418 is open. The high pressure seal 427 is used to seal the slit valve 418 to the body 410 to seal the interior volume 425 for processing. The high pressure seal 427 may be made of a polymer such as, but not limited to, perfluoroelastomers and fluoropolymers such as, but not limited to, polytetrafluoroethylene (PTFE). The high pressure seal 427 may further include a spring member for biasing the seal to improve seal performance. A cooling channel 424 is disposed in the tunnel 421 adjacent the high pressure seals 427 to maintain the high pressure seals 427 below a maximum safe operating temperature of the high pressure seals 427 during processing. A coolant from a cooling fluid source 426 such as, but not limited to, an inert, dielectric, and high performance heat transfer fluid may be circulated within the cooling channel 424 . The flow of coolant from the cooling fluid source 426 is controlled by the controller 480 via feedback received from a temperature sensor 416 or flow sensor (not shown). An annular shaped thermal choke 419 is formed around the tunnel 421 to prevent the flow of heat from the interior volume 425 through the opening 411 when the slit valve 418 is opened.

[0067] 단일 기판 처리 챔버(400)는 기체 패널(450), 응축기(460) 및 포트(417)를 연결하는 유체 회로(490)에 유체적으로 연결된 본체(410)를 통한 포트(417)를 갖는다. 유체 회로(490)는 가스 도관(492), 소스 도관(457), 입구 격리 밸브(455), 배기 도관(463), 및 출구 격리 밸브(465)를 갖는다. 다수의 히터들(496, 458, 452, 454, 464, 466)이 유체 회로(490)의 상이한 부분들과 인터페이싱된다(interfaced). 다수의 온도 센서들(451, 453, 459, 467 및 469)이 또한 온도 측정들을 수행하고 정보를 제어기(480)에 보내기 위해 유체 회로(490)의 다른 부분들에 배치된다. 제어기(480)는 온도 측정 정보를 이용하여, 유체 회로(490)의 온도가 유체 회로(490) 및 내부 체적(425)에 배치된 처리 유체의 응축점 초과의 온도로 유지되도록 히터들(452, 454, 458, 496, 464, 466)의 동작을 제어한다.[0067] The single substrate processing chamber 400 has a port 417 through a body 410 fluidly connected to a gas panel 450 , a condenser 460 and a fluid circuit 490 connecting the port 417 . The fluid circuit 490 has a gas conduit 492 , a source conduit 457 , an inlet isolation valve 455 , an exhaust conduit 463 , and an outlet isolation valve 465 . A number of heaters 496 , 458 , 452 , 454 , 464 , 466 are interfaced with different portions of the fluid circuit 490 . A number of temperature sensors 451 , 453 , 459 , 467 and 469 are also disposed in other portions of the fluid circuit 490 to perform temperature measurements and send information to the controller 480 . The controller 480 uses the temperature measurement information to control the heaters 452, 454, 458, 496, 464, 466).

[0068] 가스 패널(450)은 압력 하에서 내부 체적(425)에 처리 유체를 제공하도록 구성된다. 내부 체적(425) 내로 도입된 처리 유체의 압력은 본체(410)에 결합된 압력 센서(414)에 의해 모니터링된다. 응축기(460)는 냉각 유체 소스(도시되지 않음)에 유체 결합되고, 가스 도관(492)을 통해 내부 체적(425)을 빠져나가는 기상 처리 유체를 응축하도록 구성된다. 응축된 처리 유체는 그 후 펌프(476)에 의해 제거된다. 하나 이상의 히터들(440)은 본체(410) 상에 배치되고, 단일 기판 처리 챔버(400) 내의 내부 체적(425)을 가열하도록 구성된다. 히터들(440, 452, 454, 458, 496, 464, 466)은, 응축기(460)에 대한 출구 격리 밸브(465)가 유체 회로 내의 응축을 방지하기 위해 개방되는 동안, 유체 회로(490) 내의 처리 유체를 기상으로 유지한다.[0068] The gas panel 450 is configured to provide a processing fluid to the interior volume 425 under pressure. The pressure of the processing fluid introduced into the interior volume 425 is monitored by a pressure sensor 414 coupled to the body 410 . Condenser 460 is fluidly coupled to a cooling fluid source (not shown) and is configured to condense gaseous treatment fluid exiting interior volume 425 via gas conduit 492 . The condensed process fluid is then removed by pump 476 . One or more heaters 440 are disposed on body 410 and are configured to heat an interior volume 425 within single substrate processing chamber 400 . Heaters 440 , 452 , 454 , 458 , 496 , 464 , 466 operate in fluid circuit 490 while outlet isolation valve 465 to condenser 460 opens to prevent condensation in the fluid circuit. The process fluid is maintained in a gaseous phase.

[0069] 제어기(480)는 단일 기판 처리 챔버(400)의 동작을 제어한다. 제어기(480)는 가스 패널(450), 응축기(460), 펌프(470), 입구 격리 밸브(455), 출구 격리 밸브(465), 및 전원(433, 445)의 동작을 제어한다. 제어기(480)는 또한 온도 센서(416), 압력 센서(414), 액추에이터(438), 냉각 유체 소스(426) 및 온도 판독 디바이스들(456, 462)에 통신 가능하게 연결된다.[0069] The controller 480 controls the operation of the single substrate processing chamber 400 . Controller 480 controls the operation of gas panel 450 , condenser 460 , pump 470 , inlet isolation valve 455 , outlet isolation valve 465 , and power sources 433 , 445 . Controller 480 is also communicatively coupled to temperature sensor 416 , pressure sensor 414 , actuator 438 , cooling fluid source 426 and temperature reading devices 456 , 462 .

[0070] 처리 유체는 산소 함유 및/또는 질소 함유 가스, 및/또는 칼코겐(chalcogen) 또는 텔루르(tellurium)(예를 들어, S, Se, Te) 가스들 또는 증기들 예를 들어 산소, 건조 증기, 물, 과산화수소, 수소, 중수소, 삼중수소, 암모니아, S 증기, Se 증기, H2S, H2Se 등을 포함할 수 있다. 처리 유체는 기판 상의 금속 재료들과 반응하여 금속을 정제하거나 또는 금속 산질화물들, 금속 산화물들, 금속 옥시칼코겐화물들(oxychalcogenides) 또는 금속 칼코겐화물들을 형성할 수 있다.[0070] The treatment fluid may be an oxygen-containing and/or nitrogen-containing gas, and/or chalcogen or tellurium (eg S, Se, Te) gases or vapors such as oxygen, dry vapor, water, hydrogen peroxide, hydrogen, deuterium, tritium, ammonia, S vapor, Se vapor, H 2 S, H 2 Se, and the like. The processing fluid may react with the metal materials on the substrate to purify the metal or form metal oxynitrides, metal oxides, metal oxychalcogenides or metal chalcogenides.

[0071] 기판(401)의 처리 동안, 내부 체적(425)의 환경은 증기상의 고압 영역 내에서 처리 유체를 유지하는 온도 및 압력으로 유지된다. 이러한 압력 및 온도는 처리 유체의 조성에 따라 선택된다. 증기의 경우, 온도 및 압력은 증기를 건조 증기 상태로 유지하는 조건으로 유지된다. 일 예에서, 내부 체적(425)은 대기보다 큰 압력, 예를 들어 약 5 bar 초과의 압력으로 가압된다. 다른 예에서, 내부 체적(425)은 약 10 내지 약 100 bar, 예컨대 약 20 내지 약 80 bar의 압력으로 가압된다. 다른 예에서, 내부 체적(425)은 약 100 bar까지의 압력으로 가압된다. 처리 동안, 내부 체적(425)은 또한 고온, 예를 들어 섭씨 425 도 초과의 온도(기판 지지 부재(432) 상에 배치된 기판(401)의 열 버짓(thermal budget)에 의해 제한됨), 예를 들어 섭씨 약 300 도 내지 약 500 도에서 유지된다.[0071] During processing of substrate 401 , the environment of interior volume 425 is maintained at a temperature and pressure that maintains the processing fluid within a high-pressure region of the vapor phase. These pressures and temperatures are selected according to the composition of the processing fluid. In the case of steam, temperature and pressure are maintained under conditions that keep the steam dry. In one example, the interior volume 425 is pressurized to a pressure greater than atmospheric, for example greater than about 5 bar. In another example, the interior volume 425 is pressurized to a pressure of about 10 to about 100 bar, such as about 20 to about 80 bar. In another example, the interior volume 425 is pressurized to a pressure of up to about 100 bar. During processing, the interior volume 425 is also heated, e.g., at a temperature greater than 425 degrees Celsius (limited by the thermal budget of the substrate 401 disposed on the substrate support member 432), e.g. For example, it is maintained at about 300 degrees Celsius to about 500 degrees Celsius.

[0072] 도 5는 내부에 포함되어 통합된 처리 챔버들(100, 200, 300, 400) 중 하나 이상을 포함하는 예시적인 클러스터 처리 시스템(500)의 개략적인 평면도이다. 일 실시예에서, 클러스터 처리 시스템(500)은 캘리포니아, 산타클라라에 위치된 Applied Materials, Inc.로부터 상업적으로 입수 가능한 CENTURA® 또는 ENDURA® 통합 처리 시스템일 수 있다. (다른 제조사들로부터의 것들을 포함하는) 다른 처리 시스템들이 본 개시로부터 이익을 얻도록 구성될 수 있다는 것이 고려된다.[0072] 5 is a schematic plan view of an exemplary cluster processing system 500 including one or more of the integrated processing chambers 100 , 200 , 300 , 400 contained therein. In one embodiment, cluster processing system 500 may be a CENTURA® or ENDURA® integrated processing system commercially available from Applied Materials, Inc. located in Santa Clara, California. It is contemplated that other processing systems (including those from other manufacturers) may be configured to benefit from the present disclosure.

[0073] 클러스터 처리 시스템(500)은 진공 밀폐 처리 플랫폼(504), 팩토리 인터페이스(factory interface)(502), 및 시스템 제어기(544)를 포함한다. 플랫폼(504)은 복수의 처리 챔버들(100, 200, 300, 400), 및 진공 기판 이송 챔버(536)에 결합되는 적어도 하나의 로드록 챔버(load lock chamber)(522)를 포함한다. 2 개의 로드록 챔버들(522)이 도 5에 도시되어 있다. 팩토리 인터페이스(502)는 로드록 챔버들(522)에 의해 이송 챔버(536)에 결합된다.[0073] The cluster processing system 500 includes a vacuum sealed processing platform 504 , a factory interface 502 , and a system controller 544 . The platform 504 includes a plurality of processing chambers 100 , 200 , 300 , 400 , and at least one load lock chamber 522 coupled to a vacuum substrate transfer chamber 536 . Two loadlock chambers 522 are shown in FIG. 5 . Factory interface 502 is coupled to transfer chamber 536 by loadlock chambers 522 .

[0074] 일 실시예에서, 팩토리 인터페이스(502)는 기판들의 이송을 용이하게 하기 위해 적어도 하나의 도킹 스테이션(docking station)(508) 및 적어도 하나의 팩토리 인터페이스 로봇(514)을 포함한다. 도킹 스테이션(508)은 하나 이상의 전면 개방 통합 포드(front opening unified pod)(FOUP)를 수용하도록 구성된다. 2 개의 FOUP(506A-B)들이 도 5의 실시예에 도시되어 있다. 로봇(514)의 일 단부에 배치된 블레이드(516)를 갖는 팩토리 인터페이스 로봇(514)은 로드록 챔버들(522)을 통해서 처리를 위해 팩토리 인터페이스(502)로부터 처리 플랫폼(504)으로 기판을 이송하도록 구성된다. 선택적으로, 하나 이상의 계측 스테이션들(518)이 FOUPS(506A-B)로부터 기판의 측정을 용이하게 하기 위해 팩토리 인터페이스(502)의 말단(526)에 연결될 수 있다.[0074] In one embodiment, the factory interface 502 includes at least one docking station 508 and at least one factory interface robot 514 to facilitate the transfer of substrates. The docking station 508 is configured to receive one or more front opening unified pods (FOUPs). Two FOUPs 506A-B are shown in the embodiment of FIG. A factory interface robot 514 having a blade 516 disposed at one end of the robot 514 transfers substrates from the factory interface 502 to a processing platform 504 for processing via load lock chambers 522 . is configured to Optionally, one or more metrology stations 518 may be coupled to the distal end 526 of the factory interface 502 to facilitate measurement of substrates from the FOUPS 506A-B.

[0075] 로드록 챔버들(522) 각각은 팩토리 인터페이스(502)에 결합된 제1 포트 및 이송 챔버(536)에 결합된 제2 포트를 갖는다. 로드록 챔버들(522)은 이송 챔버(536)의 진공 환경과 팩토리 인터페이스(502)의 실질적으로 주변(예를 들어, 대기) 환경 사이에서 기판 통과를 용이하게 하기 위해 로드록 챔버들(522)을 펌핑하고 벤팅(vent)하는 압력 제어 시스템(도시되지 않음)에 결합된다.[0075] Each of the load lock chambers 522 has a first port coupled to a factory interface 502 and a second port coupled to a transfer chamber 536 . The load lock chambers 522 are configured to facilitate substrate passage between the vacuum environment of the transfer chamber 536 and the substantially ambient (eg, atmospheric) environment of the factory interface 502 . is coupled to a pressure control system (not shown) that pumps and vents.

[0076] 이송 챔버(536)는 내부에 배치된 진공 로봇(530)을 갖는다. 진공 로봇(530)은 로드록 챔버들(522), 계측 시스템(510) 및 처리 챔버들(100, 200, 300, 400) 사이에서 기판들(524)을 이송할 수 있는 블레이드(534)를 갖는다.[0076] The transfer chamber 536 has a vacuum robot 530 disposed therein. The vacuum robot 530 has a blade 534 capable of transferring substrates 524 between the load lock chambers 522 , the metrology system 510 and the processing chambers 100 , 200 , 300 , 400 . .

[0077] 클러스터 처리 시스템(500)의 일 실시예에서, 클러스터 처리 시스템(500)은 하나 이상의 처리 챔버들(100, 200, 300, 400)을 포함할 수 있고, 이 하나 이상의 처리 챔버들은 증착 챔버(예를 들어, 물리적 기상 증착 챔버, 화학 기상 증착, 원자층 증착 또는 다른 증착 챔버들), 어닐링 챔버(예를 들어, 고압 어닐링 챔버, RTP 챔버, 레이저 어닐링 챔버), 에칭 챔버, 클리닝 챔버, 사전 클리닝 챔버, 경화 챔버, 리소그래피 노출 챔버, 또는 다른 유사한 유형의 반도체 처리 챔버들일 수 있다. 클러스터 처리 시스템(500)의 일부 실시예들에서, 처리 챔버들(100, 200, 300, 400) 중 하나 이상, 이송 챔버(536), 팩토리 인터페이스(502) 및/또는 로드록 챔버들(522) 중 적어도 하나가 제공된다.[0077] In one embodiment of cluster processing system 500 , cluster processing system 500 may include one or more processing chambers 100 , 200 , 300 , 400 , the one or more processing chambers comprising a deposition chamber (eg, a deposition chamber). For example, physical vapor deposition chamber, chemical vapor deposition, atomic layer deposition or other deposition chambers), annealing chamber (eg, high pressure annealing chamber, RTP chamber, laser annealing chamber), etching chamber, cleaning chamber, pre-cleaning chamber, It may be a curing chamber, a lithographic exposure chamber, or other similar type of semiconductor processing chambers. In some embodiments of cluster processing system 500 , one or more of processing chambers 100 , 200 , 300 , 400 , transfer chamber 536 , factory interface 502 , and/or loadlock chambers 522 . at least one of

[0078] 시스템 제어기(544)는 클러스터 처리 시스템(500)에 결합된다. 컴퓨팅 디바이스(501)를 포함하거나 또는 컴퓨팅 디바이스(501) 내에 포함될 수 있는 시스템 제어기(544)는 클러스터 처리 시스템(500)의 처리 챔버들(100, 200, 300, 400)의 직접 제어를 사용하여 클러스터 처리 시스템(500)의 동작을 제어한다. 대안적으로, 시스템 제어기(544)는 처리 챔버들(100, 200, 300, 400) 및 클러스터 처리 시스템(500)과 관련된 컴퓨터들(또는 제어기들)을 제어할 수 있다. 작동 시, 시스템 제어기(544)는 또한 클러스터 처리 시스템(500)의 성능을 최적화하기 위해 각각의 챔버들로부터의 데이터 수집 및 피드백을 가능하게 한다.[0078] The system controller 544 is coupled to the cluster processing system 500 . System controller 544 , which includes or may be included in computing device 501 , uses direct control of processing chambers 100 , 200 , 300 , 400 of cluster processing system 500 to cluster It controls the operation of the processing system 500 . Alternatively, the system controller 544 may control the processing chambers 100 , 200 , 300 , 400 and computers (or controllers) associated with the cluster processing system 500 . In operation, the system controller 544 also enables data collection and feedback from each of the chambers to optimize the performance of the cluster processing system 500 .

[0079] 시스템 제어기(544)는, 위에서 설명된 컴퓨팅 디바이스(501)와 마찬가지로, 일반적으로 중앙 처리 유닛(CPU)(538), 메모리(540), 및 지원 회로들(542)을 포함한다. CPU(538)는 산업 현장에서 사용될 수 있는 범용 컴퓨터 프로세서의 임의의 형태 중 하나일 수 있다. 지원 회로들(542)은 통상적으로 CPU(538)에 결합되고, 캐시, 클록 회로들, 입력/출력 서브시스템들, 전원들 등을 포함할 수 있다. 소프트웨어 루틴들은 CPU(538)를 특수 목적의 컴퓨터(제어기)(544)로 변환한다. 소프트웨어 루틴들은 또한 클러스터 처리 시스템(500)으로부터 원격으로 위치되는 제2 제어기(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다.[0079] System controller 544, like computing device 501 described above, generally includes a central processing unit (CPU) 538 , memory 540 , and support circuits 542 . The CPU 538 may be any one of any type of general-purpose computer processor that may be used in an industrial setting. Support circuits 542 are typically coupled to CPU 538 and may include cache, clock circuits, input/output subsystems, power supplies, and the like. Software routines convert the CPU 538 into a special purpose computer (controller) 544 . The software routines may also be stored and/or executed by a second controller (not shown) located remotely from the cluster processing system 500 .

[0080] 도 6은 반도체 구조를 위한 재료 층에 형성된 개구에 형성된 배리어 층(또는 라이너 층(liner layer)), 인터페이스 층 및 갭 충전 재료와 같은 상호 접속 구조를 형성하는 일 예의 흐름도이다. 여기에서 언급된 바와 같은 배리어 층은 필요에 따라 라이너 층으로 교환될 수 있다는 점에 유의한다. 구조는 전도성 및 비-전도성 구역들을 갖는 디바이스 또는 채널 구조, 핀 구조, 게이트 구조, 접촉 구조, 프론트 엔드 구조, 백 엔드 구조, 또는 반도체 디바이스들 등을 제조하는데 사용되는 임의의 다른 적절한 구조와 같은, 반도체 기판 상에 형성된 임의의 적절한 구조일 수 있다. 도 7a 내지 도 7d는 공정(600)의 다양한 스테이지들에 대응하는 기판(702)의 일부의 개략적인 단면도들이다. 공정(600)은 20 nm 미만의 작은 치수(예를 들어, 피처의 폭)를 갖는 개구에 형성된 갭 충전 층을 필요로 하는 접촉 또는 백 엔드 상호 접속 구조를 형성하는데 이용될 수 있다.[0080] 6 is an example flow diagram of forming an interconnect structure, such as a barrier layer (or liner layer), an interface layer, and a gap fill material formed in an opening formed in a material layer for a semiconductor structure. It is noted that the barrier layer as referred to herein may be exchanged for a liner layer as needed. The structure may be a device having conductive and non-conductive regions or a channel structure, a fin structure, a gate structure, a contact structure, a front end structure, a back end structure, or any other suitable structure used to manufacture semiconductor devices, etc., It may be any suitable structure formed on a semiconductor substrate. 7A-7D are schematic cross-sectional views of a portion of a substrate 702 corresponding to various stages of process 600 . Process 600 may be used to form contact or back end interconnect structures requiring a gap fill layer formed in an opening having a small dimension (eg, feature width) of less than 20 nm.

[0081] 공정(600)은 처리를 위해 도 7a에 도시된 바와 같은 기판(702)과 같은 기판을 제공함으로써 동작(602)에서 시작한다. 일 실시예에서, 기판(702)은 기판(702) 상에 형성되는 상호 접속 구조(750)를 가질 수 있다. 기판(702)은 실질적으로 평평한 표면, 요철 표면, 또는 구조가 형성되어 있는 실질적으로 평평한 표면을 가질 수 있다. 도 7a 내지 도 7d에 도시된 실시예는 도 8에 추가로 도시된 바와 같이 재료 층(802)에 형성된 개구(850)에 의해 노출되는, 기판(702)의 바닥 표면(822)과 같은 표면의 일부일 수 있다. 공정(600)은 20 nm 미만과 같은 작은 치수들을 갖는 개구(850)에 다수의 층들(예를 들어, 하나 초과의 층)을 형성하는 것을 도울 수 있다. 따라서, 다수의 층들은 최소한의 보이드들, 시임들 또는 갭들과 같은 최소한의 결함들을 가지고 개구(850)를 충전하는데 이용될 수 있는 높은 갭 충전 능력을 제공한다.[0081] Process 600 begins at operation 602 by providing a substrate, such as substrate 702 as shown in FIG. 7A , for processing. In one embodiment, the substrate 702 may have an interconnect structure 750 formed thereon. Substrate 702 may have a substantially flat surface, a concave-convex surface, or a substantially flat surface on which a structure is formed. The embodiment shown in FIGS. 7A-7D is an example of a surface, such as a bottom surface 822 of a substrate 702 , exposed by an opening 850 formed in the material layer 802 as further shown in FIG. 8 . may be some Process 600 can help form multiple layers (eg, more than one layer) in opening 850 having small dimensions, such as less than 20 nm. Accordingly, the multiple layers provide a high gap filling capability that can be used to fill the opening 850 with minimal defects such as voids, seams or gaps.

[0082] 도 8에 도시된 기판(702)은 기판(702) 상에 형성된 구조 또는 재료 층(802)을 포함한다. 개구(850)는 재료 층(802)에 형성된다. 일 실시예에서, 기판(702)은 결정질 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 변형된 실리콘, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들 및 패터닝된 또는 비-패터닝된 웨이퍼들, 실리콘 온 인슐레이터(silicon on insulator)(SOI), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료일 수 있다. 기판(702)은 직사각형 또는 정사각형 패널들뿐만 아니라 200 mm, 300 mm 또는 450 mm 직경의 웨이퍼들과 같은 다양한 치수들을 가질 수 있다. 달리 언급되지 않는 한, 여기에 설명된 실시예들 및 예들은 300 mm 직경 또는 450 mm 직경을 갖는 기판들 상에서 수행된다.[0082] The substrate 702 shown in FIG. 8 includes a structure or material layer 802 formed on the substrate 702 . An opening 850 is formed in the material layer 802 . In one embodiment, the substrate 702 is crystalline silicon (eg, Si<100> or Si<111>), silicon oxide, strained silicon, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers and patterned or non-patterned wafers, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitride, doped silicon, germanium, gallium arsenide, glass, It may be a material such as sapphire. The substrate 702 may have various dimensions, such as rectangular or square panels as well as 200 mm, 300 mm or 450 mm diameter wafers. Unless otherwise noted, embodiments and examples described herein are performed on substrates having a 300 mm diameter or 450 mm diameter.

[0083] 일 실시예에서, 재료 층(802)은 유전체 층일 수 있다. 재료 층(802)은 기판(702)의 부분들(예를 들어, 바닥 표면)(822)을 노출시키는 개구들(850)을 갖는다. 여기에 설명된 개구들(850)은 트렌치들(trenches), 비아들(vias), 홀들, 구멍들 등을 포함할 수 있다. 일 실시예에서, 재료 층(802)은 실리콘 함유 재료, 탄소 함유 재료, 또는 다른 적절한 재료들과 같은 유전체 재료일 수 있다. 적합한 실리콘 함유 재료들은 실리콘, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 및 이들의 조합들을 포함한다. 적합한 탄소 함유 재료들은 탄화규소, 산탄화규소, 비정질 탄소 등을 포함한다. 여기에 도시된 예시적인 실시예에서, 재료 층(802)은 SiOC 층이다.[0083] In one embodiment, the material layer 802 may be a dielectric layer. The material layer 802 has openings 850 that expose portions (eg, a bottom surface) 822 of the substrate 702 . The openings 850 described herein may include trenches, vias, holes, holes, and the like. In one embodiment, material layer 802 may be a dielectric material, such as a silicon-containing material, a carbon-containing material, or other suitable materials. Suitable silicon-containing materials include silicon, silicon oxide, silicon nitride, silicon oxynitride, and combinations thereof. Suitable carbon-containing materials include silicon carbide, silicon oxycarbide, amorphous carbon, and the like. In the exemplary embodiment shown herein, the material layer 802 is a SiOC layer.

[0084] 동작(604)에서, 기판(702)은 그 후 기판(702) 상에서 사전 클리닝 공정을 수행하기 위해, 도 5에 도시된 클러스터 처리 시스템(500)에 통합될 수 있는 도 1에 도시된 바와 같은 사전 클리닝 챔버(100)와 같은 처리 챔버 내로 이송된다. 동작(604)에서의 사전 클리닝 공정은 기판 표면 조건들에 기초하여 선택적이라는 점에 유의한다. 일부 실시예들에서, 동작(604)에서 수행되는 사전 클리닝 공정은 기판 표면으로부터 표면 오염들 또는 표면 자연 산화물을 제거하는 것을 도울 수 있다. 일부 실시예들에서, 사전 클리닝 공정은 필요하지 않을 수 있다.[0084] In operation 604 , the substrate 702 is then pre-cleaned as shown in FIG. 1 , which may be incorporated into the cluster processing system 500 shown in FIG. 5 to perform a pre-clean process on the substrate 702 . It is transferred into a processing chamber such as the cleaning chamber 100 . Note that the pre-clean process in operation 604 is optional based on substrate surface conditions. In some embodiments, the pre-clean process performed in operation 604 may help remove surface contaminants or surface native oxide from the substrate surface. In some embodiments, a pre-cleaning process may not be necessary.

[0085] 일 예에서, 사전 클리닝 공정은, Ar 또는 He 가스와 같은 불활성 가스를 포함하거나 포함하지 않는, 수소 함유 가스를 포함하는 사전 클리닝 가스 혼합물을 공급함으로써 수행될 수 있다. 일 예에서, 수소 함유 가스가 사전 클리닝 가스 혼합물 내에 공급될 수 있고, 불활성 가스는 또한 사전 클리닝 공정 동안 선택적으로 공급될 수 있다. 수소 함유 가스의 적합한 예들은 H2, H2O, H2O2, NH3 등을 포함한다. 불활성 가스의 적절한 예들은 또한 필요에 따라 사전 클리닝 가스 혼합물에 공급될 수 있다. 가스 혼합물에 공급되는 불활성 가스의 예들은 Ar, He, Ne, Kr, Xe 등을 포함한다. 하나의 특정 예에서, 사전 클리닝 가스 혼합물은 H2를 포함한다.[0085] In an example, the pre-cleaning process may be performed by supplying a pre-cleaning gas mixture comprising a hydrogen-containing gas, with or without an inert gas such as Ar or He gas. In one example, a hydrogen containing gas may be supplied into the pre-cleaning gas mixture, and an inert gas may also be optionally supplied during the pre-cleaning process. Suitable examples of hydrogen containing gases include H 2 , H 2 O, H 2 O 2 , NH 3 , and the like. Suitable examples of inert gas may also be supplied to the pre-cleaning gas mixture as needed. Examples of the inert gas supplied to the gas mixture include Ar, He, Ne, Kr, Xe, and the like. In one specific example, the pre-clean gas mixture comprises H 2 .

[0086] 사전 클리닝 가스 혼합물을 공급하는 동안, 기판 지지 온도는 섭씨 250 도 초과, 예를 들어 섭씨 300 도 초과, 예를 들어 섭씨 300 도 내지 섭씨 약 600 도, 예를 들어 섭씨 400 도의 온도로 기판을 유지하도록 제어될 수 있다. 사전 클리닝 공정 동안에 상대적으로 더 높은 기판 온도 제어는 기판 표면으로부터 표면 오염 및/또는 기판 표면 자연 산화물을 제거하는 것을 도울 수 있다고 믿어진다. 사전 클리닝 가스 혼합물은 챔버 플라즈마 영역(121)을 통해 기판 처리 영역(141)으로 공급되어, 표면 오염물들 및 자연 산화물을 제거하기 위해 사전 클리닝 가스 혼합물로부터 챔버 플라즈마 영역(121)에 원격 플라즈마 소스를 형성한다. 사전 클리닝 가스 혼합물로부터 처리 챔버(100) 내로 도입되는 가스들의 양은 예를 들어, 자연 산화물의 두께 또는 제거되는 표면 오염물들의 양을 수용하도록 변경 및 조정될 수 있다.[0086] While supplying the pre-clean gas mixture, the substrate support temperature is greater than 250 degrees Celsius, such as greater than 300 degrees Celsius, such as 300 degrees Celsius to about 600 degrees Celsius, such as to maintain the substrate at a temperature of 400 degrees Celsius. can be controlled. It is believed that relatively higher substrate temperature control during the pre-clean process can help remove surface contamination and/or substrate surface native oxides from the substrate surface. The pre-cleaning gas mixture is supplied to the substrate processing region 141 through the chamber plasma region 121 to form a remote plasma source in the chamber plasma region 121 from the pre-cleaning gas mixture to remove surface contaminants and native oxides. do. The amount of gases introduced into the processing chamber 100 from the pre-cleaning gas mixture may be varied and adjusted to accommodate, for example, the thickness of the native oxide or the amount of surface contaminants removed.

[0087] 동작(604)에서, 전원으로부터 원격 플라즈마 전력이 제공되어 공급되는 사전 클리닝 가스 혼합물로부터 챔버 플라즈마 영역(121)에 플라즈마를 형성한다. 동작(604)에서의 사전 클리닝 공정 동안 챔버 플라즈마 영역(121)에서 원격으로 생성된 플라즈마는 예를 들어 등방성 에칭 공정과 같이 표면 오염물들 및 자연 산화물을 천천히, 부드럽게 그리고 점진적으로 에칭하기 위해, 상대적으로 약하고 부드러운 에천트들을 형성하기 위해 해리된 에천트들을 가질 수 있다. 원격 플라즈마 공정은 인터페이스 클리닝을 위한 우수한 제어를 제공하고, 높은 에칭 선택도를 촉진한다.[0087] In operation 604 , remote plasma power is provided from a power source to form a plasma in the chamber plasma region 121 from the supplied pre-clean gas mixture. The plasma generated remotely in chamber plasma region 121 during the pre-clean process in operation 604 is relatively applied to slowly, gently, and gradually etch surface contaminants and native oxide, such as, for example, an isotropic etch process. It can have dissociated etchants to form weak and soft etchants. The remote plasma process provides good control for interface cleaning and promotes high etch selectivity.

[0088] 동작(606)에서, 도 7a에 도시된 바와 같이 기판(702) 상에 배리어 층(704)(예를 들어, 라이너 층)을 형성하기 위해 제1 증착 공정이 수행된다. 증착 공정은 도 2에 도시된 ALD 처리 챔버(200)에서 수행되는 원자층 증착(ALD) 공정, 또는 도 3에 도시된 CVD 처리 챔버(300), 또는 PVD Endura® 시스템과 같은 클러스터 처리 시스템(500)에 통합된 다른 적절한 처리 챔버들에서 수행되는 화학 기상 증착(CVD) 공정일 수 있다. 일 실시예에서, 배리어 층(704)은 나중에 그 위에 형성되는 전도성 층들로부터 재료 층(802)과 같은 근처의 주변 유전체 층으로의 금속 확산을 방지하도록 형성된다. 따라서, 배리어 층(704)은 후속 열 사이클들 및 공정들 동안 이를 통한 이온 확산을 차단하는 우수한 배리어 특성들을 갖도록 선택된다. 다른 실시예에서, 배리어 층(704)은 재료 층(802) 상에 후속적으로 형성되는 금속 요소들의 핵형성을 용이하게 하도록 형성된다. 따라서, 배리어 층(704)은 라이너로 간주될 수 있다. 일 실시예에서, 배리어 층(및/또는 라이너 층)(704)은 Ta 함유 층, Ti 함유 층, Co 함유 재료들, Ru 함유 재료들, Mn 함유 재료들 등과 같은 금속 함유 층에 의해 제조된다. 여기에 도시된 실시예에서, 배리어 층(704)은 TaN, TiN, TaON, TiON, Ti 합금 또는 Ta 합금이다.[0088] At operation 606 , a first deposition process is performed to form a barrier layer 704 (eg, a liner layer) on the substrate 702 as shown in FIG. 7A . The deposition process may be an atomic layer deposition (ALD) process performed in an ALD processing chamber 200 shown in FIG. 2 , or a CVD processing chamber 300 shown in FIG. 3 , or a cluster processing system 500 such as a PVD Endura® system. ) may be a chemical vapor deposition (CVD) process performed in other suitable processing chambers incorporated in the . In one embodiment, barrier layer 704 is formed to prevent metal diffusion from conductive layers formed thereon to a nearby surrounding dielectric layer, such as material layer 802 . Accordingly, barrier layer 704 is selected to have good barrier properties to block ion diffusion therethrough during subsequent thermal cycles and processes. In another embodiment, the barrier layer 704 is formed to facilitate nucleation of metal elements subsequently formed on the material layer 802 . Accordingly, the barrier layer 704 may be considered a liner. In one embodiment, the barrier layer (and/or liner layer) 704 is made of a metal-containing layer, such as a Ta-containing layer, a Ti-containing layer, Co-containing materials, Ru-containing materials, Mn-containing materials, or the like. In the embodiment shown herein, the barrier layer 704 is TaN, TiN, TaON, TiON, a Ti alloy, or a Ta alloy.

[0089] 일 실시예에서, 제1 증착 공정은 금속 함유 전구체를 포함하는 증착 가스 혼합물을 처리 챔버(200) 내로 공급함으로써 수행될 수 있다. 금속 함유 전구체의 적합한 예들은 Ta 함유 가스 또는 Ti 함유 가스 등을 포함한다. 일부 반응 가스들이 또한 증착 가스 혼합물에 공급될 수 있다. 반응 가스의 적절한 예들은 N2, NH3, O2, N2O, NO2 등을 포함한다. Ar, He, N2, N2O, NO2, NH3와 같은 다른 퍼지 가스 및/또는 희석 가스가 또한 필요에 따라 증착 가스 혼합물에 공급될 수 있다.In an embodiment, the first deposition process may be performed by supplying a deposition gas mixture including a metal-containing precursor into the processing chamber 200 . Suitable examples of the metal containing precursor include a Ta containing gas or a Ti containing gas and the like. Some reactant gases may also be supplied to the deposition gas mixture. Suitable examples of reactive gases include N 2 , NH 3 , O 2 , N 2 O, NO 2 , and the like. Other purge gases and/or diluent gases such as Ar, He, N 2 , N 2 O, NO 2 , NH 3 may also be supplied to the deposition gas mixture as needed.

[0090] 일 실시예에서, 배리어 층(704)은 TaN, TiN, TaO, TiO, TaON 또는 TiON 층이다.[0090] In one embodiment, the barrier layer 704 is a TaN, TiN, TaO, TiO, TaON or TiON layer.

[0091] 선택적인 동작(607)에서, 플라즈마 처리 공정이 배리어 층(704)(또는 라이너 층)을 처리하기 위해 수행될 수 있다. 플라즈마 처리 공정은 불순물들을 감소시키고 배리어 층(704)을 조밀화함으로써 증착된 배리어 층(704)의 표면 거칠기를 감소시키는 것으로 믿어진다. 동작(607)의 플라즈마 처리 공정을 위한 예시적인 플라즈마 형성 가스들은 수소(H2), 질소(N2), 암모니아(NH3), 및 이들의 조합들을 포함한다. 플라즈마 처리 공정 중에, 여러 개의 공정 파라미터들이 또한 조절된다. 일 구현에서, 공정 압력은 약 0.1 Torr 내지 약 100 Torr(예를 들어, 약 0.1 Torr 내지 약 80 Torr; 약 1 Torr 내지 약 20 Torr 또는 약 7 Torr 내지 약 30 Torr)에서 제어된다. 일 구현에서, 처리 온도는 섭씨 약 100 도 내지 섭씨 약 900 도(예를 들어, 섭씨 약 125 도 내지 섭씨 약 350 도, 예를 들어 섭씨 약 200 도 내지 섭씨 약 300 도, 예컨대 섭씨 약 250 도 내지 섭씨 약 340 도)이다. RF 전력은 약 100 와트 내지 약 800 와트, 예를 들어 약 400 와트에서 제어될 수 있다. H2 가스와 같은 플라즈마 형성 가스는 약 3000 sccm 내지 약 5000 sccm, 예를 들어 약 4000 sccm에서 공급될 수 있다. 기판 에지/기판 바닥으로부터 공급되는 H2 가스는 약 200 sccm 내지 약 1000 sccm에서 제어될 수 있다. 아르곤 가스는 약 200 sccm 내지 약 1000 sccm에서 기판 에지/기판 바닥으로부터 공급될 수 있다.In an optional operation 607 , a plasma treatment process may be performed to treat the barrier layer 704 (or liner layer). The plasma treatment process is believed to reduce the surface roughness of the deposited barrier layer 704 by reducing impurities and densifying the barrier layer 704 . Exemplary plasma forming gases for the plasma treatment process of operation 607 include hydrogen (H 2 ), nitrogen (N 2 ), ammonia (NH 3 ), and combinations thereof. During the plasma treatment process, several process parameters are also adjusted. In one implementation, the process pressure is controlled from about 0.1 Torr to about 100 Torr (eg, from about 0.1 Torr to about 80 Torr; from about 1 Torr to about 20 Torr or from about 7 Torr to about 30 Torr). In one implementation, the treatment temperature is between about 100 degrees Celsius and about 900 degrees Celsius (eg, about 125 degrees Celsius to about 350 degrees Celsius, such as about 200 degrees Celsius to about 300 degrees Celsius, such as about 250 degrees Celsius to about 300 degrees Celsius). about 340 degrees Celsius). The RF power may be controlled from about 100 watts to about 800 watts, for example about 400 watts. A plasma forming gas such as H 2 gas may be supplied at about 3000 sccm to about 5000 sccm, for example about 4000 sccm. The H 2 gas supplied from the substrate edge/substrate bottom may be controlled at about 200 sccm to about 1000 sccm. Argon gas may be supplied from the substrate edge/substrate bottom at about 200 seem to about 1000 seem.

[0092] 동작(608)에서, 도 7b에 도시된 바와 같이, 배리어 층(704) 상에 인터페이스 층(706)을 형성하기 위해 제2 증착 공정이 수행된다. 인터페이스 층(706)은 또한 CVD 공정, ALD 공정, 또는 PVD 공정에 의해 형성된 금속 함유 층일 수 있다. 인터페이스 층(706)은 갭 충전 층(708)(도 7c에 도시된 바와 같음)을 배리어 층(704)에 브리징하기 위한 우수한 인터페이스 접착력을 제공하여, 인터페이스 접착력이 향상되고 촉진될 수 있다.[0092] In operation 608 , a second deposition process is performed to form an interface layer 706 on the barrier layer 704 , as shown in FIG. 7B . The interface layer 706 may also be a metal-containing layer formed by a CVD process, an ALD process, or a PVD process. The interface layer 706 provides good interface adhesion for bridging the gap fill layer 708 (as shown in FIG. 7C ) to the barrier layer 704 , so that interface adhesion can be enhanced and promoted.

[0093] 일 실시예에서, 인터페이스 층(706)은 텅스텐 함유 재료들, 니켈 함유 재료들, 알루미늄 함유 재료들, 루테늄 함유 재료들, 또는 망간 함유 재료들일 수 있다. 일 실시예에서, 인터페이스 층(706)은 루테늄 함유 층이다.[0093] In one embodiment, the interface layer 706 may be tungsten containing materials, nickel containing materials, aluminum containing materials, ruthenium containing materials, or manganese containing materials. In one embodiment, the interface layer 706 is a ruthenium containing layer.

[0094] 일 예에서, 인터페이스 층(706)은 약 0.3 nm 내지 약 3 nm의 두께를 갖고, 예를 들어 도 3에 도시된 CVD 처리 챔버(300)에서 금속 유기 기상 증착(MOCVD) 공정에 의해 증착된다.[0094] In one example, the interface layer 706 has a thickness of about 0.3 nm to about 3 nm and is deposited by, for example, a metal organic vapor deposition (MOCVD) process in the CVD processing chamber 300 shown in FIG. 3 .

[0095] 동작(610)에서, 도 7c에 도시된 바와 같이, 갭 충전 층(708)을 형성하기 위해 갭 충전 증착 공정이 수행된다. 도 8에 도시된 예에 추가로 도시된 바와 같이, 갭 충전 층(708)은 개구(850)에 형성되어, 최소한의 시임들 또는 보이드들과 같은 최소한의 결함들을 가지고 개구(850)에 한정된 공간을 충전한다. 일 예에서, 갭 충전 층(708)은 Co 층 또는 Co 합금이다. 일 예에서, 갭 충전 층(708)은 순환층 증착(CLD), 원자층 증착(ALD), 화학 기상 증착(CVD) 등에 의해 형성된다. 본 명세서에 도시된 예에서, 갭 충전 층(708)은 CVD 공정에 의해 형성된다.[0095] In operation 610 , a gap fill deposition process is performed to form a gap fill layer 708 , as shown in FIG. 7C . As further shown in the example shown in FIG. 8 , a gap fill layer 708 is formed in the opening 850 , a space defined in the opening 850 with minimal defects such as minimal seams or voids. to charge In one example, the gap filling layer 708 is a Co layer or a Co alloy. In one example, the gap fill layer 708 is formed by cyclic layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), or the like. In the example shown herein, the gap fill layer 708 is formed by a CVD process.

[0096] 동작(610)에서 수행되는 CVD 공정은 다수의 하위 동작들(예를 들어, CVD 공정을 따른 상이한 공정들)을 포함한다. 예를 들어, 동작(610)에서 갭 충전 층(708)을 형성하기 위한 CVD 공정은 증착 공정 및 플라즈마 처리의 적어도 하나의 사이클을 포함할 수 있다. 사이클들의 개수는 갭 충전 층(708)의 원하는 두께가 달성될 때까지 필요한 만큼 많은 회수들이 반복될 수 있다. 대략적으로, 증착 공정 및 플라즈마 처리 공정의 각각의 사이클은 인터페이스 층(706) 상의 갭 충전 층(708)의 약 20 Å 내지 약 200 Å의 두께, 예를 들어, 갭 충전 충(708)의 일부를 형성할 수 있다. 각각의 사이클에서, 증착 공정은 약 60 초 내지 약 600 초 동안 수행되고, 이어서 약 10 초 내지 약 120 초의 시간 기간 동안 플라즈마 처리 공정이 수행될 수 있다.[0096] The CVD process performed at operation 610 includes a number of sub-operations (eg, different processes along the CVD process). For example, the CVD process for forming the gap fill layer 708 in operation 610 may include at least one cycle of a deposition process and a plasma treatment. The number of cycles may be repeated as many times as necessary until the desired thickness of the gap fill layer 708 is achieved. Approximately, each cycle of the deposition process and the plasma treatment process deposits a thickness of about 20 Å to about 200 Å of the gap fill layer 708 on the interface layer 706 , eg, a portion of the gap fill fill 708 . can be formed In each cycle, the deposition process may be performed for about 60 seconds to about 600 seconds, followed by a plasma treatment process for a time period of about 10 seconds to about 120 seconds.

[0097] 일 예에서, 증착 공정은 코발트 전구체를 포함하는 증착 전구체 가스 혼합물을 공급함으로써 수행될 수 있다. 증착 전구체 가스 혼합물에는 필요에 따라 반응 가스 혼합물이 공급될 수 있다. 반응 가스 혼합물은 필요에 따라 수소 가스(H2) 또는 NH3 가스일 수 있다. 적합한 코발트 전구체들은 코발트 카르보닐 착물들, 코발트 아미디네이트 화합물들, 코발토센 화합물들, 코발트 디에닐 착물들, 코발트 니트로실 착물들, 이들의 유도체들, 이들의 착물들, 이들의 플라즈마들, 또는 이들의 조합들을 포함할 수 있지만, 이에 제한되지는 않는다. 일 실시예에서, 본 명세서에서 사용될 수 있는 코발트 전구체들의 예들은 디코발트 헥사카르보닐 부틸아세틸렌(CCTBA, (CO)6Co2(HC≡CtBu)), 디코발트 헥사카르보닐 메틸부틸아세틸렌((CO)6Co2(MeC≡CtBu)), 디코발트 헥사카르보닐 페닐아세틸렌((CO)6Co2(HC≡CPh)), 헥사카르보닐 메틸페닐아세틸렌((CO)6Co2(MeC≡CPh)), 디코발트 헥사카르보닐 메틸아세틸렌((CO)6Co2(HC≡CMe)), 디코발트 헥사카르보닐 디메틸아세틸렌((CO)6Co2(MeC≡CMe)), 이들의 유도체들, 이들의 착물들, 이들의 플라즈마들, 또는 이들의 조합들을 포함한다. 다른 예시적인 코발트 카르보닐 착물들은 사이클로펜타다이에닐 코발트 비스(카르보닐)(CpCo(CO)2), 트라이카르보닐 알릴 코발트((CO)3Co(CH2CH=CH2)), 이들의 유도체들, 이들의 착물들, 이들의 플라즈마들, 또는 이들의 조합들을 포함한다. 본 명세서에 사용된 코발트 전구체의 일 특정 예는 디코발트 헥사카르보닐 부틸아세틸렌(CCTBA, (CO)6Co2(HC≡CtBu))이다.[0097] In one example, the deposition process may be performed by supplying a deposition precursor gas mixture comprising a cobalt precursor. The deposition precursor gas mixture may be supplied with a reaction gas mixture as needed. The reaction gas mixture may be hydrogen gas (H 2 ) or NH 3 gas as needed. Suitable cobalt precursors are cobalt carbonyl complexes, cobalt amidinate compounds, cobaltocene compounds, cobalt dienyl complexes, cobalt nitrosyl complexes, derivatives thereof, complexes thereof, plasmas thereof, or combinations thereof. In one embodiment, examples of cobalt precursors that may be used herein include dicobalt hexacarbonyl butylacetylene (CCTBA, (CO) 6 Co 2 (HC≡C t Bu)), dicobalt hexacarbonyl methylbutylacetylene ( (CO) 6 Co 2 (MeC≡C t Bu)), dicobalt hexacarbonyl phenylacetylene ((CO) 6 Co 2 (HC≡CPh)), hexacarbonyl methylphenylacetylene ((CO) 6 Co 2 (MeC) ≡CPh)), dicobalt hexacarbonyl methylacetylene ((CO) 6 Co 2 (HC≡CMe)), dicobalt hexacarbonyl dimethylacetylene ((CO) 6 Co 2 (MeC≡CMe)), derivatives thereof , complexes thereof, plasmas thereof, or combinations thereof. Other exemplary cobalt carbonyl complexes are cyclopentadienyl cobalt bis(carbonyl)(CpCo(CO) 2 ), tricarbonyl allyl cobalt ((CO) 3 Co(CH 2 CH=CH 2 )), their derivatives, complexes thereof, plasmas thereof, or combinations thereof. One specific example of a cobalt precursor as used herein is dicobalt hexacarbonyl butylacetylene (CCTBA, (CO) 6 Co 2 (HC≡C t Bu)).

[0098] 증착 공정 후, 동일한 챔버 내에서 플라즈마 처리 공정이 수행된다. 플라즈마 처리 공정은 갭 충전 층(708)으로부터 보이드들, 공기 및 불순물들과 같은 결함들을 몰아내기 위해 기판(702) 상에 형성된 갭 충전 층(708)의 일부를 조밀화하는 것을 도울 수 있다. 플라즈마 처리 공정은 도 3에 도시된 플라즈마 처리 챔버(300)와 같이, 갭 충전 층 증착이 수행되는 동일한 처리 챔버에서 수행된다. 유사하게, 플라즈마 처리 공정은 플라즈마 처리 챔버(300)가 또한 통합되어 있는 도 5에 도시된 클러스터 처리 시스템(500)에 통합된 다른 적절한 플라즈마 처리 챔버에서 수행될 수 있다. 대안적으로, 플라즈마 처리 공정은 플라즈마 처리 챔버(300)가 통합되어 있는 클러스터 처리 시스템(500)에 통합되지 않은 다른 독립형 처리 챔버에서 수행될 수 있다. 일 예에서, 플라즈마 처리 공정은 기판(702)을 가로질러 형성된 갭 충전 층(708)으로부터 느슨한 결합 구조들, 보이드들 또는 공기를 제거하기 위해 전체적으로 그리고 보편적으로 수행된다.[0098] After the deposition process, a plasma treatment process is performed in the same chamber. The plasma treatment process can help densify a portion of the gap fill layer 708 formed on the substrate 702 to drive out defects such as voids, air, and impurities from the gap fill layer 708 . The plasma processing process is performed in the same processing chamber in which the gap fill layer deposition is performed, such as the plasma processing chamber 300 shown in FIG. 3 . Similarly, the plasma processing process may be performed in any other suitable plasma processing chamber incorporated into the cluster processing system 500 shown in FIG. 5 into which the plasma processing chamber 300 is also incorporated. Alternatively, the plasma processing process may be performed in another stand-alone processing chamber that is not integrated with the cluster processing system 500 into which the plasma processing chamber 300 is integrated. In one example, a plasma treatment process is generally and universally performed to remove loose coupling structures, voids, or air from the gap fill layer 708 formed across the substrate 702 .

[0099] 일 실시예에서, 플라즈마 처리 공정은 갭 충전 층(708)이 형성되는 처리 챔버(300)에서 수행된다. 플라즈마 처리 공정은 플라즈마를 생성하기 위해 샤워헤드 조립체 또는 기판 지지 조립체 또는 둘 모두에 인가된 RF 소스 또는 바이어스 전력을 활용한다. RF 소스 전력, 바이어스 전력 또는 원격 플라즈마 소스가 인가되어 처리 가스 혼합물이 있는 상태에서 플라즈마를 생성한다.[0099] In one embodiment, the plasma processing process is performed in the processing chamber 300 in which the gap fill layer 708 is formed. The plasma treatment process utilizes an RF source or bias power applied to the showerhead assembly or the substrate support assembly or both to generate a plasma. An RF source power, bias power or remote plasma source is applied to generate a plasma in the presence of the process gas mixture.

[0100] 일 예에서, 처리 가스 혼합물은 H2, NH3 등과 같은 적어도 수소 함유 가스를 포함할 수 있다. 일부 예들에서, Ar 또는 He와 같은 불활성 가스가 또한 처리 가스 혼합물에 공급될 수 있다. 일 실시예에서, 수소 함유 가스는 약 1000 sccm 내지 약 6000 sccm의 체적 유량의 H2이다. 일 실시예에서, 불활성 또는 캐리어 가스는 약 3000 sccm 내지 약 5000 sccm의 체적 유량의 Ar 또는 He이다.[0100] In an example, the process gas mixture may include at least a hydrogen containing gas, such as H 2 , NH 3 , and the like. In some examples, an inert gas such as Ar or He may also be supplied to the process gas mixture. In one embodiment, the hydrogen containing gas is H 2 at a volumetric flow rate of about 1000 seem to about 6000 seem. In one embodiment, the inert or carrier gas is Ar or He at a volumetric flow rate of about 3000 seem to about 5000 seem.

[0101] 플라즈마 처리 공정 동안, 여러 공정 파라미터들이 플라즈마 처리 공정을 제어하기 위해 조절될 수 있다. 예시적인 일 실시예에서, 처리 챔버(100) 내의 공정 압력은 약 10 mTorr 내지 약 5000 mTorr, 예컨대 약 300 mTorr 내지 약 3000 mTorr로 조절된다. 기판 온도는 섭씨 약 80 도 내지 섭씨 약 400 도, 예를 들어 섭씨 약 150 도 내지 섭씨 약 250 도의 범위로 유지될 수 있다. 플라즈마 처리 공정은 약 5 초 내지 약 600 초, 예컨대 약 20 초 내지 약 120 초 동안 수행될 수 있다.[0101] During the plasma treatment process, several process parameters may be adjusted to control the plasma treatment process. In one exemplary embodiment, the process pressure within the processing chamber 100 is adjusted from about 10 mTorr to about 5000 mTorr, such as from about 300 mTorr to about 3000 mTorr. The substrate temperature may be maintained in the range of about 80 degrees Celsius to about 400 degrees Celsius, for example, about 150 degrees Celsius to about 250 degrees Celsius. The plasma treatment process may be performed for about 5 seconds to about 600 seconds, such as about 20 seconds to about 120 seconds.

[0102] 플라즈마 처리 공정 후에, 증착 공정 및 플라즈마 처리 공정의 사이클이 완료된다. 증착 공정 및 플라즈마 처리 공정의 각각의 사이클은 약 20 Å 내지 약 200 Å의 두께로 갭 충전 층(708)의 일부를 형성할 수 있다.[0102] After the plasma treatment process, the cycle of the deposition process and the plasma treatment process is completed. Each cycle of the deposition process and the plasma treatment process may form a portion of the gap fill layer 708 to a thickness of about 20 Angstroms to about 200 Angstroms.

[0103] 갭 충전 층(708)을 형성하기 위해 이용되는 사이클들(예를 들어, 증착 공정과 플라즈마 처리 공정 사이의 교번 공정들)의 개수는 필요한 만큼 많을 수 있다. 도 7c에 도시된 예에서, 증착 공정 및 플라즈마 처리 공정의 사이클들은 약 2 내지 약 15 회 동안 수행되어 약 10 nm 내지 약 40 nm 범위의 갭 충전 층(708)의 총 두께를 얻을 수 있다.[0103] The number of cycles (eg, alternating processes between a deposition process and a plasma treatment process) used to form the gap fill layer 708 can be as many as necessary. In the example shown in FIG. 7C , cycles of the deposition process and the plasma treatment process may be performed for about 2 to about 15 times to obtain a total thickness of the gap fill layer 708 in a range from about 10 nm to about 40 nm.

[0104] 동작(612)에서, 사후-어닐링 공정(post annealing process)이 수행된다. 사후-어닐링 공정은 5 bar 초과와 같은, 5 bar 초과 70 bar 미만과 같은 높은 공정 압력에서 수행되는 어닐링 공정이다. 고압 어닐링 공정은 도 7d에 도시된 바와 같이, 갭 충전 층(708)의 빈격자점들(vacancies) 및 증착 부산물 및/또는 잔류물들을 수리하고 표면 거칠기를 평활화하여, 어닐링된 갭 충전 층(712)을 형성하는 것을 도울 수 있다. 일부 예들에서, 높은 공정 압력은 70 bar까지 올라갈 수 있다. 고압 어닐링 공정은 도 4에 도시된 처리 챔버(400)와 같은 처리 챔버, 또는 기판을 한 번에 하나씩 처리하는 것들을 포함하는 다른 적절한 처리 챔버들에서 수행될 수 있다.[0104] At operation 612 , a post-annealing process is performed. A post-annealing process is an annealing process carried out at high process pressures, such as greater than 5 bar, such as greater than 5 bar and less than 70 bar. The high pressure annealing process repairs vacancies and deposition by-products and/or residues of the gap fill layer 708 and smoothes the surface roughness, as shown in FIG. 7D , the annealed gap fill layer 712 , as shown in FIG. 7D . ) can help to form In some examples, the high process pressure can go up to 70 bar. The high pressure annealing process may be performed in a processing chamber, such as processing chamber 400 shown in FIG. 4 , or other suitable processing chambers, including those that process substrates one at a time.

[0105] 동작(612)에서 수행되는 고압 어닐링 공정은 증기상의, 예를 들어 과열 상태에서, 예를 들어 액체 방울들이 실질적으로 존재하지 않는 건식 증기상의 고압 영역에서 처리 압력을 유지한다. 처리 압력 및 온도는 막 구조들을 조밀화하도록 제어되어, 막 결함들을 수리하고, 불순물들을 몰아내고, 표면 거칠기를 평활화한다. 일 예에서, 내부 체적(4125)(도 4에 도시된 바와 같음)은 대기보다 큰 압력, 예를 들어 약 2 bar 초과의 압력으로 가압된다. 다른 예에서, 내부 체적(425)은 약 5 내지 약 70 bar, 예컨대 약 5 내지 약 50 bar, 예컨대 약 25 bar 내지 약 55 bar의 압력으로 가압된다.[0105] The high pressure annealing process performed in operation 612 maintains the process pressure in the high pressure region of the vapor phase, eg, in a superheated state, eg, in a dry vapor phase substantially free of liquid droplets. The processing pressure and temperature are controlled to densify the film structures, repairing film defects, driving out impurities, and smoothing the surface roughness. In one example, interior volume 4125 (as shown in FIG. 4 ) is pressurized to a pressure greater than atmospheric, for example greater than about 2 bar. In another example, the interior volume 425 is pressurized to a pressure of about 5 to about 70 bar, such as about 5 to about 50 bar, such as about 25 bar to about 55 bar.

[0106] 처리 동안, 내부 체적(425)은 히터들(440)에 의해 상대적으로 낮은 온도, 예를 들어 섭씨 약 300 도 내지 섭씨 약 500 도와 같이, 섭씨 250 도 초과의 온도로 유지된다.[0106] During processing, the interior volume 425 is maintained at a relatively low temperature, eg, greater than 250 degrees Celsius, such as between about 300 degrees Celsius and about 500 degrees Celsius by the heaters 440 .

[0107] 고압 공정은 불순물들을 몰아내고 갭 충전 층(708)에 댕글링 본드들(dangling bonds)을 연결하는 구동력을 제공할 수 있으며, 이에 따라 보이드들과 같은 결함들을 형성할 가능성을 감소시키고, 막 품질들을 높이고, 표면 거칠기를 평활화할 수 있다고 여겨진다. 일 예에서, 수소 함유 가스, 수소 가스, 및/또는 수소 동위 원소 함유 가스, 예를 들어 H2, D2, T2, H2O, H2O2, NH3, 및 건조 증기가 어닐링 공정 동안 공급될 수 있다. He, Ar과 같은 불활성 가스가 또한 어닐링 공정 동안 공급될 수 있다. 일 예에서, 어닐링 공정 동안, 수소 가스(H2)가 어닐링 공정 동안 공급된다. 다른 예에서, 어닐링 공정 동안, 수소 가스(H2) 또는 수소 동위 원소 함유 가스가 어닐링 공정 동안 공급된다.[0107] The high pressure process can provide a driving force to drive out impurities and connect dangling bonds to the gap fill layer 708, thereby reducing the likelihood of forming defects such as voids; It is believed that it is possible to improve the film qualities and smooth the surface roughness. In one example, a hydrogen containing gas, hydrogen gas, and/or a hydrogen isotope containing gas, such as H 2 , D 2 , T 2 , H 2 O, H 2 O 2 , NH 3 , and dry steam are used in the annealing process. can be supplied during An inert gas such as He or Ar may also be supplied during the annealing process. In one example, during the annealing process, hydrogen gas (H 2 ) is supplied during the annealing process. In another example, during the annealing process, hydrogen gas (H 2 ) or a hydrogen isotope containing gas is supplied during the annealing process.

[0108] 하나의 예시적인 구현에서, 공정 압력은 2 bar 초과, 예컨대 5 bar 초과, 예를 들어 5 bar 내지 70 bar, 예컨대 20 bar 내지 약 50 bar의 압력에서 조절된다. 공정 온도는 섭씨 250 도 초과, 예컨대 섭씨 약 250 도 내지 섭씨 약 700 도, 예컨대 섭씨 약 300 도 내지 섭씨 약 500 도에서 제어될 수 있다.[0108] In one exemplary implementation, the process pressure is adjusted at a pressure of greater than 2 bar, such as greater than 5 bar, such as from 5 bar to 70 bar, such as from 20 bar to about 50 bar. The process temperature may be controlled above 250 degrees Celsius, such as between about 250 degrees Celsius and about 700 degrees Celsius, such as between about 300 degrees Celsius and about 500 degrees Celsius.

[0109] 고압에서의 어닐링 공정 후, 갭 충전 층(708)은 고 순도, 큰 결정 구조, 평활한 표면 거칠기와 함께 더 적은 결정립계들(grain boundaries)을 갖는 강화된 막 구조를 가지며, 이는 더 높은 막 밀도 및 낮은 막 저항이 얻어지는 비교적 견고한 막 구조를 제공한다. 갭 충전 층(708)이 Co 함유 재료인 예에서, Co 함유 재료에 대한 막 저항률은 고압 어닐링 공정 후에 약 10% 내지 약 50% 감소될 수 있다. 개구(850)에 형성된 갭 충전 층(708)은 높은 갭 충전 능력으로 실질적으로 보이드가 없을 수 있다. 갭 충전 층(708)은 약 80 Å 내지 약 400 Å의 평균 결정 입도(grain size)를 갖는다.[0109] After the annealing process at high pressure, the gap filling layer 708 has a strengthened film structure with fewer grain boundaries along with high purity, large crystal structure, and smooth surface roughness, which results in higher film density and It provides a relatively rigid membrane structure from which a low membrane resistance is obtained. In the example where the gap fill layer 708 is a Co-containing material, the film resistivity for the Co-containing material may be reduced by about 10% to about 50% after the high pressure annealing process. The gap fill layer 708 formed in the opening 850 may be substantially void free with high gap fill capability. Gap fill layer 708 has an average grain size of about 80 Angstroms to about 400 Angstroms.

[0110] 따라서, 채널 구조, 상호 접속 구조 또는 접촉 구조와 같은 디바이스 구조를 위한, 금속 함유 재료와 같은 갭 충전 층을 형성하기 위한 방법 및 장치가 제공된다. 상호 접속 구조는 표면 오염 가능성을 제거하고 우수한 인터페이스 제어를 제공하기 위해 진공을 깨뜨리지 않고 하나의 클러스터 처리 시스템에서 배리어 층, 인터페이스 층, 및 갭 충전 층을 포함할 수 있다. 어닐링 공정은 상호 접속 구조를 포함하는 디바이스 구조가 원하는 전기적 성능을 달성할 수 있도록 상호 접속 구조의 막 품질을 향상시키며, 여기서 어닐링 공정은 수소 또는 수소 동위 원소 함유 대기에서 5 bar 초과의 압력 범위로 수행된다.[0110] Accordingly, methods and apparatus are provided for forming a gap filling layer, such as a metal-containing material, for a device structure, such as a channel structure, interconnect structure, or contact structure. The interconnect structure may include a barrier layer, an interface layer, and a gap fill layer in one cluster processing system without breaking the vacuum to eliminate the possibility of surface contamination and provide good interface control. The annealing process improves the film quality of the interconnect structure so that the device structure comprising the interconnect structure achieves the desired electrical performance, wherein the annealing process is performed in a pressure range of greater than 5 bar in an atmosphere containing hydrogen or isotopes of hydrogen do.

[0111] 전술한 내용은 본 개시의 실시예들에 관한 것이지만, 본 개시의 기본 범위를 벗어나지 않으면서 본 개시의 다른 및 추가 실시예들이 안줄될 수 있고, 그 범위는 다음의 청구항들에 의해 결정된다.[0111] Although the foregoing relates to embodiments of the present disclosure, other and additional embodiments of the present disclosure may be made without departing from the basic scope of the present disclosure, the scope of which is determined by the following claims.

Claims (20)

반도체 디바이스(semiconductor device)를 위한 디바이스 구조를 형성하는 방법으로서,
기판 상에 배치된 재료 층에 형성된 개구에 배리어 층(barrier layer)을 형성하는 단계;
상기 배리어 층 상에 인터페이스 층(interface layer)을 형성하는 단계;
상기 인터페이스 층 상에 갭 충전 층(gap filling layer)을 형성하는 단계; 및
상기 기판 상에 어닐링 공정(annealing process)을 수행하는 단계 ― 상기 어닐링 공정은 5 bar 초과의 압력 범위에서 수행됨 ― 를 포함하는,
방법.
A method of forming a device structure for a semiconductor device, comprising:
forming a barrier layer in the opening formed in the material layer disposed on the substrate;
forming an interface layer on the barrier layer;
forming a gap filling layer on the interface layer; and
performing an annealing process on the substrate, wherein the annealing process is performed in a pressure range greater than 5 bar;
Way.
제1 항에 있어서,
상기 인터페이스 층은 금속 함유 층인,
방법.
According to claim 1,
wherein the interface layer is a metal-containing layer;
Way.
제2 항에 있어서,
상기 인터페이스 층은 텅스텐(tungsten) 함유 재료, 니켈(nickel) 함유 재료, 알루미늄(aluminum) 함유 재료, 루테늄(ruthenium) 함유 재료, 또는 망간 함유 재료 중 적어도 하나인,
방법.
3. The method of claim 2,
wherein the interface layer is at least one of a tungsten-containing material, a nickel-containing material, an aluminum-containing material, a ruthenium-containing material, or a manganese-containing material;
Way.
제1 항에 있어서,
상기 갭 충전 층은 Co 층 또는 Co 합금인,
방법.
According to claim 1,
wherein the gap filling layer is a Co layer or a Co alloy;
Way.
제1 항에 있어서,
상기 갭 충전 층을 형성하는 단계는:
(a) 상기 갭 충전 층의 일부를 형성하기 위해 증착 공정을 수행하는 단계; 및
(b) 상기 갭 충전 층의 상기 일부 상에 플라즈마 처리 공정(plasma treatment process)을 수행하는 단계를 더 포함하는,
방법.
According to claim 1,
Forming the gap filling layer comprises:
(a) performing a deposition process to form a portion of the gap fill layer; and
(b) performing a plasma treatment process on the portion of the gap fill layer;
Way.
제5 항에 있어서,
단계들 (a) 및 (b)를 반복하는 단계를 더 포함하는,
방법.
6. The method of claim 5,
further comprising repeating steps (a) and (b),
Way.
제5 항에 있어서,
상기 플라즈마 처리 공정은:
수소 함유 가스를 포함하는 처리 가스 혼합물을 공급하는 단계를 더 포함하는,
방법.
6. The method of claim 5,
The plasma treatment process comprises:
supplying a process gas mixture comprising a hydrogen containing gas;
Way.
제5 항에 있어서,
상기 증착 공정은 CVD 공정인,
방법.
6. The method of claim 5,
The deposition process is a CVD process,
Way.
제1 항에 있어서,
상기 어닐링 공정을 수행하는 단계는:
섭씨 250 도 초과의 기판 온도를 유지하는 단계를 더 포함하는,
방법.
According to claim 1,
The step of performing the annealing process is:
further comprising maintaining the substrate temperature above 250 degrees Celsius;
Way.
제1 항에 있어서,
상기 어닐링 공정을 수행하는 단계는:
상기 어닐링 공정 동안 수소 함유 가스를 포함하는 어닐링 가스 혼합물을 공급하는 단계를 더 포함하는,
방법.
According to claim 1,
The step of performing the annealing process is:
further comprising supplying an annealing gas mixture comprising a hydrogen containing gas during the annealing process;
Way.
제1 항에 있어서,
상기 배리어 층은 Ta 함유 층 또는 Ti 함유 층인,
방법.
According to claim 1,
wherein the barrier layer is a Ta containing layer or a Ti containing layer;
Way.
제1 항에 있어서,
상기 배리어 층, 상기 인터페이스 층 및 상기 갭 충전 층은 진공을 깨뜨리지 않고 클러스터 시스템(cluster system)에서 형성되는,
방법.
According to claim 1,
wherein the barrier layer, the interface layer and the gap fill layer are formed in a cluster system without breaking vacuum.
Way.
제1 항에 있어서,
상기 배리어 층을 형성하는 단계 전에 사전 클리닝 공정(pre-cleaning process)을 수행하는 단계를 더 포함하는,
방법.
According to claim 1,
and performing a pre-cleaning process prior to forming the barrier layer.
Way.
제13 항에 있어서,
상기 사전 클리닝 공정을 수행하는 단계는:
섭씨 250 도 초과의 기판 온도를 유지하는 단계를 더 포함하는,
방법.
14. The method of claim 13,
Performing the pre-cleaning process includes:
further comprising maintaining the substrate temperature above 250 degrees Celsius;
Way.
제1 항에 있어서,
상기 어닐링 공정을 수행하는 단계는:
상기 갭 충전 층의 결정 입도들(grain sizes)을 증가시키는 단계를 더 포함하는,
방법.
According to claim 1,
The step of performing the annealing process is:
further comprising increasing grain sizes of the gap filling layer;
Way.
상호 접속 구조로서,
기판 상에 배치된 재료 층에 한정된 개구에 형성된 배리어 층;
상기 배리어 층 상에 배치된 인터페이스 층; 및
상기 인터페이스 층 상에 배치된 갭 충전 층 ― 상기 갭 충전 층은 10 nm 초과의 평균 결정 입도를 가짐 ― 을 포함하는,
상호 접속 구조.
An interconnect structure comprising:
a barrier layer formed in an opening defined in a layer of material disposed on the substrate;
an interface layer disposed on the barrier layer; and
a gap fill layer disposed on the interface layer, the gap fill layer having an average grain size greater than 10 nm;
interconnection structure.
제16 항에 있어서,
상기 갭 충전 층은 Co 층 또는 Co 합금인,
상호 접속 구조.
17. The method of claim 16,
wherein the gap filling layer is a Co layer or a Co alloy;
interconnection structure.
제16 항에 있어서,
상기 인터페이스 층은 Ru 함유 층이고, 상기 배리어 층은 Ta 함유 층 또는 Ti 함유 층인,
상호 접속 구조.
17. The method of claim 16,
wherein the interface layer is a Ru containing layer and the barrier layer is a Ta containing layer or a Ti containing layer;
interconnection structure.
제16 항에 있어서,
상기 개구는 20 nm 미만의 치수를 갖는,
상호 접속 구조.
17. The method of claim 16,
wherein the opening has a dimension of less than 20 nm;
interconnection structure.
상호 접속 구조를 형성하는 방법으로서,
미리 결정된 두께의 갭 충전 층이 얻어질 때까지 증착 공정 및 플라즈마 처리 공정을 반복적으로 수행함으로써 갭 충전 층 형성 공정을 형성하는 단계; 및
수소 또는 수소 동위 원소 함유 가스를 공급하면서 5 bar 초과의 압력으로 상기 갭 충전 층 상에 어닐링 공정을 수행하는 단계를 포함하는,
방법.
A method of forming an interconnect structure comprising:
forming a gap filling layer forming process by repeatedly performing a deposition process and a plasma treatment process until a gap filling layer of a predetermined thickness is obtained; and
performing an annealing process on the gap fill layer at a pressure greater than 5 bar while supplying hydrogen or a hydrogen isotope containing gas;
Way.
KR1020227016096A 2019-10-15 2020-08-14 Gap Fill Deposition Process KR20220079671A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/653,601 US11101174B2 (en) 2019-10-15 2019-10-15 Gap fill deposition process
US16/653,601 2019-10-15
PCT/US2020/046396 WO2021076212A1 (en) 2019-10-15 2020-08-14 Gap fill deposition process

Publications (1)

Publication Number Publication Date
KR20220079671A true KR20220079671A (en) 2022-06-13

Family

ID=75383197

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227016096A KR20220079671A (en) 2019-10-15 2020-08-14 Gap Fill Deposition Process

Country Status (6)

Country Link
US (1) US11101174B2 (en)
JP (1) JP2022551922A (en)
KR (1) KR20220079671A (en)
CN (1) CN114556544A (en)
TW (1) TW202117931A (en)
WO (1) WO2021076212A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024019440A1 (en) * 2022-07-22 2024-01-25 주식회사 에이치피에스피 Method for manufacturing semiconductor device

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN111095513B (en) 2017-08-18 2023-10-31 应用材料公司 High-pressure high-temperature annealing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN117936420A (en) 2017-11-11 2024-04-26 微材料有限责任公司 Gas delivery system for high pressure processing chamber
JP2021503714A (en) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Capacitor system for high pressure processing system
KR20230079236A (en) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
JP7394601B2 (en) * 2019-11-28 2023-12-08 東京エレクトロン株式会社 Plasma processing equipment and measurement method
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20230005844A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Structures with copper doped hybrid metallization for line and via

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001060621A (en) * 1999-08-20 2001-03-06 Kobe Steel Ltd Manufacture of semiconductor device
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
WO2006098101A1 (en) * 2005-03-16 2006-09-21 Nec Corporation Metal material, and coating film and wiring for semiconductor integrated circuitry utilizing the metal material
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8435887B2 (en) 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9685371B2 (en) * 2013-09-27 2017-06-20 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9768060B2 (en) 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US9646850B2 (en) * 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
KR102582671B1 (en) * 2016-12-22 2023-09-25 삼성전자주식회사 Semiconductor devices
TWI758398B (en) 2017-01-24 2022-03-21 美商應用材料股份有限公司 Method of forming cobalt layer on substrate
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11114333B2 (en) * 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024019440A1 (en) * 2022-07-22 2024-01-25 주식회사 에이치피에스피 Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
US20210111067A1 (en) 2021-04-15
US11101174B2 (en) 2021-08-24
JP2022551922A (en) 2022-12-14
CN114556544A (en) 2022-05-27
TW202117931A (en) 2021-05-01
WO2021076212A1 (en) 2021-04-22

Similar Documents

Publication Publication Date Title
US11101174B2 (en) Gap fill deposition process
US10784086B2 (en) Cobalt etch back
TWI629373B (en) Tungsten deposition with tungsten hexaflouride (wf6) etchback
US9528183B2 (en) Cobalt removal for chamber clean or pre-clean process
KR102185346B1 (en) Void free tungsten fill in different sized features
JP2018142698A (en) Formation method of air gap
JP2013219380A (en) Film forming method, and film forming apparatus
JP7336884B2 (en) Surface treatment method and treatment system
US11574924B2 (en) Memory cell fabrication for 3D NAND applications
US11756828B2 (en) Cluster processing system for forming a transition metal material
US9653311B1 (en) 3D NAND staircase CD fabrication utilizing ruthenium material
US9779950B2 (en) Ruthenium film forming method, film forming apparatus, and semiconductor device manufacturing method
TW202044560A (en) Vertical transistor fabrication for memory applications
US8334208B2 (en) Film-forming method and film-forming apparatus
US20200251340A1 (en) Methods and apparatus for filling a feature disposed in a substrate
US20230107536A1 (en) Methods for forming low resistivity tungsten features
TWI687535B (en) Methods for forming capping protection for an interconnection structure
JP4821069B2 (en) Method for forming metal silicide film

Legal Events

Date Code Title Description
E902 Notification of reason for refusal