US20140342532A1 - Delicate dry clean - Google Patents

Delicate dry clean Download PDF

Info

Publication number
US20140342532A1
US20140342532A1 US13/966,453 US201313966453A US2014342532A1 US 20140342532 A1 US20140342532 A1 US 20140342532A1 US 201313966453 A US201313966453 A US 201313966453A US 2014342532 A1 US2014342532 A1 US 2014342532A1
Authority
US
United States
Prior art keywords
plasma
region
substrate
watts
remote plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/966,453
Other versions
US8895449B1 (en
Inventor
Lina Zhu
Sean S. Kang
Srinivas D. Nemani
Chia-Ling Kao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US13/966,453 priority Critical patent/US8895449B1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KANG, SEAN S., KAO, CHIA-LING, NEMANI, SRINIVAS D., ZHU, LINA
Publication of US20140342532A1 publication Critical patent/US20140342532A1/en
Application granted granted Critical
Publication of US8895449B1 publication Critical patent/US8895449B1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • Integrated circuit fabrication methods have reached a point where many hundreds of millions of transistors are routinely formed on a single chip.
  • Each new generation of fabrication techniques and equipment are allowing commercial scale fabrication of ever smaller and faster transistors, but also increase the difficulty to make even smaller, faster circuit elements.
  • the shrinking dimensions of circuit elements now well below the 50 nm threshold, has caused chip designers to look for new low-resistivity conductive materials and new low-dielectric constant (i.e., low-k) insulating materials to improve (or simply maintain) the electrical performance of the integrated circuit.
  • Parasitic capacitance becomes a significant impediment to transistor switching rate as the number of transistors per area is increased. Capacitance exists between all adjacent electrically isolated conductors within an integrated circuit and may limit the switching rate regardless of whether the conducting portions are at the “front end” or the “back end” of the manufacturing process flow.
  • oxidized organo-silane films such as the Black Diamond films commercially available from Applied Materials, Inc. of Santa Clara, Calif. These films have lower dielectric constants (e.g., about 3.5 or less) than conventional spacer materials like silicon oxides and nitrides.
  • dielectric constants e.g., about 3.5 or less
  • spacer materials like silicon oxides and nitrides.
  • some new processes involve exposing low-k films to environments which can increase the effective dielectric constant and limit device performance.
  • a method of selectively removing fluorocarbon layers from overlying low-k dielectric material is described. These protective plasma treatments (PPT) are delicate alternatives to traditional post-etch treatments (PET).
  • the method includes sequential exposure to (1) a local plasma formed from a silicon-fluorine precursor followed by (2) an exposure to plasma effluents formed in a remote plasma from a fluorine-containing precursor.
  • the remote plasma etch (2) has been found to be highly selective of the residual material following the local plasma silicon-fluorine exposure.
  • the sequential process (1)-(2) avoids exposing the low-k dielectric material to oxygen which would undesirably increase its dielectric constant.
  • Embodiments of the invention include methods of removing a fluorocarbon layer from a low-k dielectric layer on a patterned substrate, the method comprising two sequential steps: (i) treating the patterned substrate with a local plasma formed from a silicon-and-fluorine-containing precursor, wherein the operation of treating the patterned substrate removes the fluorocarbon layer from patterned substrate and forms a fluorinated silicon oxide layer on the low-k dielectric layer and the local plasma is formed by applying a local plasma power, and (ii) flowing a fluorine-containing precursor into a remote plasma region fluidly coupled to a substrate processing region while forming a remote plasma in the remote plasma region to produce plasma effluents.
  • the methods include forming the remote plasma in the remote plasma region to produce the plasma effluents comprises striking an RF plasma having an RF plasma power to the plasma region and etching the fluorinated silicon oxide layer by flowing the plasma effluents into the substrate processing region.
  • FIG. 1 is a flow chart of a protective plasma treatment according to disclosed embodiments.
  • FIG. 2A-2C show cross-sectional views of a device at various stages during a protective plasma treatment according to disclosed embodiments.
  • FIG. 3A shows a schematic cross-sectional view of a substrate processing chamber according to disclosed embodiments.
  • FIG. 3B shows a schematic cross-sectional view of a portion of a substrate processing chamber according to disclosed embodiments.
  • FIG. 3C shows a bottom plan view of a showerhead according to disclosed embodiments.
  • FIG. 4 shows a top plan view of an exemplary substrate processing system according to disclosed embodiments.
  • a method of selectively removing fluorocarbon layers from overlying low-k dielectric material is described. These protective plasma treatments (PPT) are delicate alternatives to traditional post-etch treatments (PET).
  • the method includes sequential exposure to (1) a local plasma formed from a silicon-fluorine precursor followed by (2) an exposure to plasma effluents formed in a remote plasma from a fluorine-containing precursor.
  • the remote plasma etch (2) has been found to be highly selective of the residual material following the local plasma silicon-fluorine exposure.
  • the sequential process (1)-(2) avoids exposing the low-k dielectric material to oxygen which would undesirably increase its dielectric constant.
  • a two-step sequence includes (i) a local plasma treatment step which transforms/replaces etch-remnant fluorocarbon material into/with fluorinated silicon oxide.
  • a delicate remote fluorine-based etch has been determined to be highly selective of the transformed/replaced fluorinated silicon oxide layer.
  • a subsequent (ii) etch step involves remote excitation of a fluorine-containing precursor and transferal of the plasma effluents into a substrate processing region housing the substrate.
  • the plasma effluents react with the exposed fluorinated silicon oxide and preferentially remove the transformed/replaced layer from the patterned substrate without increasing the dielectric constant of the underlying low-k material in embodiments.
  • Traditional post-etch treatments PET which have been used previously to remove fluorocarbons have relied on “ashing” (i.e. exposure to oxygen) which raises the surface dielectric constant of the underlying low-k dielectric.
  • FIG. 1 is a flow chart of an exemplary protective plasma treatment according to disclosed embodiments.
  • FIGS. 2A-2C shows cross-sectional views of an exemplary device at various stages during protective plasma treatments.
  • a structure Prior to the first operation, a structure is formed in a patterned substrate.
  • the structure includes a titanium nitride mask (TiN mask) 220 over an ultra-low-k (ULK) dielectric 210 .
  • the ULK dielectric 210 is etched in regions which are left uncovered by TiN mask 220 .
  • the etch process leaves fluorocarbon 230 residue behind prior to the start of the removal processes described herein.
  • the structure formed possesses an exposed roughly conformal layer of leftover fluorocarbon 230 on TiN mask 220 and ULK dielectric 210 .
  • the etch process described removes fluorocarbon 230 without damaging (raising the dielectric constant of) ULK dielectric 210 .
  • the patterned substrate is then delivered into a substrate processing region.
  • Silicon tetrafluoride is then flowed into the substrate processing region housing the patterned substrate (operation 110 ).
  • the silicon tetrafluoride is excited in a local capacitively-coupled plasma within the substrate processing region and the patterned substrate is treated with the local plasma.
  • a DC voltage may be applied in addition to a radio-frequency AC primary excitation to form the local plasma.
  • the substrate processing region may generally include a silicon-and-fluorine-containing precursor as well as other inert species, such as argon and helium, which may prove beneficial to stability, uniformity and strikability of the plasma.
  • the substrate processing region may be devoid of reactive species containing nitrogen or oxygen, in disclosed embodiments, in order to suppress a detrimental potential rise in dielectric constant of ULK dielectric 210 during the treatment step (operation 110 ).
  • the inventors have determined that oxygen from the ULK is sufficient to supply the oxygen to the fluorinated silicon oxide in disclosed embodiments.
  • the treatment step using silicon tetrafluoride is the first step in a two step sequence (the second step includes operations 120 - 140 described below).
  • the treatment operation 110 alters or replaces fluorocarbon layer 230 while forming a fluorinated silicon oxide layer 232 in place of fluorocarbon layer 230 .
  • the inventors have found that fluorinated silicon oxide layer 232 is much easier to delicately remove than fluorocarbon layer 230 .
  • a flow of nitrogen trifluoride is then introduced into a plasma region separate from the substrate processing region (operation 120 ) where the nitrogen trifluoride is excited in a remote plasma struck within the separate plasma region.
  • the separate plasma region may be referred to as a remote plasma region herein and may be within a distinct module from the processing chamber or a compartment within the processing chamber separated from the substrate processing region by a permeable barrier.
  • a fluorine-containing precursor may be flowed into the remote plasma region and the fluorine-containing precursor comprises at least one precursor selected from the group consisting of atomic fluorine, diatomic fluorine, bromine trifluoride, chlorine trifluoride, nitrogen trifluoride, hydrogen fluoride, fluorinated hydrocarbons, sulfur hexafluoride, carbon tetrafluoride and xenon difluoride.
  • the fluorine-containing precursor comprises at least one precursor selected from the group consisting of atomic fluorine, diatomic fluorine, bromine trifluoride, chlorine trifluoride, nitrogen trifluoride, hydrogen fluoride, fluorinated hydrocarbons, sulfur hexafluoride, carbon tetrafluoride and xenon difluoride.
  • broad substrate temperature ranges are possible.
  • the temperature of the substrate during operations 120 - 130 may be between 0° C. and 200° C. or between 10° C. and 150° C. in disclosed embodiments.
  • the plasma effluents formed in the remote plasma region are then flowed into the substrate processing region (operation 130 ) and the patterned substrate is selectively etched (operation 140 ).
  • the plasma effluents may enter the substrate processing region through through-holes in a showerhead which separates the remote plasma region from the substrate processing region.
  • Fluorinated silicon oxide layer 232 is removed during operation 140 of the etch step.
  • the etch step ideally is stopped once the etch step removes fluorinated silicon oxide layer 232 .
  • a slight over-etch is canonical as a result of nonuniformities and other real-world considerations.
  • the underlying ULK dielectric 210 is exposed to the plasma effluents for a finite period of time predominantly during the over-etch.
  • etch chemistries disclosed herein have been carefully selected to avoid compromising ULK dielectric 210 .
  • a compromised ULK dielectric 210 would raise the near surface dielectric constant and therefore would throttle back peak clock frequencies.
  • the reactive chemical species are removed from the substrate processing region and then the substrate is removed from the processing region.
  • the remote plasma region may have hydrogen-containing precursors present (flowed into the remote plasma region concurrently) or may be devoid of hydrogen during the etching step of the compound etch (operations 120 - 140 ) in disclosed embodiments.
  • a presence of hydrogen (e.g. in the form of ammonia) during the etching step may change the mechanism involved in the etch as discussed in the exemplary equipment section.
  • the temperature of the substrate may be between about ⁇ 20° C. and about 200° C. during both the treatment step and the etching step.
  • a hydrogen-containing precursor is provided along with the fluorine-containing precursor into the remote plasma region and the temperature is relatively low (e.g. below 90° C.).
  • solid residue may form on fluorinated silicon oxide layer 230 possibly according to hypothetical chemical mechanisms described in the exemplary equipment section.
  • Any solid residue etch by-products which remain on the surface may then be removed by sublimation.
  • the temperature of the patterned substrate throughout the sequence of operations 120 - 130 may be below one of 90° C., 80° C., 70° C., 60° C., 50° C., 40° C. or 35° C., in disclosed embodiments.
  • the substrate may then be heated after the etch step in order to sublimate any surface-resident solid by-products by raising the temperature of the patterned substrate above one of 90° C., 100° C., 120° C. or 140° C., in disclosed embodiments.
  • the duration of the sublimation may be above one of 45 seconds, 60 seconds, 75 seconds, 90 seconds or 120 seconds, in disclosed embodiments.
  • Sublimation may be carried out in the same substrate processing region used for the etching operation, in which case the patterned substrate is finally removed from the substrate processing region after following sublimation.
  • the silicon tetrafluoride used in the exemplary process of FIG. 1 may be any silicon-and-fluorine-containing precursor, such as Si 2 F 6 , SiH 2 F 2 and the like.
  • the silicon-and-fluorine-containing precursor may be a partially fluorinated silane, disilane, higher order silane or may be a fully fluorinated silane, disilane, higher order silane, in embodiments of the invention.
  • the silicon-and-fluorine-containing precursor may be accompanied by one or more of neon, helium, argon and xenon.
  • the local plasma may consist only of the silicon-and-fluorine-containing precursor and inert gases.
  • the method includes applying energy in the form of a plasma to the silicon-and-fluorine-containing precursor (e.g. silicon tetrafluoride) while in the substrate processing region to generate the plasma effluents used to treat the substrate (operation 110 ).
  • the plasma may be generated using known techniques (e.g., radio frequency excitations, capacitively-coupled power, inductively coupled power, and the like).
  • the energy is applied using a capacitively-coupled plasma unit.
  • the local plasma power may be between about 10 watts and about 500 watts, between about 20 watts and about 400 watts, between about 30 watts and about 300 watts, or between about 50 watts and about 200 watts in embodiments of the invention.
  • a DC accelerating voltage may and may not be applied, in embodiments, to assist the local plasma treatment of the patterned substrate in operation 110 .
  • the local plasma may be formed by applying a DC bias power such that the local plasma power comprises both an AC portion and a DC portion.
  • the DC bias power supplies a DC accelerating voltage which may be greater than 200 volts, greater than 300 volts, greater than 400 volts, greater than 500 volts, greater than 600 volts, or greater than 700 volts in embodiments of the invention.
  • the DC voltage may be less than 2000 volts, less than 1500 volts, less than 1300 volts or less than 1100 volts.
  • the pressure in the substrate processing region may be between about 0.5 mTorr and about 50 mTorr, between about 2 mTorr and about 200 mTorr or between about 5 mTorr and about 100 mTorr in disclosed embodiments.
  • the method also includes applying energy to the fluorine-containing precursor while in the remote plasma region to generate the plasma effluents (operation 120 ).
  • the plasma may include a number of charged and neutral species including radicals and ions.
  • the plasma may be generated using known techniques (e.g., radio frequency excitations, capacitively-coupled power, inductively coupled power, and the like).
  • the energy is applied using a capacitively-coupled plasma unit.
  • the remote plasma source power may be between about 300 watts and about 5000 watts, between about 500 watts and about 3000 watts, between about 750 watts and about 2000 watts, or between about 900 watts and about 1500 watts in embodiments of the invention.
  • the etch rate has been observed by the inventors to generally increase as RF power is raised from about 300 watts to about 1000 watts, after which the etch rate plateaus. Operating at about 1000 watts or above produces a process which is substantially insensitive to fluctuations in plasma power. Exceeding 1000 watts significantly (e.g. above 1500 watts) does not harm the process, but sacrifices energy efficiency.
  • the pressure in the remote plasma region may be such that the pressure in the substrate processing region ends up between about 0.01 Torr and about 50 Torr or between about 0.1 Torr and about 5 Torr in disclosed embodiments.
  • a fluorine-containing precursor is combined with a hydrogen-containing precursor in the remote plasma region when the plasma is formed.
  • the reaction results in the formation of solid residue by-product which is sublimated away following the etching step.
  • Lower plasma powers may be used for this reaction since the plasma effluents are more stable.
  • the RF power applied to form the remote plasma may be between about 1 watt and about 5000 watts, between about 1 watt and about 1000 watts, between about 5 watts and about 600 watts, between about 10 watts and about 300 watts or between about 20 watts and about 100 watts in disclosed embodiments.
  • an ion suppressor may be used to filter ions from the plasma effluents during passage from the remote plasma region to the substrate processing region. It should be noted that complete elimination of ionically charged species in the reaction region surrounding the substrate is not always the desired goal. In many instances, ionic species are required to reach the substrate in order to perform the etch and/or deposition process. In these instances, the ion suppressor helps control the concentration of ionic species in the reaction region. However, in disclosed embodiments, essentially no ionic species penetrate to the substrate surface. Using the plasma effluents, a high etch rate selectivity of silicon relative to silicon oxide, silicon nitride and many alternative materials may be achieved.
  • the RF frequency applied for either the local or remote plasmas described herein may be low RF frequencies less than about 200 kHz, high RF frequencies between about 10 MHz and about 15 MHz, or microwave frequencies greater than or about 1 GHz in disclosed embodiments.
  • Trench or gap widths may be less than or about 70 nm, less than or about 60 nm, less than or about 50 nm, less than or about 40 nm, less than or about 30 nm, less than or about 25 nm, less than or about 20 nm or less than or about 15 nm in embodiments of the invention.
  • the flow of either the silicon-and-fluorine-containing precursor and, separately, the fluorine-containing precursor may further include one or more relatively inert gases such as He, N 2 , Ar.
  • the inert gas can be used to improve plasma stability, process uniformity and the like.
  • Argon is helpful, as an additive, to promote the formation of a (stable) plasma. Process uniformity is generally increased when helium is included.
  • the fluorine-containing gas e.g. NF 3
  • the fluorine-containing gas is supplied at a flow rate of between about 5 sccm (standard cubic centimeters per minute) and 400 sccm, He at a flow rate of between about 0 slm (standard liters per minute) and 3 slm, and N 2 at a flow rate of between about 0 slm and 3 slm.
  • gases and/or flows may be used depending on a number of factors including processing chamber configuration, substrate size, geometry and layout of features being etched, and the like.
  • FIG. 3A shows a cross-sectional view of an exemplary substrate processing chamber 1001 with partitioned plasma generation regions within the processing chamber.
  • film etching e.g., titanium nitride, tantalum nitride, tungsten, silicon, polysilicon, silicon oxide, silicon nitride, silicon oxynitride, silicon oxycarbide, etc.
  • a process gas may be flowed into chamber plasma region 1015 through a gas inlet assembly 1005 .
  • a remote plasma system (RPS) 1002 may optionally be included in the system, and may process a first gas which then travels through gas inlet assembly 1005 .
  • RPS remote plasma system
  • the inlet assembly 1005 may include two or more distinct gas supply channels where the second channel (not shown) may bypass the RPS 1002 , if included. Accordingly, in disclosed embodiments the precursor gases may be delivered to the processing chamber in an unexcited state. In another example, the first channel provided through the RPS may be used for the process gas and the second channel bypassing the RPS may be used for a treatment gas in disclosed embodiments.
  • the process gas may be excited within the RPS 1002 prior to entering the chamber plasma region 1015 . Accordingly, the fluorine-containing precursor as discussed above, for example, may pass through RPS 1002 or bypass the RPS unit in disclosed embodiments. Various other examples encompassed by this arrangement will be similarly understood.
  • a cooling plate 1003 , faceplate 1017 , ion suppressor 1023 , showerhead 1025 , and a substrate support 1065 (also known as a pedestal), having a substrate 1055 disposed thereon, are shown and may each be included according to disclosed embodiments.
  • the pedestal 1065 may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration may allow the substrate 1055 temperature to be cooled or heated to maintain relatively low temperatures, such as between about ⁇ 20° C. to about 200° C., or therebetween.
  • the heat exchange fluid may comprise ethylene glycol and/or water.
  • the wafer support platter of the pedestal 1065 which may comprise aluminum, ceramic, or a combination thereof, may also be resistively heated in order to achieve relatively high temperatures, such as from up to or about 100° C. to above or about 1100° C., using an embedded resistive heater element.
  • the heating element may be formed within the pedestal as one or more loops, and an outer portion of the heater element may run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius.
  • the wiring to the heater element may pass through the stem of the pedestal 1065 , which may be further configured to rotate.
  • the faceplate 1017 may be pyramidal, conical, or of another similar structure with a narrow top portion expanding to a wide bottom portion.
  • the faceplate 1017 may additionally be flat as shown and include a plurality of through-channels used to distribute process gases. Plasma generating gases and/or plasma excited species, depending on use of the RPS 1002 , may pass through a plurality of holes, shown in FIG. 3B , in faceplate 1017 for a more uniform delivery into the chamber plasma region 1015 .
  • Exemplary configurations may include having the gas inlet assembly 1005 open into a gas supply region 1058 partitioned from the chamber plasma region 1015 by faceplate 1017 so that the gases/species flow through the holes in the faceplate 1017 into the chamber plasma region 1015 .
  • Structural and operational features may be selected to prevent significant backflow of plasma from the chamber plasma region 1015 back into the supply region 1058 , gas inlet assembly 1005 , and fluid supply system 1010 .
  • the structural features may include the selection of dimensions and cross-sectional geometries of the apertures in faceplate 1017 to deactivate back-streaming plasma.
  • the operational features may include maintaining a pressure difference between the gas supply region 1058 and chamber plasma region 1015 that maintains a unidirectional flow of plasma through the showerhead 1025 .
  • the faceplate 1017 , or a conductive top portion of the chamber, and showerhead 1025 are shown with an insulating ring 1020 located between the features, which allows an AC potential to be applied to the faceplate 1017 relative to showerhead 1025 and/or ion suppressor 1023 .
  • the insulating ring 1020 may be positioned between the faceplate 1017 and the showerhead 1025 and/or ion suppressor 1023 enabling a capacitively coupled plasma (CCP) to be formed in the first plasma region.
  • a baffle (not shown) may additionally be located in the chamber plasma region 1015 , or otherwise coupled with gas inlet assembly 1005 , to affect the flow of fluid into the region through gas inlet assembly 1005 .
  • the ion suppressor 1023 may comprise a plate or other geometry that defines a plurality of apertures throughout the structure that are configured to suppress the migration of ionically-charged species out of chamber plasma region 1015 while allowing uncharged neutral or radical species to pass through the ion suppressor 1023 into an activated gas delivery region between the suppressor and the showerhead.
  • the ion suppressor 1023 may comprise a perforated plate with a variety of aperture configurations. These uncharged species may include highly reactive species that are transported with less reactive carrier gas through the apertures. As noted above, the migration of ionic species through the holes may be reduced, and in some instances completely suppressed.
  • Controlling the amount of ionic species passing through the ion suppressor 1023 may provide increased control over the gas mixture brought into contact with the underlying wafer substrate, which in turn may increase control of the deposition and/or etch characteristics of the gas mixture.
  • adjustments in the ion concentration of the gas mixture can significantly alter its etch selectivity, e.g., SiOFx:SiOCH etch ratios, etc.
  • the plurality of holes in the ion suppressor 1023 may be configured to control the passage of the activated gas, i.e., the ionic, radical, and/or neutral species, through the ion suppressor 1023 .
  • the aspect ratio of the holes, or the hole diameter to length, and/or the geometry of the holes may be controlled so that the flow of ionically-charged species in the activated gas passing through the ion suppressor 1023 is reduced.
  • the holes in the ion suppressor 1023 may include a tapered portion that faces chamber plasma region 1015 , and a cylindrical portion that faces the showerhead 1025 .
  • the cylindrical portion may be shaped and dimensioned to control the flow of ionic species passing to the showerhead 1025 .
  • An adjustable electrical bias may also be applied to the ion suppressor 1023 as an additional means to control the flow of ionic species through the suppressor.
  • the ion suppression element 1023 may function to reduce or eliminate the amount of ionically charged species traveling from the plasma generation region to the substrate. Uncharged neutral and radical species may still pass through the openings in the ion suppressor to react with the substrate. It should be noted that the complete elimination of ionically charged species in the reaction region surrounding the substrate is not always the desired goal. In many instances, ionic species are required to reach the substrate in order to perform the etch and/or deposition process. In these instances, the ion suppressor may help to control the concentration of ionic species in the reaction region at a level that assists the process.
  • showerhead 1025 in combination with ion suppressor 1023 may allow a plasma present in chamber plasma region 1015 to avoid directly exciting gases in substrate processing region 1033 , while still allowing excited species to travel from chamber plasma region 1015 into substrate processing region 1033 .
  • the chamber may be configured to prevent the plasma from contacting a substrate 1055 being etched. This may advantageously protect a variety of intricate structures and films patterned on the substrate, which may be damaged, dislocated, or otherwise warped if directly contacted by a generated plasma. Additionally, when plasma is allowed to contact the substrate or approach the substrate level, the rate at which silicon oxide or silicon nitride etch may increase.
  • the processing system may further include a power supply 1040 electrically coupled with the processing chamber to provide electric power to the faceplate 1017 , ion suppressor 1023 , showerhead 1025 , and/or pedestal 1065 to generate a plasma in the chamber plasma region 1015 or processing region 1033 .
  • the power supply may be configured to deliver an adjustable amount of power to the chamber depending on the process performed. Such a configuration may allow for a tunable plasma to be used in the processes being performed. Unlike a remote plasma unit, which is often presented with on or off functionality, a tunable plasma may be configured to deliver a specific amount of power to chamber plasma region 1015 . This in turn may allow development of particular plasma characteristics such that precursors may be dissociated in specific ways to enhance the etching profiles produced by these precursors.
  • a plasma may be ignited either in chamber plasma region 1015 above showerhead 1025 or substrate processing region 1033 below showerhead 1025 .
  • a plasma may be present in chamber plasma region 1015 to produce the radical-fluorine precursors from an inflow of the fluorine-containing precursor.
  • An AC voltage typically in the radio frequency (RF) range may be applied between the conductive top portion of the processing chamber, such as faceplate 1017 , and showerhead 1025 and/or ion suppressor 1023 to ignite a plasma in chamber plasma region 1015 during deposition.
  • An RF power supply may generate a high RF frequency of 13.56 MHz but may also generate other frequencies alone or in combination with the 13.56 MHz frequency.
  • Plasma power can be of a variety of frequencies or a combination of multiple frequencies.
  • the plasma may be provided by RF power delivered to faceplate 1017 relative to ion suppressor 1023 and/or showerhead 1025 .
  • the RF power may be between about 10 watts and about 5000 watts, between about 100 watts and about 2000 watts, between about 200 watts and about 1500 watts, or between about 200 watts and about 1000 watts in disclosed embodiments.
  • the RF frequency applied in the exemplary processing system may be low RF frequencies less than about 200 kHz, high RF frequencies between about 10 MHz and about 15 MHz, or microwave frequencies greater than or about 1 GHz in disclosed embodiments.
  • the plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the remote plasma region.
  • CCP capacitively-coupled
  • ICP inductively-coupled
  • Chamber plasma region 1015 may be left at low or no power when a bottom plasma in the substrate processing region 1033 is turned on to, for example, cure a film or clean the interior surfaces bordering substrate processing region 1033 .
  • a plasma in substrate processing region 1033 may be ignited by applying an AC voltage between showerhead 1025 and the pedestal 1065 or bottom of the chamber.
  • a treatment gas (such as argon) may be introduced into substrate processing region 1033 while the plasma is present to facilitate treatment of the patterned substrate.
  • the showerhead 1025 may also be biased at a positive DC voltage relative to the pedestal 1065 or bottom of the chamber in order to accelerate positively charged ions toward patterned substrate 1055 .
  • the local plasma in substrate processing region 1033 may be struck by applying AC power via an inductively-coupled source while applying DC power by capacitively coupled means.
  • the local plasma power may be between about 10 watts and about 500 watts, between about 20 watts and about 400 watts, between about 30 watts and about 300 watts, or between about 50 watts and about 200 watts in embodiments of the invention.
  • a fluid such as a precursor, for example a fluorine-containing precursor
  • a precursor for example a fluorine-containing precursor
  • Excited species derived from the process gas in chamber plasma region 1015 may travel through apertures in the ion suppressor 1023 , and/or showerhead 1025 and react with an additional precursor flowing into the processing region 1033 from a separate portion of the showerhead.
  • no additional precursors may be flowed through the separate portion of the showerhead. Little or no plasma may be present in the processing region 1033 during the compound two-step etch.
  • Excited derivatives of the precursors may combine in the region above the substrate and, on occasion, on the substrate to etch structures or remove species on the substrate in disclosed applications.
  • Exciting the fluids in the chamber plasma region 1015 directly, or exciting the fluids in the RPS units 1002 may provide several benefits.
  • the concentration of the excited species derived from the fluids may be increased within the processing region 1033 due to the plasma in the chamber plasma region 1015 . This increase may result from the location of the plasma in the chamber plasma region 1015 .
  • the processing region 1033 may be located closer to the chamber plasma region 1015 than the remote plasma system (RPS) 1002 , leaving less time for the excited species to leave excited states through collisions with other gas molecules, walls of the chamber, and surfaces of the showerhead.
  • RPS remote plasma system
  • the uniformity of the concentration of the excited species derived from the process gas may also be increased within the processing region 1033 . This may result from the shape of the chamber plasma region 1015 , which may be more similar to the shape of the processing region 1033 . Excited species created in the RPS 1002 may travel greater distances in order to pass through apertures near the edges of the showerhead 1025 relative to species that pass through apertures near the center of the showerhead 1025 . The greater distance may result in a reduced excitation of the excited species and, for example, may result in a slower growth rate near the edge of a substrate. Exciting the fluids in the chamber plasma region 1015 may mitigate this variation for the fluid flowed through RPS 1002 , or alternatively bypassed around the RPS unit.
  • the processing gases may be excited in chamber plasma region 1015 and may be passed through the showerhead 1025 to the processing region 1033 in the excited state. While a plasma may be generated in the processing region 1033 , a plasma may alternatively not be generated in the processing region.
  • the only excitation of the processing gas or precursors may be from exciting the processing gases in chamber plasma region 1015 to react with one another in the processing region 1033 . As previously discussed, this may be to protect the structures patterned on the substrate 1055 .
  • a treatment gas may be introduced to remove unwanted species from the chamber walls, the substrate, the deposited film and/or the film during deposition.
  • a treatment gas may be excited in a plasma and then used to reduce or remove residual content inside the chamber. In other disclosed embodiments the treatment gas may be used without a plasma.
  • the delivery may be achieved using a mass flow meter (MFM), an injection valve, or by commercially available water vapor generators.
  • MFM mass flow meter
  • the treatment gas may be introduced to the processing region 1033 , either through the RPS unit or bypassing the RPS unit, and may further be excited in the first plasma region.
  • FIG. 3B shows a detailed view of the features affecting the processing gas distribution through faceplate 1017 .
  • faceplate 1017 , cooling plate 1003 , and gas inlet assembly 1005 intersect to define a gas supply region 1058 into which process gases may be delivered from gas inlet 1005 .
  • the gases may fill the gas supply region 1058 and flow to chamber plasma region 1015 through apertures 1059 in faceplate 1017 .
  • the apertures 1059 may be configured to direct flow in a substantially unidirectional manner such that process gases may flow into processing region 1033 , but may be partially or fully prevented from backflow into the gas supply region 1058 after traversing the faceplate 1017 .
  • the gas distribution assemblies such as showerhead 1025 for use in the processing chamber section 1001 may be referred to as dual channel showerheads (DCSH) and are additionally detailed in the embodiments described in FIG. 3A as well as FIG. 3C herein.
  • the dual channel showerhead may provide for etching processes that allow for separation of etchants outside of the processing region 1033 to provide limited interaction with chamber components and each other prior to being delivered into the processing region.
  • the showerhead 1025 may comprise an upper plate 1014 and a lower plate 1016 .
  • the plates may be coupled with one another to define a volume 1018 between the plates.
  • the coupling of the plates may be so as to provide first fluid channels 1019 through the upper and lower plates, and second fluid channels 1021 through the lower plate 1016 .
  • the formed channels may be configured to provide fluid access from the volume 1018 through the lower plate 1016 via second fluid channels 1021 alone, and the first fluid channels 1019 may be fluidly isolated from the volume 1018 between the plates and the second fluid channels 1021 .
  • the volume 1018 may be fluidly accessible through a side of the gas distribution assembly 1025 .
  • 3A-3C includes a dual-channel showerhead, it is understood that alternative distribution assemblies may be utilized that maintain first and second precursors fluidly isolated prior to the processing region 1033 .
  • a perforated plate and tubes underneath the plate may be utilized, although other configurations may operate with reduced efficiency or not provide as uniform processing as the dual-channel showerhead as described.
  • showerhead 1025 may distribute via first fluid channels 1019 process gases which contain plasma effluents upon excitation by a plasma in chamber plasma region 1015 .
  • the process gas introduced into the RPS 1002 and/or chamber plasma region 1015 may contain fluorine, e.g., CF 4 , NF 3 or XeF 2 .
  • the process gas may also include a carrier gas such as helium, argon, nitrogen (N 2 ), etc.
  • Plasma effluents may include ionized or neutral derivatives of the process gas and may also be referred to herein as a radical-fluorine precursor referring to the atomic constituent of the process gas introduced.
  • FIG. 3C is a bottom view of a showerhead 1025 for use with a processing chamber according to disclosed embodiments.
  • showerhead 1025 corresponds with the showerhead shown in FIG. 3A .
  • Through-holes 1031 which show a view of first fluid channels 1019 , may have a plurality of shapes and configurations in order to control and affect the flow of precursors through the showerhead 1025 .
  • Small holes 1027 which show a view of second fluid channels 1021 , may be distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 1031 , which may help to provide more even mixing of the precursors as they exit the showerhead than other configurations.
  • the chamber plasma region 1015 or a region in an RPS may be referred to as a remote plasma region.
  • the radical precursor e.g., a radical-fluorine precursor
  • Plasma power may essentially be applied only to the remote plasma region in disclosed embodiments.
  • Plasma effluents include a variety of molecules, molecular fragments and ionized species.
  • both ammonia and nitrogen trifluoride are delivered to chamber plasma region 1015 to perform a SiconiTM etch during the second step (operations 120 - 140 ).
  • SiconiTM etching may or may not be entirely correct but plasma effluents are thought to include NH 4 F and NH 4 F.HF which react readily with low temperature substrates described herein.
  • Plasma effluents may react with a silicon oxide surface to form (NH 4 ) 2 SiF 6 , NH 3 and H 2 O products.
  • the NH 3 and H 2 O are vapors under the processing conditions described herein and may be removed from substrate processing region 1033 by a vacuum pump. A thin continuous or discontinuous layer of (NH 4 ) 2 SiF 6 solid by-products is left behind on the substrate surface.
  • the substrate may be heated to remove the by-products.
  • the lower plate 1016 is heatable by incorporating a heating element within lower plate 1016 .
  • the substrate may be heated by reducing the distance between the substrate and the heated lower plate.
  • Lower plate 1020 may be heated to between about 100° C. and 150° C., between about 110° C. and 140° C. or between about 120° C. and 130° C. in disclosed embodiments.
  • the substrate may be heated to above about 75° C., above about 90° C., above about 100° C. or between about 115° C. and about 150° C. in disclosed embodiments.
  • the heat radiated from lower plate 1016 to the substrate should be made sufficient to dissociate or sublimate solid (NH 4 ) 2 SiF 6 on the substrate into volatile SiF 4 , NH 3 and HF products which may be pumped away from substrate processing region 1033 .
  • Other methods of imparting heat to the substrate may also be used.
  • Nitrogen trifluoride (or another fluorine-containing precursor) may be flowed into chamber plasma region 1015 at rates between about 5 sccm and about 500 sccm, between about 10 sccm and about 150 sccm, or between about 25 sccm and about 125 sccm in disclosed embodiments.
  • ammonia (or hydrogen-containing precursors in general) may be flowed into chamber plasma region 1015 at rates between about 5 sccm and about 800 sccm, between about 20 sccm and about 300 sccm, or between about 50 sccm and about 250 sccm in disclosed embodiments.
  • Combined flow rates of precursors into the chamber may account for 0 . 05 % to about 20% by volume of the overall gas mixture; the remainder being carrier gases.
  • the fluorine-containing precursor may be flowed into the remote plasma region, but the plasma effluents may have the same volumetric flow ratio in embodiments.
  • a purge or carrier gas may be first initiated into the remote plasma region before the fluorine-containing gas to stabilize the pressure within the remote plasma region.
  • Substrate processing region 1033 can be maintained at a variety of pressures during the flow of precursors, any carrier gases, and plasma effluents into substrate processing region 1033 .
  • the pressure may be maintained between about 0.1 mTorr and about 100 Torr, between about 1 Torr and about 20 Torr or between about 1 Torr and about 5 Torr in disclosed embodiments.
  • FIG. 4 shows one such processing system 1101 of deposition, etching, baking, and curing chambers according to disclosed embodiments.
  • a pair of front opening unified pods (load lock chambers 1102 ) supply substrates of a variety of sizes that are received by robotic arms 1104 and placed into a low pressure holding area 1106 before being placed into one of the substrate processing chambers 1108 a - f.
  • a second robotic arm 1110 may be used to transport the substrate wafers from the holding area 1106 to the substrate processing chambers 1108 a - f and back.
  • Each substrate processing chamber 1108 a - f can be outfitted to perform a number of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation, and other substrate processes.
  • CLD cyclical layer deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • etch pre-clean, degas, orientation, and other substrate processes.
  • the substrate processing chambers 1108 a - f may include one or more system components for depositing, annealing, curing and/or etching a dielectric film on the substrate wafer.
  • two pairs of the processing chamber e.g., 1108 c - d and 1108 e - f
  • the third pair of processing chambers e.g., 1108 a - b
  • all three pairs of chambers e.g., 1108 a - f, may be configured to etch a dielectric film on the substrate. Any one or more of the processes described may be carried out in chamber(s) separated from the fabrication system shown in disclosed embodiments.
  • substrate may be a support substrate with or without layers formed thereon.
  • the patterned substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits.
  • silicon of the patterned substrate is predominantly Si but may include minority concentrations of other elemental constituents such as nitrogen, oxygen, hydrogen, carbon and the like.
  • silicon may consist only of silicon.
  • titanium nitride of the patterned substrate is predominantly Ti x N y but may include minority concentrations of other elemental constituents such as nitrogen, oxygen, hydrogen, carbon and the like.
  • “Exposed titanium nitride” may consist only of titanium and nitrogen.
  • silicon oxide films etched using the methods disclosed herein consist of silicon and oxygen.
  • plasma effluents describe gas exiting from the chamber plasma region and entering the substrate processing region. Plasma effluents are in an “excited state” wherein at least some of the gas molecules are in vibrationally-excited, dissociated and/or ionized states.
  • a “radical precursor” is used to describe plasma effluents (a gas in an excited state which is exiting a plasma) which participate in a reaction to either remove material from or deposit material on a surface.
  • Radar-fluorine are radical precursors which contain fluorine but may contain other elemental constituents.
  • inert gas refers to any gas which does not form chemical bonds when etching or being incorporated into a film.
  • exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film.
  • trench and trench are used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches may appear circular, oval, polygonal, rectangular, or a variety of other shapes. A trench may be in the shape of a moat around an island of material.
  • via is used to refer to a low aspect ratio trench (as viewed from above) which may or may not be filled with metal to form a vertical electrical connection.
  • a conformal etch process refers to a generally uniform removal of material on a surface in the same shape as the surface, i.e., the surface of the etched layer and the pre-etch surface are generally parallel. A person having ordinary skill in the art will recognize that the etched interface likely cannot be 100 % conformal and thus the term “generally” allows for acceptable tolerances.

Abstract

A method of selectively removing fluorocarbon layers from overlying low-k dielectric material is described. These protective plasma treatments (PPT) are delicate alternatives to traditional post-etch treatments (PET). The method includes sequential exposure to (1) a local plasma formed from a silicon-fluorine precursor followed by (2) an exposure to plasma effluents formed in a remote plasma from a fluorine-containing precursor. The remote plasma etch (2) has been found to be highly selective of the residual material following the local plasma silicon-fluorine exposure. The sequential process (1)-(2) avoids exposing the low-k dielectric material to oxygen which would undesirably increase its dielectric constant.

Description

    CROSS-REFERENCES TO RELATED APPLICATIONS
  • This application claims the benefit of U.S. Prov. Pat. App. No. 61/823,995 filed May 16, 2013, and titled “DELICATE DRY CLEAN” by Zhu et al., which is hereby incorporated herein in its entirety by reference for all purposes.
  • STATEMENT AS TO RIGHTS TO INVENTIONS MADE UNDER FEDERALLY SPONSORED RESEARCH AND DEVELOPMENT
  • NOT APPLICABLE
  • REFERENCE TO A “SEQUENCE LISTING,” A TABLE, OR A COMPUTER PROGRAM LISTING APPENDIX SUBMITTED ON A COMPACT DISK
  • NOT APPLICABLE
  • BACKGROUND OF THE INVENTION
  • Integrated circuit fabrication methods have reached a point where many hundreds of millions of transistors are routinely formed on a single chip. Each new generation of fabrication techniques and equipment are allowing commercial scale fabrication of ever smaller and faster transistors, but also increase the difficulty to make even smaller, faster circuit elements. The shrinking dimensions of circuit elements, now well below the 50 nm threshold, has caused chip designers to look for new low-resistivity conductive materials and new low-dielectric constant (i.e., low-k) insulating materials to improve (or simply maintain) the electrical performance of the integrated circuit.
  • Parasitic capacitance becomes a significant impediment to transistor switching rate as the number of transistors per area is increased. Capacitance exists between all adjacent electrically isolated conductors within an integrated circuit and may limit the switching rate regardless of whether the conducting portions are at the “front end” or the “back end” of the manufacturing process flow.
  • Thus, there is a need for new techniques and materials to form low-k material between adjacent conductors. One class of materials used to provide low-k separation between conductors is oxidized organo-silane films, such as the Black Diamond films commercially available from Applied Materials, Inc. of Santa Clara, Calif. These films have lower dielectric constants (e.g., about 3.5 or less) than conventional spacer materials like silicon oxides and nitrides. Unfortunately, some new processes involve exposing low-k films to environments which can increase the effective dielectric constant and limit device performance.
  • Thus there is a need for new processes which maintain a lower effective dielectric constant following exposure of a low-k film to these environments.
  • BRIEF SUMMARY OF THE INVENTION
  • A method of selectively removing fluorocarbon layers from overlying low-k dielectric material is described. These protective plasma treatments (PPT) are delicate alternatives to traditional post-etch treatments (PET). The method includes sequential exposure to (1) a local plasma formed from a silicon-fluorine precursor followed by (2) an exposure to plasma effluents formed in a remote plasma from a fluorine-containing precursor. The remote plasma etch (2) has been found to be highly selective of the residual material following the local plasma silicon-fluorine exposure. The sequential process (1)-(2) avoids exposing the low-k dielectric material to oxygen which would undesirably increase its dielectric constant.
  • Embodiments of the invention include methods of removing a fluorocarbon layer from a low-k dielectric layer on a patterned substrate, the method comprising two sequential steps: (i) treating the patterned substrate with a local plasma formed from a silicon-and-fluorine-containing precursor, wherein the operation of treating the patterned substrate removes the fluorocarbon layer from patterned substrate and forms a fluorinated silicon oxide layer on the low-k dielectric layer and the local plasma is formed by applying a local plasma power, and (ii) flowing a fluorine-containing precursor into a remote plasma region fluidly coupled to a substrate processing region while forming a remote plasma in the remote plasma region to produce plasma effluents. The methods include forming the remote plasma in the remote plasma region to produce the plasma effluents comprises striking an RF plasma having an RF plasma power to the plasma region and etching the fluorinated silicon oxide layer by flowing the plasma effluents into the substrate processing region.
  • Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the disclosed embodiments. The features and advantages of the disclosed embodiments may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • A further understanding of the nature and advantages of the disclosed embodiments may be realized by reference to the remaining portions of the specification and the drawings.
  • FIG. 1 is a flow chart of a protective plasma treatment according to disclosed embodiments.
  • FIG. 2A-2C show cross-sectional views of a device at various stages during a protective plasma treatment according to disclosed embodiments.
  • FIG. 3A shows a schematic cross-sectional view of a substrate processing chamber according to disclosed embodiments.
  • FIG. 3B shows a schematic cross-sectional view of a portion of a substrate processing chamber according to disclosed embodiments.
  • FIG. 3C shows a bottom plan view of a showerhead according to disclosed embodiments.
  • FIG. 4 shows a top plan view of an exemplary substrate processing system according to disclosed embodiments.
  • In the appended figures, similar components and/or features may have the same reference label. Further, various components of the same type may be distinguished by following the reference label by a dash and a second label that distinguishes among the similar components. If only the first reference label is used in the specification, the description is applicable to any one of the similar components having the same first reference label irrespective of the second reference label.
  • DETAILED DESCRIPTION OF THE INVENTION
  • A method of selectively removing fluorocarbon layers from overlying low-k dielectric material is described. These protective plasma treatments (PPT) are delicate alternatives to traditional post-etch treatments (PET). The method includes sequential exposure to (1) a local plasma formed from a silicon-fluorine precursor followed by (2) an exposure to plasma effluents formed in a remote plasma from a fluorine-containing precursor. The remote plasma etch (2) has been found to be highly selective of the residual material following the local plasma silicon-fluorine exposure. The sequential process (1)-(2) avoids exposing the low-k dielectric material to oxygen which would undesirably increase its dielectric constant.
  • The inventors have found new ways to selectively remove dielectric etch remnants without harming underlying low-k and ultra low-k (ULK) dielectric material. A two-step sequence includes (i) a local plasma treatment step which transforms/replaces etch-remnant fluorocarbon material into/with fluorinated silicon oxide. A delicate remote fluorine-based etch has been determined to be highly selective of the transformed/replaced fluorinated silicon oxide layer. Thus, a subsequent (ii) etch step involves remote excitation of a fluorine-containing precursor and transferal of the plasma effluents into a substrate processing region housing the substrate. The plasma effluents react with the exposed fluorinated silicon oxide and preferentially remove the transformed/replaced layer from the patterned substrate without increasing the dielectric constant of the underlying low-k material in embodiments. Traditional post-etch treatments (PET) which have been used previously to remove fluorocarbons have relied on “ashing” (i.e. exposure to oxygen) which raises the surface dielectric constant of the underlying low-k dielectric.
  • In order to better understand and appreciate the invention, reference is now made to FIG. 1 which is a flow chart of an exemplary protective plasma treatment according to disclosed embodiments. Reference will concurrently be made to FIGS. 2A-2C which shows cross-sectional views of an exemplary device at various stages during protective plasma treatments. Prior to the first operation, a structure is formed in a patterned substrate. The structure includes a titanium nitride mask (TiN mask) 220 over an ultra-low-k (ULK) dielectric 210. The ULK dielectric 210 is etched in regions which are left uncovered by TiN mask 220. The etch process leaves fluorocarbon 230 residue behind prior to the start of the removal processes described herein. The structure formed possesses an exposed roughly conformal layer of leftover fluorocarbon 230 on TiN mask 220 and ULK dielectric 210. The etch process described removes fluorocarbon 230 without damaging (raising the dielectric constant of) ULK dielectric 210.
  • The patterned substrate is then delivered into a substrate processing region. Silicon tetrafluoride is then flowed into the substrate processing region housing the patterned substrate (operation 110). The silicon tetrafluoride is excited in a local capacitively-coupled plasma within the substrate processing region and the patterned substrate is treated with the local plasma. A DC voltage may be applied in addition to a radio-frequency AC primary excitation to form the local plasma. The substrate processing region may generally include a silicon-and-fluorine-containing precursor as well as other inert species, such as argon and helium, which may prove beneficial to stability, uniformity and strikability of the plasma. The substrate processing region may be devoid of reactive species containing nitrogen or oxygen, in disclosed embodiments, in order to suppress a detrimental potential rise in dielectric constant of ULK dielectric 210 during the treatment step (operation 110). The inventors have determined that oxygen from the ULK is sufficient to supply the oxygen to the fluorinated silicon oxide in disclosed embodiments. The treatment step using silicon tetrafluoride is the first step in a two step sequence (the second step includes operations 120-140 described below). The treatment operation 110 alters or replaces fluorocarbon layer 230 while forming a fluorinated silicon oxide layer 232 in place of fluorocarbon layer 230. The inventors have found that fluorinated silicon oxide layer 232 is much easier to delicately remove than fluorocarbon layer 230.
  • A flow of nitrogen trifluoride is then introduced into a plasma region separate from the substrate processing region (operation 120) where the nitrogen trifluoride is excited in a remote plasma struck within the separate plasma region. The separate plasma region may be referred to as a remote plasma region herein and may be within a distinct module from the processing chamber or a compartment within the processing chamber separated from the substrate processing region by a permeable barrier. In general, a fluorine-containing precursor may be flowed into the remote plasma region and the fluorine-containing precursor comprises at least one precursor selected from the group consisting of atomic fluorine, diatomic fluorine, bromine trifluoride, chlorine trifluoride, nitrogen trifluoride, hydrogen fluoride, fluorinated hydrocarbons, sulfur hexafluoride, carbon tetrafluoride and xenon difluoride. In embodiments which do not form solid residue, broad substrate temperature ranges are possible. The temperature of the substrate during operations 120-130 may be between 0° C. and 200° C. or between 10° C. and 150° C. in disclosed embodiments.
  • The plasma effluents formed in the remote plasma region are then flowed into the substrate processing region (operation 130) and the patterned substrate is selectively etched (operation 140). The plasma effluents may enter the substrate processing region through through-holes in a showerhead which separates the remote plasma region from the substrate processing region. Fluorinated silicon oxide layer 232 is removed during operation 140 of the etch step. The etch step ideally is stopped once the etch step removes fluorinated silicon oxide layer 232. However, a slight over-etch is canonical as a result of nonuniformities and other real-world considerations. The underlying ULK dielectric 210 is exposed to the plasma effluents for a finite period of time predominantly during the over-etch. The etch chemistries disclosed herein have been carefully selected to avoid compromising ULK dielectric 210. A compromised ULK dielectric 210 would raise the near surface dielectric constant and therefore would throttle back peak clock frequencies. The reactive chemical species are removed from the substrate processing region and then the substrate is removed from the processing region.
  • The remote plasma region may have hydrogen-containing precursors present (flowed into the remote plasma region concurrently) or may be devoid of hydrogen during the etching step of the compound etch (operations 120-140) in disclosed embodiments. A presence of hydrogen (e.g. in the form of ammonia) during the etching step may change the mechanism involved in the etch as discussed in the exemplary equipment section. Regardless of whether hydrogen is present, the temperature of the substrate may be between about −20° C. and about 200° C. during both the treatment step and the etching step. In embodiments of the invention, a hydrogen-containing precursor is provided along with the fluorine-containing precursor into the remote plasma region and the temperature is relatively low (e.g. below 90° C.). Under such circumstances, solid residue may form on fluorinated silicon oxide layer 230 possibly according to hypothetical chemical mechanisms described in the exemplary equipment section. Any solid residue etch by-products which remain on the surface may then be removed by sublimation. The temperature of the patterned substrate throughout the sequence of operations 120-130 may be below one of 90° C., 80° C., 70° C., 60° C., 50° C., 40° C. or 35° C., in disclosed embodiments. The substrate may then be heated after the etch step in order to sublimate any surface-resident solid by-products by raising the temperature of the patterned substrate above one of 90° C., 100° C., 120° C. or 140° C., in disclosed embodiments. The duration of the sublimation may be above one of 45 seconds, 60 seconds, 75 seconds, 90 seconds or 120 seconds, in disclosed embodiments. Sublimation may be carried out in the same substrate processing region used for the etching operation, in which case the patterned substrate is finally removed from the substrate processing region after following sublimation.
  • Generally speaking, the silicon tetrafluoride used in the exemplary process of FIG. 1 may be any silicon-and-fluorine-containing precursor, such as Si2F6, SiH2F2 and the like. The silicon-and-fluorine-containing precursor may be a partially fluorinated silane, disilane, higher order silane or may be a fully fluorinated silane, disilane, higher order silane, in embodiments of the invention. The silicon-and-fluorine-containing precursor may be accompanied by one or more of neon, helium, argon and xenon. The local plasma may consist only of the silicon-and-fluorine-containing precursor and inert gases.
  • The method includes applying energy in the form of a plasma to the silicon-and-fluorine-containing precursor (e.g. silicon tetrafluoride) while in the substrate processing region to generate the plasma effluents used to treat the substrate (operation 110). The plasma may be generated using known techniques (e.g., radio frequency excitations, capacitively-coupled power, inductively coupled power, and the like). In an embodiment, the energy is applied using a capacitively-coupled plasma unit. The local plasma power may be between about 10 watts and about 500 watts, between about 20 watts and about 400 watts, between about 30 watts and about 300 watts, or between about 50 watts and about 200 watts in embodiments of the invention.
  • A DC accelerating voltage may and may not be applied, in embodiments, to assist the local plasma treatment of the patterned substrate in operation 110. When used, the local plasma may be formed by applying a DC bias power such that the local plasma power comprises both an AC portion and a DC portion. The DC bias power supplies a DC accelerating voltage which may be greater than 200 volts, greater than 300 volts, greater than 400 volts, greater than 500 volts, greater than 600 volts, or greater than 700 volts in embodiments of the invention. In order to more fully preserve the integrity of ULK dielectric 210, the DC voltage may be less than 2000 volts, less than 1500 volts, less than 1300 volts or less than 1100 volts. The pressure in the substrate processing region may be between about 0.5 mTorr and about 50 mTorr, between about 2 mTorr and about 200 mTorr or between about 5 mTorr and about 100 mTorr in disclosed embodiments.
  • The method also includes applying energy to the fluorine-containing precursor while in the remote plasma region to generate the plasma effluents (operation 120). As would be appreciated by one of ordinary skill in the art, the plasma may include a number of charged and neutral species including radicals and ions. The plasma may be generated using known techniques (e.g., radio frequency excitations, capacitively-coupled power, inductively coupled power, and the like). In an embodiment, the energy is applied using a capacitively-coupled plasma unit. The remote plasma source power may be between about 300 watts and about 5000 watts, between about 500 watts and about 3000 watts, between about 750 watts and about 2000 watts, or between about 900 watts and about 1500 watts in embodiments of the invention. The etch rate has been observed by the inventors to generally increase as RF power is raised from about 300 watts to about 1000 watts, after which the etch rate plateaus. Operating at about 1000 watts or above produces a process which is substantially insensitive to fluctuations in plasma power. Exceeding 1000 watts significantly (e.g. above 1500 watts) does not harm the process, but sacrifices energy efficiency. As with all complementary ranges provided herein, upper limits may be combined with any suitable lower limits to obtain additional disclosed embodiments. The pressure in the remote plasma region may be such that the pressure in the substrate processing region ends up between about 0.01 Torr and about 50 Torr or between about 0.1 Torr and about 5 Torr in disclosed embodiments.
  • In some circumstances, a fluorine-containing precursor is combined with a hydrogen-containing precursor in the remote plasma region when the plasma is formed. For relatively low substrate temperatures, the reaction results in the formation of solid residue by-product which is sublimated away following the etching step. Lower plasma powers may be used for this reaction since the plasma effluents are more stable. The RF power applied to form the remote plasma may be between about 1 watt and about 5000 watts, between about 1 watt and about 1000 watts, between about 5 watts and about 600 watts, between about 10 watts and about 300 watts or between about 20 watts and about 100 watts in disclosed embodiments.
  • Especially when a fluorine-containing precursor is used without a hydrogen-containing precursor, an ion suppressor may be used to filter ions from the plasma effluents during passage from the remote plasma region to the substrate processing region. It should be noted that complete elimination of ionically charged species in the reaction region surrounding the substrate is not always the desired goal. In many instances, ionic species are required to reach the substrate in order to perform the etch and/or deposition process. In these instances, the ion suppressor helps control the concentration of ionic species in the reaction region. However, in disclosed embodiments, essentially no ionic species penetrate to the substrate surface. Using the plasma effluents, a high etch rate selectivity of silicon relative to silicon oxide, silicon nitride and many alternative materials may be achieved.
  • The RF frequency applied for either the local or remote plasmas described herein may be low RF frequencies less than about 200 kHz, high RF frequencies between about 10 MHz and about 15 MHz, or microwave frequencies greater than or about 1 GHz in disclosed embodiments.
  • The two-step etches presented herein are used to clean a patterned substrate after a pattern transfer step. The disclosed two-step etch techniques have been developed for use with narrow trenches and gaps, which are uniquely benefited by maintaining low-k on ULK dielectric separators used in miniaturized integrated circuits. Trench or gap widths may be less than or about 70 nm, less than or about 60 nm, less than or about 50 nm, less than or about 40 nm, less than or about 30 nm, less than or about 25 nm, less than or about 20 nm or less than or about 15 nm in embodiments of the invention.
  • The flow of either the silicon-and-fluorine-containing precursor and, separately, the fluorine-containing precursor may further include one or more relatively inert gases such as He, N2, Ar. The inert gas can be used to improve plasma stability, process uniformity and the like. Argon is helpful, as an additive, to promote the formation of a (stable) plasma. Process uniformity is generally increased when helium is included. These additives are present in embodiments throughout this specification.
  • In disclosed embodiments, the fluorine-containing gas (e.g. NF3) is supplied at a flow rate of between about 5 sccm (standard cubic centimeters per minute) and 400 sccm, He at a flow rate of between about 0 slm (standard liters per minute) and 3 slm, and N2 at a flow rate of between about 0 slm and 3 slm. One of ordinary skill in the art would recognize that other gases and/or flows may be used depending on a number of factors including processing chamber configuration, substrate size, geometry and layout of features being etched, and the like.
  • Additional process parameters are disclosed in the course of describing an exemplary processing chamber and system.
  • Exemplary Processing System
  • FIG. 3A shows a cross-sectional view of an exemplary substrate processing chamber 1001 with partitioned plasma generation regions within the processing chamber. During film etching, e.g., titanium nitride, tantalum nitride, tungsten, silicon, polysilicon, silicon oxide, silicon nitride, silicon oxynitride, silicon oxycarbide, etc., a process gas may be flowed into chamber plasma region 1015 through a gas inlet assembly 1005. A remote plasma system (RPS) 1002 may optionally be included in the system, and may process a first gas which then travels through gas inlet assembly 1005. The inlet assembly 1005 may include two or more distinct gas supply channels where the second channel (not shown) may bypass the RPS 1002, if included. Accordingly, in disclosed embodiments the precursor gases may be delivered to the processing chamber in an unexcited state. In another example, the first channel provided through the RPS may be used for the process gas and the second channel bypassing the RPS may be used for a treatment gas in disclosed embodiments. The process gas may be excited within the RPS 1002 prior to entering the chamber plasma region 1015. Accordingly, the fluorine-containing precursor as discussed above, for example, may pass through RPS 1002 or bypass the RPS unit in disclosed embodiments. Various other examples encompassed by this arrangement will be similarly understood.
  • A cooling plate 1003, faceplate 1017, ion suppressor 1023, showerhead 1025, and a substrate support 1065 (also known as a pedestal), having a substrate 1055 disposed thereon, are shown and may each be included according to disclosed embodiments. The pedestal 1065 may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration may allow the substrate 1055 temperature to be cooled or heated to maintain relatively low temperatures, such as between about −20° C. to about 200° C., or therebetween. The heat exchange fluid may comprise ethylene glycol and/or water. The wafer support platter of the pedestal 1065, which may comprise aluminum, ceramic, or a combination thereof, may also be resistively heated in order to achieve relatively high temperatures, such as from up to or about 100° C. to above or about 1100° C., using an embedded resistive heater element. The heating element may be formed within the pedestal as one or more loops, and an outer portion of the heater element may run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The wiring to the heater element may pass through the stem of the pedestal 1065, which may be further configured to rotate.
  • The faceplate 1017 may be pyramidal, conical, or of another similar structure with a narrow top portion expanding to a wide bottom portion. The faceplate 1017 may additionally be flat as shown and include a plurality of through-channels used to distribute process gases. Plasma generating gases and/or plasma excited species, depending on use of the RPS 1002, may pass through a plurality of holes, shown in FIG. 3B, in faceplate 1017 for a more uniform delivery into the chamber plasma region 1015.
  • Exemplary configurations may include having the gas inlet assembly 1005 open into a gas supply region 1058 partitioned from the chamber plasma region 1015 by faceplate 1017 so that the gases/species flow through the holes in the faceplate 1017 into the chamber plasma region 1015. Structural and operational features may be selected to prevent significant backflow of plasma from the chamber plasma region 1015 back into the supply region 1058, gas inlet assembly 1005, and fluid supply system 1010. The structural features may include the selection of dimensions and cross-sectional geometries of the apertures in faceplate 1017 to deactivate back-streaming plasma. The operational features may include maintaining a pressure difference between the gas supply region 1058 and chamber plasma region 1015 that maintains a unidirectional flow of plasma through the showerhead 1025. The faceplate 1017, or a conductive top portion of the chamber, and showerhead 1025 are shown with an insulating ring 1020 located between the features, which allows an AC potential to be applied to the faceplate 1017 relative to showerhead 1025 and/or ion suppressor 1023. The insulating ring 1020 may be positioned between the faceplate 1017 and the showerhead 1025 and/or ion suppressor 1023 enabling a capacitively coupled plasma (CCP) to be formed in the first plasma region. A baffle (not shown) may additionally be located in the chamber plasma region 1015, or otherwise coupled with gas inlet assembly 1005, to affect the flow of fluid into the region through gas inlet assembly 1005.
  • The ion suppressor 1023 may comprise a plate or other geometry that defines a plurality of apertures throughout the structure that are configured to suppress the migration of ionically-charged species out of chamber plasma region 1015 while allowing uncharged neutral or radical species to pass through the ion suppressor 1023 into an activated gas delivery region between the suppressor and the showerhead. In disclosed embodiments, the ion suppressor 1023 may comprise a perforated plate with a variety of aperture configurations. These uncharged species may include highly reactive species that are transported with less reactive carrier gas through the apertures. As noted above, the migration of ionic species through the holes may be reduced, and in some instances completely suppressed. Controlling the amount of ionic species passing through the ion suppressor 1023 may provide increased control over the gas mixture brought into contact with the underlying wafer substrate, which in turn may increase control of the deposition and/or etch characteristics of the gas mixture. For example, adjustments in the ion concentration of the gas mixture can significantly alter its etch selectivity, e.g., SiOFx:SiOCH etch ratios, etc.
  • The plurality of holes in the ion suppressor 1023 may be configured to control the passage of the activated gas, i.e., the ionic, radical, and/or neutral species, through the ion suppressor 1023. For example, the aspect ratio of the holes, or the hole diameter to length, and/or the geometry of the holes may be controlled so that the flow of ionically-charged species in the activated gas passing through the ion suppressor 1023 is reduced. The holes in the ion suppressor 1023 may include a tapered portion that faces chamber plasma region 1015, and a cylindrical portion that faces the showerhead 1025. The cylindrical portion may be shaped and dimensioned to control the flow of ionic species passing to the showerhead 1025. An adjustable electrical bias may also be applied to the ion suppressor 1023 as an additional means to control the flow of ionic species through the suppressor.
  • The ion suppression element 1023 may function to reduce or eliminate the amount of ionically charged species traveling from the plasma generation region to the substrate. Uncharged neutral and radical species may still pass through the openings in the ion suppressor to react with the substrate. It should be noted that the complete elimination of ionically charged species in the reaction region surrounding the substrate is not always the desired goal. In many instances, ionic species are required to reach the substrate in order to perform the etch and/or deposition process. In these instances, the ion suppressor may help to control the concentration of ionic species in the reaction region at a level that assists the process.
  • Showerhead 1025 in combination with ion suppressor 1023 may allow a plasma present in chamber plasma region 1015 to avoid directly exciting gases in substrate processing region 1033, while still allowing excited species to travel from chamber plasma region 1015 into substrate processing region 1033. In this way, the chamber may be configured to prevent the plasma from contacting a substrate 1055 being etched. This may advantageously protect a variety of intricate structures and films patterned on the substrate, which may be damaged, dislocated, or otherwise warped if directly contacted by a generated plasma. Additionally, when plasma is allowed to contact the substrate or approach the substrate level, the rate at which silicon oxide or silicon nitride etch may increase.
  • The processing system may further include a power supply 1040 electrically coupled with the processing chamber to provide electric power to the faceplate 1017, ion suppressor 1023, showerhead 1025, and/or pedestal 1065 to generate a plasma in the chamber plasma region 1015 or processing region 1033. The power supply may be configured to deliver an adjustable amount of power to the chamber depending on the process performed. Such a configuration may allow for a tunable plasma to be used in the processes being performed. Unlike a remote plasma unit, which is often presented with on or off functionality, a tunable plasma may be configured to deliver a specific amount of power to chamber plasma region 1015. This in turn may allow development of particular plasma characteristics such that precursors may be dissociated in specific ways to enhance the etching profiles produced by these precursors.
  • A plasma may be ignited either in chamber plasma region 1015 above showerhead 1025 or substrate processing region 1033 below showerhead 1025. A plasma may be present in chamber plasma region 1015 to produce the radical-fluorine precursors from an inflow of the fluorine-containing precursor. An AC voltage typically in the radio frequency (RF) range may be applied between the conductive top portion of the processing chamber, such as faceplate 1017, and showerhead 1025 and/or ion suppressor 1023 to ignite a plasma in chamber plasma region 1015 during deposition. An RF power supply may generate a high RF frequency of 13.56 MHz but may also generate other frequencies alone or in combination with the 13.56 MHz frequency.
  • Plasma power can be of a variety of frequencies or a combination of multiple frequencies. In the exemplary processing system the plasma may be provided by RF power delivered to faceplate 1017 relative to ion suppressor 1023 and/or showerhead 1025. The RF power may be between about 10 watts and about 5000 watts, between about 100 watts and about 2000 watts, between about 200 watts and about 1500 watts, or between about 200 watts and about 1000 watts in disclosed embodiments. The RF frequency applied in the exemplary processing system may be low RF frequencies less than about 200 kHz, high RF frequencies between about 10 MHz and about 15 MHz, or microwave frequencies greater than or about 1 GHz in disclosed embodiments. The plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the remote plasma region.
  • Chamber plasma region 1015 (top plasma in figure) may be left at low or no power when a bottom plasma in the substrate processing region 1033 is turned on to, for example, cure a film or clean the interior surfaces bordering substrate processing region 1033. A plasma in substrate processing region 1033 may be ignited by applying an AC voltage between showerhead 1025 and the pedestal 1065 or bottom of the chamber. A treatment gas (such as argon) may be introduced into substrate processing region 1033 while the plasma is present to facilitate treatment of the patterned substrate. The showerhead 1025 may also be biased at a positive DC voltage relative to the pedestal 1065 or bottom of the chamber in order to accelerate positively charged ions toward patterned substrate 1055. In disclosed embodiments, the local plasma in substrate processing region 1033 may be struck by applying AC power via an inductively-coupled source while applying DC power by capacitively coupled means. As indicated previously, the local plasma power may be between about 10 watts and about 500 watts, between about 20 watts and about 400 watts, between about 30 watts and about 300 watts, or between about 50 watts and about 200 watts in embodiments of the invention.
  • A fluid, such as a precursor, for example a fluorine-containing precursor, may be flowed into the processing region 1033 by embodiments of the showerhead described herein. Excited species derived from the process gas in chamber plasma region 1015 may travel through apertures in the ion suppressor 1023, and/or showerhead 1025 and react with an additional precursor flowing into the processing region 1033 from a separate portion of the showerhead. Alternatively, if all precursor species are being excited in chamber plasma region 1015, no additional precursors may be flowed through the separate portion of the showerhead. Little or no plasma may be present in the processing region 1033 during the compound two-step etch. Excited derivatives of the precursors may combine in the region above the substrate and, on occasion, on the substrate to etch structures or remove species on the substrate in disclosed applications.
  • Exciting the fluids in the chamber plasma region 1015 directly, or exciting the fluids in the RPS units 1002, may provide several benefits. The concentration of the excited species derived from the fluids may be increased within the processing region 1033 due to the plasma in the chamber plasma region 1015. This increase may result from the location of the plasma in the chamber plasma region 1015. The processing region 1033 may be located closer to the chamber plasma region 1015 than the remote plasma system (RPS) 1002, leaving less time for the excited species to leave excited states through collisions with other gas molecules, walls of the chamber, and surfaces of the showerhead.
  • The uniformity of the concentration of the excited species derived from the process gas may also be increased within the processing region 1033. This may result from the shape of the chamber plasma region 1015, which may be more similar to the shape of the processing region 1033. Excited species created in the RPS 1002 may travel greater distances in order to pass through apertures near the edges of the showerhead 1025 relative to species that pass through apertures near the center of the showerhead 1025. The greater distance may result in a reduced excitation of the excited species and, for example, may result in a slower growth rate near the edge of a substrate. Exciting the fluids in the chamber plasma region 1015 may mitigate this variation for the fluid flowed through RPS 1002, or alternatively bypassed around the RPS unit.
  • The processing gases may be excited in chamber plasma region 1015 and may be passed through the showerhead 1025 to the processing region 1033 in the excited state. While a plasma may be generated in the processing region 1033, a plasma may alternatively not be generated in the processing region. In one example, the only excitation of the processing gas or precursors may be from exciting the processing gases in chamber plasma region 1015 to react with one another in the processing region 1033. As previously discussed, this may be to protect the structures patterned on the substrate 1055.
  • In addition to the fluid precursors, there may be other gases introduced at varied times for varied purposes, including carrier gases to aid delivery. A treatment gas may be introduced to remove unwanted species from the chamber walls, the substrate, the deposited film and/or the film during deposition. A treatment gas may be excited in a plasma and then used to reduce or remove residual content inside the chamber. In other disclosed embodiments the treatment gas may be used without a plasma. When the treatment gas includes water vapor, the delivery may be achieved using a mass flow meter (MFM), an injection valve, or by commercially available water vapor generators. The treatment gas may be introduced to the processing region 1033, either through the RPS unit or bypassing the RPS unit, and may further be excited in the first plasma region.
  • FIG. 3B shows a detailed view of the features affecting the processing gas distribution through faceplate 1017. As shown in FIG. 3A and FIG. 3B, faceplate 1017, cooling plate 1003, and gas inlet assembly 1005 intersect to define a gas supply region 1058 into which process gases may be delivered from gas inlet 1005. The gases may fill the gas supply region 1058 and flow to chamber plasma region 1015 through apertures 1059 in faceplate 1017. The apertures 1059 may be configured to direct flow in a substantially unidirectional manner such that process gases may flow into processing region 1033, but may be partially or fully prevented from backflow into the gas supply region 1058 after traversing the faceplate 1017.
  • The gas distribution assemblies such as showerhead 1025 for use in the processing chamber section 1001 may be referred to as dual channel showerheads (DCSH) and are additionally detailed in the embodiments described in FIG. 3A as well as FIG. 3C herein. The dual channel showerhead may provide for etching processes that allow for separation of etchants outside of the processing region 1033 to provide limited interaction with chamber components and each other prior to being delivered into the processing region.
  • The showerhead 1025 may comprise an upper plate 1014 and a lower plate 1016. The plates may be coupled with one another to define a volume 1018 between the plates. The coupling of the plates may be so as to provide first fluid channels 1019 through the upper and lower plates, and second fluid channels 1021 through the lower plate 1016. The formed channels may be configured to provide fluid access from the volume 1018 through the lower plate 1016 via second fluid channels 1021 alone, and the first fluid channels 1019 may be fluidly isolated from the volume 1018 between the plates and the second fluid channels 1021. The volume 1018 may be fluidly accessible through a side of the gas distribution assembly 1025. Although the exemplary system of FIGS. 3A-3C includes a dual-channel showerhead, it is understood that alternative distribution assemblies may be utilized that maintain first and second precursors fluidly isolated prior to the processing region 1033. For example, a perforated plate and tubes underneath the plate may be utilized, although other configurations may operate with reduced efficiency or not provide as uniform processing as the dual-channel showerhead as described.
  • In the embodiment shown, showerhead 1025 may distribute via first fluid channels 1019 process gases which contain plasma effluents upon excitation by a plasma in chamber plasma region 1015. In embodiments, the process gas introduced into the RPS 1002 and/or chamber plasma region 1015 may contain fluorine, e.g., CF4, NF3 or XeF2. The process gas may also include a carrier gas such as helium, argon, nitrogen (N2), etc. Plasma effluents may include ionized or neutral derivatives of the process gas and may also be referred to herein as a radical-fluorine precursor referring to the atomic constituent of the process gas introduced.
  • FIG. 3C is a bottom view of a showerhead 1025 for use with a processing chamber according to disclosed embodiments. Showerhead 1025 corresponds with the showerhead shown in FIG. 3A. Through-holes 1031, which show a view of first fluid channels 1019, may have a plurality of shapes and configurations in order to control and affect the flow of precursors through the showerhead 1025. Small holes 1027, which show a view of second fluid channels 1021, may be distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 1031, which may help to provide more even mixing of the precursors as they exit the showerhead than other configurations.
  • An additional dual channel showerhead, as well as this processing system and chamber, are more fully described in patent application Ser. No. 13/251,714 filed on Oct. 3, 2011, which is hereby incorporated by reference for all purposes to the extent not inconsistent with the claimed features and description herein.
  • The chamber plasma region 1015 or a region in an RPS may be referred to as a remote plasma region. In embodiments, the radical precursor, e.g., a radical-fluorine precursor, is created in the remote plasma region and travels into the substrate processing region where it may or may not combine with additional precursors. Plasma power may essentially be applied only to the remote plasma region in disclosed embodiments.
  • Plasma effluents include a variety of molecules, molecular fragments and ionized species. In disclosed embodiments, both ammonia and nitrogen trifluoride are delivered to chamber plasma region 1015 to perform a Siconi™ etch during the second step (operations 120-140). Currently entertained theoretical mechanisms of Siconi™ etching may or may not be entirely correct but plasma effluents are thought to include NH4F and NH4F.HF which react readily with low temperature substrates described herein. Plasma effluents may react with a silicon oxide surface to form (NH4)2SiF6, NH3 and H2O products. The NH3 and H2O are vapors under the processing conditions described herein and may be removed from substrate processing region 1033 by a vacuum pump. A thin continuous or discontinuous layer of (NH4)2SiF6 solid by-products is left behind on the substrate surface.
  • Following exposure to plasma effluents and the associated accumulation of solid by-products, the substrate may be heated to remove the by-products. In embodiments, the lower plate 1016 is heatable by incorporating a heating element within lower plate 1016. The substrate may be heated by reducing the distance between the substrate and the heated lower plate. Lower plate 1020 may be heated to between about 100° C. and 150° C., between about 110° C. and 140° C. or between about 120° C. and 130° C. in disclosed embodiments. By reducing the separation between the substrate and the heated gas delivery plate, the substrate may be heated to above about 75° C., above about 90° C., above about 100° C. or between about 115° C. and about 150° C. in disclosed embodiments. The heat radiated from lower plate 1016 to the substrate should be made sufficient to dissociate or sublimate solid (NH4)2SiF6 on the substrate into volatile SiF4, NH3 and HF products which may be pumped away from substrate processing region 1033. Other methods of imparting heat to the substrate may also be used.
  • Nitrogen trifluoride (or another fluorine-containing precursor) may be flowed into chamber plasma region 1015 at rates between about 5 sccm and about 500 sccm, between about 10 sccm and about 150 sccm, or between about 25 sccm and about 125 sccm in disclosed embodiments. When a hydrogen-containing precursor is used, ammonia (or hydrogen-containing precursors in general) may be flowed into chamber plasma region 1015 at rates between about 5 sccm and about 800 sccm, between about 20 sccm and about 300 sccm, or between about 50 sccm and about 250 sccm in disclosed embodiments.
  • Combined flow rates of precursors into the chamber may account for 0.05% to about 20% by volume of the overall gas mixture; the remainder being carrier gases. The fluorine-containing precursor may be flowed into the remote plasma region, but the plasma effluents may have the same volumetric flow ratio in embodiments. In the case of the fluorine-containing precursor, a purge or carrier gas may be first initiated into the remote plasma region before the fluorine-containing gas to stabilize the pressure within the remote plasma region. Substrate processing region 1033 can be maintained at a variety of pressures during the flow of precursors, any carrier gases, and plasma effluents into substrate processing region 1033. The pressure may be maintained between about 0.1 mTorr and about 100 Torr, between about 1 Torr and about 20 Torr or between about 1 Torr and about 5 Torr in disclosed embodiments.
  • Embodiments of the deposition systems may be incorporated into larger fabrication systems for producing integrated circuit chips. FIG. 4 shows one such processing system 1101 of deposition, etching, baking, and curing chambers according to disclosed embodiments. In the figure, a pair of front opening unified pods (load lock chambers 1102) supply substrates of a variety of sizes that are received by robotic arms 1104 and placed into a low pressure holding area 1106 before being placed into one of the substrate processing chambers 1108 a-f. A second robotic arm 1110 may be used to transport the substrate wafers from the holding area 1106 to the substrate processing chambers 1108 a-f and back. Each substrate processing chamber 1108 a-f, can be outfitted to perform a number of substrate processing operations including the dry etch processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation, and other substrate processes.
  • The substrate processing chambers 1108 a-f may include one or more system components for depositing, annealing, curing and/or etching a dielectric film on the substrate wafer. In one configuration, two pairs of the processing chamber, e.g., 1108 c-d and 1108 e-f, may be used to deposit dielectric material on the substrate, and the third pair of processing chambers, e.g., 1108 a-b, may be used to etch the deposited dielectric. In another configuration, all three pairs of chambers, e.g., 1108 a-f, may be configured to etch a dielectric film on the substrate. Any one or more of the processes described may be carried out in chamber(s) separated from the fabrication system shown in disclosed embodiments.
  • In the preceding description, for the purposes of explanation, numerous details have been set forth in order to provide an understanding of various embodiments of the present invention. It will be apparent to one skilled in the art, however, that certain embodiments may be practiced without some of these details, or with additional details.
  • As used herein “substrate” may be a support substrate with or without layers formed thereon. The patterned substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits. Exposed “silicon” of the patterned substrate is predominantly Si but may include minority concentrations of other elemental constituents such as nitrogen, oxygen, hydrogen, carbon and the like. Exposed “silicon” may consist only of silicon. Exposed “titanium nitride” of the patterned substrate is predominantly TixNy but may include minority concentrations of other elemental constituents such as nitrogen, oxygen, hydrogen, carbon and the like. “Exposed titanium nitride” may consist only of titanium and nitrogen. Exposed “silicon oxide” of the patterned substrate is predominantly SiO2 but may include minority concentrations of other elemental constituents such as nitrogen, hydrogen, carbon and the like. In some embodiments, silicon oxide films etched using the methods disclosed herein consist of silicon and oxygen.
  • The term “precursor” is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface. “Plasma effluents” describe gas exiting from the chamber plasma region and entering the substrate processing region. Plasma effluents are in an “excited state” wherein at least some of the gas molecules are in vibrationally-excited, dissociated and/or ionized states. A “radical precursor” is used to describe plasma effluents (a gas in an excited state which is exiting a plasma) which participate in a reaction to either remove material from or deposit material on a surface. “Radical-fluorine” are radical precursors which contain fluorine but may contain other elemental constituents. The phrase “inert gas” refers to any gas which does not form chemical bonds when etching or being incorporated into a film. Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film.
  • The terms “gap” and “trench” are used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches may appear circular, oval, polygonal, rectangular, or a variety of other shapes. A trench may be in the shape of a moat around an island of material. The term “via” is used to refer to a low aspect ratio trench (as viewed from above) which may or may not be filled with metal to form a vertical electrical connection. As used herein, a conformal etch process refers to a generally uniform removal of material on a surface in the same shape as the surface, i.e., the surface of the etched layer and the pre-etch surface are generally parallel. A person having ordinary skill in the art will recognize that the etched interface likely cannot be 100% conformal and thus the term “generally” allows for acceptable tolerances.
  • Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the disclosed embodiments. Additionally, a number of well known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.
  • Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.
  • As used herein and in the appended claims, the singular forms “a”, “an”, and “the” include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to “a process” includes a plurality of such processes and reference to “the dielectric material” includes reference to one or more dielectric materials and equivalents thereof known to those skilled in the art, and so forth.
  • Also, the words “comprise,” “comprising,” “include,” “including,” and “includes” when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims (17)

What is claimed is:
1. A method of removing a fluorocarbon layer from a low-k dielectric layer on a patterned substrate, the method comprising two sequential steps:
(i) treating the patterned substrate with a local plasma formed from a silicon-and-fluorine-containing precursor, wherein the operation of treating the patterned substrate removes the fluorocarbon layer from patterned substrate and forms a fluorinated silicon oxide layer on the low-k dielectric layer and the local plasma is formed by applying a local plasma power;
(ii) flowing a fluorine-containing precursor into a remote plasma region fluidly coupled to a substrate processing region while forming a remote plasma in the remote plasma region to produce plasma effluents, wherein forming the remote plasma in the remote plasma region to produce the plasma effluents comprises striking an RF plasma having an RF plasma power to the plasma region; and etching the fluorinated silicon oxide layer by flowing the plasma effluents into the substrate processing region.
2. The method of claim 1 wherein the silicon-and-fluorine-containing precursor comprises silicon tetrafluoride.
3. The method of claim 1 wherein the substrate processing region is devoid of oxygen during sequential step (i).
4. The method of claim 1 wherein the substrate processing region is devoid of nitrogen during sequential step (i).
5. The method of claim 1 wherein the local plasma power is between about 10 watts and about 500 watts to the substrate processing region.
6. The method of claim 1 wherein forming a remote plasma in the remote plasma region to produce plasma effluents comprises applying RF power between about 1 watts and about 5000 watts to the remote plasma region.
7. The method of claim 1 wherein the local plasma is formed by applying a DC bias power such that the local plasma power comprises both an AC portion and a DC portion.
8. The method of claim 1 wherein the DC bias power comprises applying a DC bias voltage greater than 200 volts to accelerate inert gas ions toward the patterned substrate.
9. The method of claim 1 wherein the plasma effluents enter the substrate processing region through through-holes in a showerhead which separates the remote plasma region from the substrate processing region.
10. The method of claim 1 wherein the remote plasma region is devoid of hydrogen during sequential step (ii).
11. The method of claim 1 wherein the fluorine-containing precursor comprises nitrogen trifluoride.
12. The method of claim 1 wherein step (ii) further comprises flowing a hydrogen-containing precursor into the remote plasma region.
13. The method of claim 12 wherein the hydrogen-containing precursor comprises ammonia (NH3).
14. The method of claim 12 wherein step (ii) further comprises forming solid residue etch by-product on the surface of the patterned substrate.
15. The method of claim 14 further comprising a step (iii) which comprises after raising a temperature of the patterned substrate step above 90° C. to sublimate the solid residue, wherein step (iii) occurs after step (ii).
16. The method of claim 12 wherein forming a remote plasma in the remote plasma region to produce plasma effluents comprises applying RF power between about 10 watts and about 300 watts to the remote plasma region.
17. The method of claim 1 wherein a pressure within the substrate processing region is between about 0.01 Torr and about 50 Torr during sequential step (ii).
US13/966,453 2013-05-16 2013-08-14 Delicate dry clean Active US8895449B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/966,453 US8895449B1 (en) 2013-05-16 2013-08-14 Delicate dry clean

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361823995P 2013-05-16 2013-05-16
US13/966,453 US8895449B1 (en) 2013-05-16 2013-08-14 Delicate dry clean

Publications (2)

Publication Number Publication Date
US20140342532A1 true US20140342532A1 (en) 2014-11-20
US8895449B1 US8895449B1 (en) 2014-11-25

Family

ID=51896096

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/966,453 Active US8895449B1 (en) 2013-05-16 2013-08-14 Delicate dry clean

Country Status (1)

Country Link
US (1) US8895449B1 (en)

Cited By (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
KR20170141752A (en) * 2015-04-24 2017-12-26 어플라이드 머티어리얼스, 인코포레이티드 Cleaning of high aspect ratio vias
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
WO2018048595A1 (en) * 2016-09-09 2018-03-15 Applied Materials, Inc. Poly directional etch by oxidation
WO2018048594A1 (en) * 2016-09-09 2018-03-15 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US20180151735A1 (en) * 2016-11-29 2018-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Removing an Etch Mask
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
KR20200037071A (en) * 2018-09-28 2020-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Pre-clean for contacts
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
KR102481166B1 (en) 2015-10-30 2022-12-27 삼성전자주식회사 Method of post-etching
JP2019165090A (en) * 2018-03-19 2019-09-26 東芝メモリ株式会社 Semiconductor device manufacturing method and semiconductor manufacturing machine

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080182383A1 (en) * 2007-01-31 2008-07-31 Seung-Heon Lee Method of removing an oxide and method of filling a trench using the same
US20090170221A1 (en) * 2007-12-28 2009-07-02 Texas Instruments Incorporated Etch residue reduction by ash methodology
US7575007B2 (en) * 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20090277874A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate

Family Cites Families (656)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2369620A (en) 1941-03-07 1945-02-13 Battelle Development Corp Method of coating cupreous metal with tin
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
US4632857A (en) 1974-05-24 1986-12-30 Richardson Chemical Company Electrolessly plated product having a polymetallic catalytic film underlayer
US4232060A (en) 1979-01-22 1980-11-04 Richardson Chemical Company Method of preparing substrate surface for electroless plating and products produced thereby
US4397812A (en) 1974-05-24 1983-08-09 Richardson Chemical Company Electroless nickel polyalloys
US3937857A (en) 1974-07-22 1976-02-10 Amp Incorporated Catalyst for electroless deposition of metals
US4006047A (en) 1974-07-22 1977-02-01 Amp Incorporated Catalysts for electroless deposition of metals on comparatively low-temperature polyolefin and polyester substrates
US4265943A (en) 1978-11-27 1981-05-05 Macdermid Incorporated Method and composition for continuous electroless copper deposition using a hypophosphite reducing agent in the presence of cobalt or nickel ions
US4234628A (en) 1978-11-28 1980-11-18 The Harshaw Chemical Company Two-step preplate system for polymeric surfaces
US4214946A (en) 1979-02-21 1980-07-29 International Business Machines Corporation Selective reactive ion etching of polysilicon against SiO2 utilizing SF6 -Cl2 -inert gas etchant
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
IT1130955B (en) 1980-03-11 1986-06-18 Oronzio De Nora Impianti PROCEDURE FOR THE FORMATION OF ELECTROCES ON THE SURFACES OF SEMI-PERMEABLE MEMBRANES AND ELECTRODE-MEMBRANE SYSTEMS SO PRODUCED
US4368223A (en) 1981-06-01 1983-01-11 Asahi Glass Company, Ltd. Process for preparing nickel layer
DE3205345A1 (en) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "METHOD FOR THE PRODUCTION OF FLUOREDOTED LIGHT-CONDUCTING FIBERS"
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS591671A (en) 1982-05-28 1984-01-07 Fujitsu Ltd Plasma cvd device
JPS6060060A (en) 1983-09-12 1985-04-06 株式会社日立製作所 Switchgear for door of railway rolling stock
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
JPS61276977A (en) 1985-05-30 1986-12-06 Canon Inc Formation of deposited film
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4714520A (en) 1985-07-25 1987-12-22 Advanced Micro Devices, Inc. Method for filling a trench in an integrated circuit structure without producing voids
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63204726A (en) 1987-02-20 1988-08-24 Anelva Corp Vacuum treatment device
US4868071A (en) 1987-02-24 1989-09-19 Polyonics Corporation Thermally stable dual metal coated laminate products made from textured polyimide film
US5322976A (en) 1987-02-24 1994-06-21 Polyonics Corporation Process for forming polyimide-metal laminates
KR910006164B1 (en) 1987-03-18 1991-08-16 가부시키가이샤 도시바 Making method and there device of thin film
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
DE3884653T2 (en) 1987-04-03 1994-02-03 Fujitsu Ltd Method and device for the vapor deposition of diamond.
US4753898A (en) 1987-07-09 1988-06-28 Motorola, Inc. LDD CMOS process
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US4810520A (en) 1987-09-23 1989-03-07 Magnetic Peripherals Inc. Method for controlling electroless magnetic plating
US4865685A (en) 1987-11-03 1989-09-12 North Carolina State University Dry etching of silicon carbide
US4981551A (en) 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
US4904341A (en) 1988-08-22 1990-02-27 Westinghouse Electric Corp. Selective silicon dioxide etchant for superconductor integrated circuits
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH02121330A (en) 1988-10-31 1990-05-09 Hitachi Ltd Plasma processing and device therefor
KR930004115B1 (en) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 Ashing apparatus and treatment method thereof
EP0376252B1 (en) 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
JP2981243B2 (en) 1988-12-27 1999-11-22 株式会社東芝 Surface treatment method
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
US4994404A (en) 1989-08-28 1991-02-19 Motorola, Inc. Method for forming a lightly-doped drain (LDD) structure in a semiconductor device
US4980018A (en) 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
EP0447155B1 (en) 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters
JP2960466B2 (en) 1990-03-19 1999-10-06 株式会社日立製作所 Method and apparatus for forming wiring insulating film of semiconductor device
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5147692A (en) 1990-05-08 1992-09-15 Macdermid, Incorporated Electroless plating of nickel onto surfaces such as copper or fused tungston
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
JPH04228572A (en) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd Method for synthesizing hard boron nitride
US5235139A (en) 1990-09-12 1993-08-10 Macdermid, Incorprated Method for fabricating printed circuits
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (en) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 Plasma cvd method for using pulsed waveform
JPH04142738A (en) 1990-10-04 1992-05-15 Sony Corp Dry-etching method
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
JP2640174B2 (en) 1990-10-30 1997-08-13 三菱電機株式会社 Semiconductor device and manufacturing method thereof
JP3206916B2 (en) 1990-11-28 2001-09-10 住友電気工業株式会社 Method for reducing defect concentration, method for producing optical glass for transmitting ultraviolet light, and optical glass for transmitting ultraviolet light
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (en) 1991-01-23 1998-01-14 日本電気株式会社 Method of forming fluorine-containing silicon oxide film
JPH04239723A (en) 1991-01-23 1992-08-27 Nec Corp Manufacture of semiconductor device
JP2787142B2 (en) 1991-03-01 1998-08-13 上村工業 株式会社 Electroless tin, lead or their alloy plating method
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
JPH04341568A (en) 1991-05-16 1992-11-27 Toshiba Corp Method for forming thin film and device therefor
JP3670277B2 (en) 1991-05-17 2005-07-13 ラム リサーチ コーポレーション Method for depositing SiOx films with low intrinsic stress and / or low hydrogen content
JP2699695B2 (en) 1991-06-07 1998-01-19 日本電気株式会社 Chemical vapor deposition
US5203911A (en) 1991-06-24 1993-04-20 Shipley Company Inc. Controlled electroless plating
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
US5240497A (en) 1991-10-08 1993-08-31 Cornell Research Foundation, Inc. Alkaline free electroless deposition
JPH05226480A (en) 1991-12-04 1993-09-03 Nec Corp Manufacture of semiconductor device
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5300463A (en) 1992-03-06 1994-04-05 Micron Technology, Inc. Method of selectively etching silicon dioxide dielectric layers on semiconductor wafers
JP3084497B2 (en) 1992-03-25 2000-09-04 東京エレクトロン株式会社 Method for etching SiO2 film
JP2773530B2 (en) 1992-04-15 1998-07-09 日本電気株式会社 Method for manufacturing semiconductor device
JP2792335B2 (en) 1992-05-27 1998-09-03 日本電気株式会社 Method for manufacturing semiconductor device
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3688726B2 (en) 1992-07-17 2005-08-31 株式会社東芝 Manufacturing method of semiconductor device
US5380560A (en) 1992-07-28 1995-01-10 International Business Machines Corporation Palladium sulfate solution for the selective seeding of the metal interconnections on polyimide dielectrics for electroless metal deposition
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
KR100238629B1 (en) 1992-12-17 2000-01-15 히가시 데쓰로 Stage having eletrostatic chuck and plasma processing apparatus using same
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5756402A (en) 1992-12-28 1998-05-26 Kabushiki Kaisha Toshiba Method of etching silicon nitride film
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP3236111B2 (en) 1993-03-31 2001-12-10 キヤノン株式会社 Plasma processing apparatus and processing method
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
JP2664866B2 (en) 1993-04-09 1997-10-22 インターナショナル・ビジネス・マシーンズ・コーポレイション Method for etching boron nitride
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
DE69432383D1 (en) 1993-05-27 2003-05-08 Applied Materials Inc Improvements in substrate holders suitable for use in chemical vapor deposition devices
US5591269A (en) 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
WO1995002900A1 (en) 1993-07-15 1995-01-26 Astarix, Inc. Aluminum-palladium alloy for initiation of electroless plating
DE69421465T2 (en) 1993-07-30 2000-02-10 Applied Materials Inc Process for the deposition of silicon nitride on silicon surfaces
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5468597A (en) 1993-08-25 1995-11-21 Shipley Company, L.L.C. Selective metallization process
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
SE501888C2 (en) 1993-10-18 1995-06-12 Ladislav Bardos A method and apparatus for generating a discharge in own vapor from a radio frequency electrode for continuous self-sputtering of the electrode
JPH07130713A (en) 1993-11-04 1995-05-19 Fujitsu Ltd Down flow etching apparatus
JPH07161703A (en) 1993-12-03 1995-06-23 Ricoh Co Ltd Manufacture of semiconductor device
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
JPH07193214A (en) 1993-12-27 1995-07-28 Mitsubishi Electric Corp Via-hole and its formation
US5415890A (en) 1994-01-03 1995-05-16 Eaton Corporation Modular apparatus and method for surface treatment of parts with liquid baths
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5439553A (en) 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08148470A (en) 1994-11-21 1996-06-07 Sanyo Electric Co Ltd Manufacture of semiconductor device
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JP3386287B2 (en) 1995-05-08 2003-03-17 堀池 靖浩 Plasma etching equipment
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP2814370B2 (en) 1995-06-18 1998-10-22 東京エレクトロン株式会社 Plasma processing equipment
US6197364B1 (en) 1995-08-22 2001-03-06 International Business Machines Corporation Production of electroless Co(P) with designed coercivity
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US6053982A (en) 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
US5635086A (en) 1995-10-10 1997-06-03 The Esab Group, Inc. Laser-plasma arc metal cutting apparatus
JPH09106899A (en) 1995-10-11 1997-04-22 Anelva Corp Plasma cvd device and method, and dry etching device and method
US5910340A (en) 1995-10-23 1999-06-08 C. Uyemura & Co., Ltd. Electroless nickel plating solution and method
US6015724A (en) 1995-11-02 2000-01-18 Semiconductor Energy Laboratory Co. Manufacturing method of a semiconductor device
US5648125A (en) 1995-11-16 1997-07-15 Cane; Frank N. Electroless plating process for the manufacture of printed circuit boards
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
JP4420986B2 (en) 1995-11-21 2010-02-24 株式会社東芝 Shallow trench isolated semiconductor substrate and method of manufacturing the same
US5846598A (en) 1995-11-30 1998-12-08 International Business Machines Corporation Electroless plating of metallic features on nonmetallic or semiconductor layer without extraneous plating
JPH09153481A (en) 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd Apparatus for plasma processing
US5733816A (en) 1995-12-13 1998-03-31 Micron Technology, Inc. Method for depositing a tungsten layer on silicon
US6261637B1 (en) 1995-12-15 2001-07-17 Enthone-Omi, Inc. Use of palladium immersion deposition to selectively initiate electroless plating on Ti and W alloys for wafer fabrication
WO1997022733A1 (en) 1995-12-19 1997-06-26 Fsi International Electroless deposition of metal films with spray processor
EP0870327B1 (en) 1995-12-27 2002-09-11 Lam Research Corporation Method for filling trenches in a semiconductor wafer
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5656093A (en) 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5951601A (en) 1996-03-25 1999-09-14 Lesinski; S. George Attaching an implantable hearing aid microactuator
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US20010012700A1 (en) 1998-12-15 2001-08-09 Klaus F. Schuegraf Semiconductor processing methods of chemical vapor depositing sio2 on a substrate
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US5747373A (en) 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd. Nitride-oxide sidewall spacer for salicide formation
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5904827A (en) 1996-10-15 1999-05-18 Reynolds Tech Fabricators, Inc. Plating cell with rotary wiper and megasonic transducer
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (en) 1996-11-05 2000-01-15 윤종용 Pedestal in semiconductor chamber
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5935334A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5882786A (en) 1996-11-15 1999-03-16 C3, Inc. Gemstones formed of silicon carbide with diamond coating
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5830805A (en) 1996-11-18 1998-11-03 Cornell Research Foundation Electroless deposition equipment or apparatus and method of performing electroless deposition
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
FR2756663B1 (en) 1996-12-04 1999-02-26 Berenguer Marc PROCESS FOR TREATING A SEMICONDUCTOR SUBSTRATE COMPRISING A SURFACE TREATMENT STEP
US5843538A (en) 1996-12-09 1998-12-01 John L. Raymond Method for electroless nickel plating of metal substrates
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
DE19700231C2 (en) 1997-01-07 2001-10-04 Geesthacht Gkss Forschung Device for filtering and separating flow media
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JPH10223608A (en) 1997-02-04 1998-08-21 Sony Corp Manufacture of semiconductor device
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6479373B2 (en) 1997-02-20 2002-11-12 Infineon Technologies Ag Method of structuring layers with a polysilicon layer and an overlying metal or metal silicide layer using a three step etching process with fluorine, chlorine, bromine containing gases
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5789300A (en) 1997-02-25 1998-08-04 Advanced Micro Devices, Inc. Method of making IGFETs in densely and sparsely populated areas of a substrate
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5786276A (en) 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
JPH10284360A (en) 1997-04-02 1998-10-23 Hitachi Ltd Substrate temperature control equipment and method
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US5885749A (en) 1997-06-20 1999-03-23 Clear Logic, Inc. Method of customizing integrated circuits by selective secondary deposition of layer interconnect material
US5933757A (en) 1997-06-23 1999-08-03 Lsi Logic Corporation Etch process selective to cobalt silicide for formation of integrated circuit structures
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6518155B1 (en) 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
JP3874911B2 (en) 1997-10-15 2007-01-31 株式会社Neomaxマテリアル Plating method for micro plastic balls
GB9722028D0 (en) 1997-10-17 1997-12-17 Shipley Company Ll C Plating of polymers
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6136693A (en) 1997-10-27 2000-10-24 Chartered Semiconductor Manufacturing Ltd. Method for planarized interconnect vias using electroless plating and CMP
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
US6536449B1 (en) 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
US6063712A (en) 1997-11-25 2000-05-16 Micron Technology, Inc. Oxide etch and method of etching
US5849639A (en) 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6077780A (en) 1997-12-03 2000-06-20 Advanced Micro Devices, Inc. Method for filling high aspect ratio openings of an integrated circuit to minimize electromigration failure
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
US6140234A (en) 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6551939B2 (en) 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
JP2002510878A (en) 1998-04-02 2002-04-09 アプライド マテリアルズ インコーポレイテッド Method for etching a low-k dielectric
US6117245A (en) 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6113771A (en) 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
US6416647B1 (en) 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6093594A (en) 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
EP0959496B1 (en) 1998-05-22 2006-07-19 Applied Materials, Inc. Methods for forming self-planarized dielectric layer for shallow trench isolation
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100296137B1 (en) 1998-06-16 2001-08-07 박종섭 method for fabricating semiconductor device having HDP-CVD oxide layer as passivation layer
JP2000012514A (en) 1998-06-19 2000-01-14 Hitachi Ltd Post-treating method
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
EP1112125B1 (en) 1998-06-30 2006-01-25 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6248429B1 (en) 1998-07-06 2001-06-19 Micron Technology, Inc. Metallized recess in a substrate
KR100265866B1 (en) 1998-07-11 2000-12-01 황철주 Apparatus for manufacturing semiconductor device
US6063683A (en) 1998-07-27 2000-05-16 Acer Semiconductor Manufacturing, Inc. Method of fabricating a self-aligned crown-shaped capacitor for high density DRAM cells
US6436816B1 (en) 1998-07-31 2002-08-20 Industrial Technology Research Institute Method of electroless plating copper on nitride barrier
US6074954A (en) 1998-08-31 2000-06-13 Applied Materials, Inc Process for control of the shape of the etch front in the etching of polysilicon
US6383951B1 (en) 1998-09-03 2002-05-07 Micron Technology, Inc. Low dielectric constant material for integrated circuit fabrication
US6440863B1 (en) 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6165912A (en) 1998-09-17 2000-12-26 Cfmt, Inc. Electroless metal deposition of electronic components in an enclosable vessel
US6037266A (en) 1998-09-28 2000-03-14 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
US6180523B1 (en) 1998-10-13 2001-01-30 Industrial Technology Research Institute Copper metallization of USLI by electroless process
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6251802B1 (en) 1998-10-19 2001-06-26 Micron Technology, Inc. Methods of forming carbon-containing layers
US6107199A (en) 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
JP3064268B2 (en) 1998-10-29 2000-07-12 アプライド マテリアルズ インコーポレイテッド Film forming method and apparatus
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
US6462371B1 (en) 1998-11-24 2002-10-08 Micron Technology Inc. Films doped with carbon for use in integrated circuit technology
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6251236B1 (en) 1998-11-30 2001-06-26 Applied Materials, Inc. Cathode contact ring for electrochemical deposition
US6228233B1 (en) 1998-11-30 2001-05-08 Applied Materials, Inc. Inflatable compliant bladder assembly
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6015747A (en) 1998-12-07 2000-01-18 Advanced Micro Device Method of metal/polysilicon gate formation in a field effect transistor
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
EP1014434B1 (en) 1998-12-24 2008-03-26 ATMEL Germany GmbH Method for anisotropically plasma dry-etching a silicon nitride layer with a gas mixture containing fluorine
KR20000044928A (en) 1998-12-30 2000-07-15 김영환 Method for forming trench of semiconductor device
TW428256B (en) 1999-01-25 2001-04-01 United Microelectronics Corp Structure of conducting-wire layer and its fabricating method
JP3330554B2 (en) 1999-01-27 2002-09-30 松下電器産業株式会社 Etching method
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
US6010962A (en) 1999-02-12 2000-01-04 Taiwan Semiconductor Manufacturing Company Copper chemical-mechanical-polishing (CMP) dishing
US6245670B1 (en) 1999-02-19 2001-06-12 Advanced Micro Devices, Inc. Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
US6312995B1 (en) 1999-03-08 2001-11-06 Advanced Micro Devices, Inc. MOS transistor with assisted-gates and ultra-shallow “Psuedo” source and drain extensions for ultra-large-scale integration
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6238582B1 (en) 1999-03-30 2001-05-29 Veeco Instruments, Inc. Reactive ion beam etching method and a thin film head fabricated using the method
US6144099A (en) 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6099697A (en) 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6110836A (en) 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6541671B1 (en) 2002-02-13 2003-04-01 The Regents Of The University Of California Synthesis of 2H- and 13C-substituted dithanes
JP3099066B1 (en) 1999-05-07 2000-10-16 東京工業大学長 Manufacturing method of thin film structure
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6174812B1 (en) 1999-06-08 2001-01-16 United Microelectronics Corp. Copper damascene technology for ultra large scale integration circuits
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6110530A (en) 1999-06-25 2000-08-29 Applied Materials, Inc. CVD method of depositing copper films by using improved organocopper precursor blend
US6277752B1 (en) 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6516815B1 (en) 1999-07-09 2003-02-11 Applied Materials, Inc. Edge bead removal/spin rinse dry (EBR/SRD) module
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6351013B1 (en) 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6235643B1 (en) 1999-08-10 2001-05-22 Applied Materials, Inc. Method for etching a trench having rounded top and bottom corners in a silicon substrate
DE60041341D1 (en) 1999-08-17 2009-02-26 Tokyo Electron Ltd PULSE PLASMA TREATMENT METHOD AND DEVICE
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6432819B1 (en) 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100338768B1 (en) 1999-10-25 2002-05-30 윤종용 Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer
US20010041444A1 (en) 1999-10-29 2001-11-15 Jeffrey A. Shields Tin contact barc for tungsten polished contacts
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
JP2001274111A (en) 1999-11-09 2001-10-05 Applied Materials Inc Chemical plasma cleaning for salicide process
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6277763B1 (en) 1999-12-16 2001-08-21 Applied Materials, Inc. Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR20010058774A (en) 1999-12-30 2001-07-06 박종섭 Method for manufacturing semiconductor device
KR100767762B1 (en) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 A CVD semiconductor-processing device provided with a remote plasma source for self cleaning
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
JP3723712B2 (en) 2000-02-10 2005-12-07 株式会社日立国際電気 Substrate processing apparatus and substrate processing method
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
JP3979791B2 (en) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
KR100350056B1 (en) 2000-03-09 2002-08-24 삼성전자 주식회사 Method of forming a self-aligned contact pad in a damascene gate process
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
JP2001355074A (en) 2000-04-10 2001-12-25 Sony Corp Electroless plating method, and apparatus thereof
US7892974B2 (en) 2000-04-11 2011-02-22 Cree, Inc. Method of forming vias in silicon carbide and resulting devices and circuits
JP2001308023A (en) 2000-04-21 2001-11-02 Tokyo Electron Ltd Equipment and method for heat treatment
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6729081B2 (en) 2000-06-09 2004-05-04 United Solar Systems Corporation Self-adhesive photovoltaic module
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6645550B1 (en) 2000-06-22 2003-11-11 Applied Materials, Inc. Method of treating a substrate
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6303418B1 (en) 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
KR100366623B1 (en) 2000-07-18 2003-01-09 삼성전자 주식회사 Method for cleaning semiconductor substrate or LCD substrate
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6677242B1 (en) 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP2002100578A (en) 2000-09-25 2002-04-05 Crystage Co Ltd Thin film forming system
KR100375102B1 (en) 2000-10-18 2003-03-08 삼성전자주식회사 Method for CVD and apparatus for performing the same in semiconductor device processing
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
US6610362B1 (en) 2000-11-20 2003-08-26 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
KR100382725B1 (en) 2000-11-24 2003-05-09 삼성전자주식회사 Method of manufacturing semiconductor device in the clustered plasma apparatus
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6291348B1 (en) 2000-11-30 2001-09-18 Advanced Micro Devices, Inc. Method of forming Cu-Ca-O thin films on Cu surfaces in a chemical solution and semiconductor device thereby formed
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6743732B1 (en) 2001-01-26 2004-06-01 Taiwan Semiconductor Manufacturing Company Organic low K dielectric etch with NH3 chemistry
JP2002222934A (en) 2001-01-29 2002-08-09 Nec Corp Semiconductor device and manufacturing method thereof
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
JP2002256235A (en) 2001-03-01 2002-09-11 Hitachi Chem Co Ltd Adhesive sheet, method for producing semiconductor device and semiconductor device
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
CN1302152C (en) 2001-03-19 2007-02-28 株式会社Ips Chemical vapor depositing apparatus
JP5013353B2 (en) 2001-03-28 2012-08-29 隆 杉野 Film forming method and film forming apparatus
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20020177321A1 (en) 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
JP3707394B2 (en) 2001-04-06 2005-10-19 ソニー株式会社 Electroless plating method
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
JP4720019B2 (en) 2001-05-18 2011-07-13 東京エレクトロン株式会社 Cooling mechanism and processing device
DE10222083B4 (en) 2001-05-18 2010-09-23 Samsung Electronics Co., Ltd., Suwon Isolation method for a semiconductor device
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
JP2004533123A (en) 2001-06-14 2004-10-28 マトソン テクノロジー インコーポレーテッド Barrier enhancement process for copper connections
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
JP2003019433A (en) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd Discharge plasma treating apparatus and treating method using the same
KR100403630B1 (en) 2001-07-07 2003-10-30 삼성전자주식회사 Method for forming inter-layer dielectric film of semiconductor device by HDP CVD
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
JP3914452B2 (en) 2001-08-07 2007-05-16 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
TW554069B (en) 2001-08-10 2003-09-21 Ebara Corp Plating device and method
KR20040018558A (en) 2001-08-13 2004-03-03 가부시키 가이샤 에바라 세이사꾸쇼 Semiconductor device and production method therefor, and plating solution
US20030038305A1 (en) 2001-08-21 2003-02-27 Wasshuber Christoph A. Method for manufacturing and structure of transistor with low-k spacer
JP2003059914A (en) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc Plasma treatment equipment
US6762127B2 (en) 2001-08-23 2004-07-13 Yves Pierre Boiteux Etch process for dielectric materials comprising oxidized organo silane materials
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US20030129106A1 (en) 2001-08-29 2003-07-10 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6796314B1 (en) 2001-09-07 2004-09-28 Novellus Systems, Inc. Using hydrogen gas in a post-etch radio frequency-plasma contact cleaning process
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
EP1302988A3 (en) 2001-10-12 2007-01-24 Bayer MaterialScience AG Photovoltaic modules with a thermoplastic adhesive layer and method for fabricating the same
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3759895B2 (en) 2001-10-24 2006-03-29 松下電器産業株式会社 Etching method
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100443121B1 (en) 2001-11-29 2004-08-04 삼성전자주식회사 Method for processing of semiconductor and apparatus for processing of semiconductor
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6905968B2 (en) 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
KR20040066170A (en) 2001-12-13 2004-07-23 어플라이드 머티어리얼스, 인코포레이티드 Self-aligned contact etch with high sensitivity to nitride shoulder
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6605874B2 (en) 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030116439A1 (en) 2001-12-21 2003-06-26 International Business Machines Corporation Method for forming encapsulated metal interconnect structures in semiconductor integrated circuit devices
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
KR100484258B1 (en) 2001-12-27 2005-04-22 주식회사 하이닉스반도체 Method for fabricating semiconductor device
US6677247B2 (en) 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (en) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd Discharge plasma processing device
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6913651B2 (en) 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030190426A1 (en) 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US6616967B1 (en) 2002-04-15 2003-09-09 Texas Instruments Incorporated Method to achieve continuous hydrogen saturation in sparingly used electroless nickel plating process
US7013834B2 (en) 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
KR100448714B1 (en) 2002-04-24 2004-09-13 삼성전자주식회사 Insulating layer in Semiconductor Device with Multi-nanolaminate Structure of SiNx and BN and Method for Forming the Same
US6528409B1 (en) 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
JP2003347278A (en) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc Substrate treatment apparatus and method for manufacturing semiconductor device
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100434110B1 (en) 2002-06-04 2004-06-04 삼성전자주식회사 Method of Manufacturing Semiconductor Device
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
WO2004006303A2 (en) 2002-07-02 2004-01-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US20040033677A1 (en) 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
KR100500852B1 (en) 2002-10-10 2005-07-12 최대규 Remote plasma generator
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4606713B2 (en) 2002-10-17 2011-01-05 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6699380B1 (en) 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7628897B2 (en) 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6713873B1 (en) 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
KR100898580B1 (en) 2002-12-07 2009-05-20 주식회사 하이닉스반도체 Method of forming isolation layer for semiconductor device
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
JP3838969B2 (en) 2002-12-17 2006-10-25 沖電気工業株式会社 Dry etching method
US6720213B1 (en) 2003-01-15 2004-04-13 International Business Machines Corporation Low-K gate spacers by fluorine implantation
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
CN101457338B (en) 2003-02-14 2011-04-27 应用材料股份有限公司 Cleaning of native oxide with hydrogen-containing radicals
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7126225B2 (en) 2003-04-15 2006-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for manufacturing a semiconductor wafer with reduced delamination and peeling
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US20040211357A1 (en) 2003-04-24 2004-10-28 Gadgil Pradad N. Method of manufacturing a gap-filled structure of a semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6903511B2 (en) 2003-05-06 2005-06-07 Zond, Inc. Generation of uniformly-distributed plasma
KR20040096365A (en) 2003-05-09 2004-11-16 주식회사 하이닉스반도체 Manufacturing method for semiconductor device
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7151277B2 (en) 2003-07-03 2006-12-19 The Regents Of The University Of California Selective etching of silicon carbide films
JP4245996B2 (en) 2003-07-07 2009-04-02 株式会社荏原製作所 Cap film forming method by electroless plating and apparatus used therefor
JP2005033023A (en) 2003-07-07 2005-02-03 Sony Corp Semiconductor device and manufacturing method thereof
US7368392B2 (en) 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
JP3866694B2 (en) 2003-07-30 2007-01-10 株式会社日立ハイテクノロジーズ LSI device etching method and apparatus
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20050035455A1 (en) 2003-08-14 2005-02-17 Chenming Hu Device with low-k dielectric in close proximity thereto and its method of fabrication
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7030034B2 (en) 2003-09-18 2006-04-18 Micron Technology, Inc. Methods of etching silicon nitride substantially selectively relative to an oxide of aluminum
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
JP4644676B2 (en) 2003-10-06 2011-03-02 アプライド マテリアルズ インコーポレイテッド Equipment to improve wafer temperature uniformity for face-up wet processing
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
JP2005129688A (en) 2003-10-23 2005-05-19 Hitachi Ltd Method of manufacturing semiconductor device
KR100561848B1 (en) 2003-11-04 2006-03-16 삼성전자주식회사 Helical resonator type plasma processing apparatus
US7709392B2 (en) 2003-11-05 2010-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Low K dielectric surface damage control
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7202172B2 (en) 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US7081407B2 (en) 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US6893967B1 (en) 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7291550B2 (en) 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole
JP4698251B2 (en) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
JP4879159B2 (en) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド CVD process for amorphous carbon film deposition
US7196342B2 (en) 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
US7109521B2 (en) 2004-03-18 2006-09-19 Cree, Inc. Silicon carbide semiconductor structures including multiple epitaxial layers having sidewalls
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
KR20070009729A (en) 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 Carbon-doped-si oxide etch using h2 additive in fluorocarbon etch chemistry
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
CN100594619C (en) 2004-05-21 2010-03-17 株式会社半导体能源研究所 Semiconductor device and its manufacturing method
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
JP2006049817A (en) 2004-07-07 2006-02-16 Showa Denko Kk Plasma treatment method and plasma etching method
US7217626B2 (en) 2004-07-26 2007-05-15 Texas Instruments Incorporated Transistor fabrication methods using dual sidewall spacers
US7192863B2 (en) 2004-07-30 2007-03-20 Texas Instruments Incorporated Method of eliminating etch ridges in a dual damascene process
US20060024954A1 (en) 2004-08-02 2006-02-02 Zhen-Cheng Wu Copper damascene barrier and capping layer
US7390710B2 (en) 2004-09-02 2008-06-24 Micron Technology, Inc. Protection of tunnel dielectric using epitaxial silicon
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7329576B2 (en) 2004-09-02 2008-02-12 Micron Technology, Inc. Double-sided container capacitors using a sacrificial layer
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7618515B2 (en) 2004-11-15 2009-11-17 Tokyo Electron Limited Focus ring, plasma etching apparatus and plasma etching method
EP1831430A2 (en) 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP4475136B2 (en) 2005-02-18 2010-06-09 東京エレクトロン株式会社 Processing system, pre-processing apparatus and storage medium
JP4506677B2 (en) 2005-03-11 2010-07-21 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US20060252252A1 (en) 2005-03-18 2006-11-09 Zhize Zhu Electroless deposition processes and compositions for forming interconnects
US20060246217A1 (en) 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
KR100681390B1 (en) 2005-03-18 2007-02-09 (주)한빛레이저 A semiconductor wafer dicing and scribing system and appratus with a high speed laser beam focus positioning system to arbitrary 3D positions and laser beam diffraction system
US7442274B2 (en) 2005-03-28 2008-10-28 Tokyo Electron Limited Plasma etching method and apparatus therefor
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100689826B1 (en) 2005-03-29 2007-03-08 삼성전자주식회사 High density plasma chemical vapor deposition methods using a fluorine-based chemical etching gas and methods of fabricating a semiconductor device employing the same
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
KR100745067B1 (en) 2005-05-18 2007-08-01 주식회사 하이닉스반도체 Trench isolation in semicontuctor device and the method for fabricating the same
US20070071888A1 (en) 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
KR100703014B1 (en) 2005-10-26 2007-04-06 삼성전자주식회사 Silicon oxide etching solution and method of manufacturing a semiconductor device using the same
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
EP1780779A3 (en) 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US20070107750A1 (en) 2005-11-14 2007-05-17 Sawin Herbert H Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
US20070117396A1 (en) 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US7405160B2 (en) 2005-12-13 2008-07-29 Tokyo Electron Limited Method of making semiconductor device
JP2007173383A (en) 2005-12-20 2007-07-05 Sharp Corp Method for forming trench element separation region, method for forming silicon nitride film liner, and manufacturing method of semiconductor device
US7494545B2 (en) 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP5042517B2 (en) 2006-04-10 2012-10-03 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP2007311540A (en) 2006-05-18 2007-11-29 Renesas Technology Corp Method of manufacturing semiconductor device
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20080124937A1 (en) 2006-08-16 2008-05-29 Songlin Xu Selective etching method and apparatus
KR100818708B1 (en) 2006-08-18 2008-04-01 주식회사 하이닉스반도체 Semiconductor device manufacturing method including cleaning surface layer
US8110787B1 (en) 2006-08-23 2012-02-07 ON Semiconductor Trading, Ltd Image sensor with a reflective waveguide
CN101153396B (en) 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 Plasma etching method
JP2008103645A (en) 2006-10-20 2008-05-01 Toshiba Corp Production method of semiconductor device
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7700479B2 (en) 2006-11-06 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning processes in the formation of integrated circuit interconnect structures
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
TWM318795U (en) 2006-12-18 2007-09-11 Lighthouse Technology Co Ltd Package structure
US20100059889A1 (en) 2006-12-20 2010-03-11 Nxp, B.V. Adhesion of diffusion barrier on copper-containing interconnect element
US7808053B2 (en) 2006-12-29 2010-10-05 Intel Corporation Method, apparatus, and system for flash memory
KR20080063988A (en) 2007-01-03 2008-07-08 삼성전자주식회사 Etching apparatus using neutral beam
KR100853485B1 (en) 2007-03-19 2008-08-21 주식회사 하이닉스반도체 Method for manufacturing semiconductor device with recess gate
US20080233709A1 (en) 2007-03-22 2008-09-25 Infineon Technologies North America Corp. Method for removing material from a semiconductor
US7815814B2 (en) 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
JP5135879B2 (en) 2007-05-21 2013-02-06 富士電機株式会社 Method for manufacturing silicon carbide semiconductor device
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
KR100877107B1 (en) 2007-06-28 2009-01-07 주식회사 하이닉스반도체 Method for fabricating interlayer dielectric in semiconductor device
KR101050454B1 (en) 2007-07-02 2011-07-19 주식회사 하이닉스반도체 Device Separation Film of Semiconductor Device and Formation Method Thereof
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7871926B2 (en) 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
MX2010005945A (en) 2007-12-04 2011-03-03 Parabel Ag Multilayer solar element.
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP2009170890A (en) 2007-12-18 2009-07-30 Takashima & Co Ltd Flexible film type solar cell multilayer body
TW200933812A (en) 2008-01-30 2009-08-01 Promos Technologies Inc Process for forming trench isolation structure and semiconductor device produced thereby
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US20090275206A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
KR20100013980A (en) 2008-08-01 2010-02-10 주식회사 하이닉스반도체 Method of fabricating the trench isolation layer for semiconductor device
US7709396B2 (en) 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100099263A1 (en) 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US8173547B2 (en) 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20100144140A1 (en) 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
KR20100074508A (en) 2008-12-24 2010-07-02 주식회사 동부하이텍 Method of manufacturing semiconductor device
JP2010154699A (en) 2008-12-26 2010-07-08 Hitachi Ltd Magnetic flux variable type rotating electrical machine
KR20100087915A (en) 2009-01-29 2010-08-06 삼성전자주식회사 Semiconductor memory device with cylinder type storage node and method of fabricating the same
CN102365906B (en) 2009-02-13 2016-02-03 应用材料公司 To reflux bus for the RF bus of plasma chamber electrode and RF
US8193075B2 (en) 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US8492292B2 (en) 2009-06-29 2013-07-23 Applied Materials, Inc. Methods of forming oxide layers on substrates
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8211808B2 (en) 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
KR101758944B1 (en) 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 Novel gap fill integration
US8202803B2 (en) 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
JP5608384B2 (en) 2010-02-05 2014-10-15 東京エレクトロン株式会社 Semiconductor device manufacturing method and plasma etching apparatus
US8361338B2 (en) 2010-02-11 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hard mask removal method
JP5450187B2 (en) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US8435902B2 (en) 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120009796A1 (en) 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
KR20120029291A (en) 2010-09-16 2012-03-26 삼성전자주식회사 Semiconductor devices and methods of fabricating the same
KR20120058962A (en) 2010-11-30 2012-06-08 삼성전자주식회사 Fabricating method of semiconductor device
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
KR101529578B1 (en) 2011-01-14 2015-06-19 성균관대학교산학협력단 Apparatus and method for treating substrate using plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8415250B2 (en) 2011-04-29 2013-04-09 International Business Machines Corporation Method of forming silicide contacts of different shapes selectively on regions of a semiconductor device
US20120285621A1 (en) 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9012283B2 (en) 2011-05-16 2015-04-21 International Business Machines Corporation Integrated circuit (IC) chip having both metal and silicon gate field effect transistors (FETs) and method of manufacture
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8735291B2 (en) 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7575007B2 (en) * 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20080182383A1 (en) * 2007-01-31 2008-07-31 Seung-Heon Lee Method of removing an oxide and method of filling a trench using the same
US20090170221A1 (en) * 2007-12-28 2009-07-02 Texas Instruments Incorporated Etch residue reduction by ash methodology
US20090277874A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate

Cited By (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9275834B1 (en) * 2015-02-20 2016-03-01 Applied Materials, Inc. Selective titanium nitride etch
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR20170141752A (en) * 2015-04-24 2017-12-26 어플라이드 머티어리얼스, 인코포레이티드 Cleaning of high aspect ratio vias
KR102586618B1 (en) 2015-04-24 2023-10-06 어플라이드 머티어리얼스, 인코포레이티드 Cleaning of high aspect ratio vias
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
WO2018048594A1 (en) * 2016-09-09 2018-03-15 Applied Materials, Inc. Footing removal for nitride spacer
WO2018048595A1 (en) * 2016-09-09 2018-03-15 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US20180151735A1 (en) * 2016-11-29 2018-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Removing an Etch Mask
US20190097052A1 (en) * 2016-11-29 2019-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Removing an Etch Mask
CN108155088B (en) * 2016-11-29 2021-07-23 台湾积体电路制造股份有限公司 Method for removing etching mask
US10636908B2 (en) * 2016-11-29 2020-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask
US11495684B2 (en) * 2016-11-29 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask
US10553720B2 (en) * 2016-11-29 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask
CN108155088A (en) * 2016-11-29 2018-06-12 台湾积体电路制造股份有限公司 The method for removing etching mask
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR20200037071A (en) * 2018-09-28 2020-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Pre-clean for contacts
US10714329B2 (en) * 2018-09-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-clean for contacts
KR102152760B1 (en) 2018-09-28 2020-09-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Pre-clean for contacts
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
US8895449B1 (en) 2014-11-25

Similar Documents

Publication Publication Date Title
US8895449B1 (en) Delicate dry clean
US9190290B2 (en) Halogen-free gas-phase silicon etch
US9449845B2 (en) Selective titanium nitride etching
US10424485B2 (en) Enhanced etching processes using remote plasma sources
US9412608B2 (en) Dry-etch for selective tungsten removal
US9406523B2 (en) Highly selective doped oxide removal method
US10465294B2 (en) Oxide and metal removal
US9607856B2 (en) Selective titanium nitride removal
US10566206B2 (en) Systems and methods for anisotropic material breakthrough
US20140342569A1 (en) Near surface etch selectivity enhancement
US9165786B1 (en) Integrated oxide and nitride recess for better channel contact in 3D architectures
US9378969B2 (en) Low temperature gas-phase carbon removal
US20160005833A1 (en) Feol low-k spacers
US20140273451A1 (en) Tungsten deposition sequence
US9385028B2 (en) Air gap process
US9159606B1 (en) Metal air gap
US20160260616A1 (en) Silicon selective removal
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
US20160042968A1 (en) Integrated oxide and si etch for 3d cell channel mobility improvements
US20150214066A1 (en) Method for material removal in dry etch reactor
WO2014113177A1 (en) Dry-etch for selective tungsten removal
WO2013173021A1 (en) Etch remnant removal
US11328909B2 (en) Chamber conditioning and removal processes
US20160068969A1 (en) Integrated processing for microcontamination prevention

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ZHU, LINA;KANG, SEAN S.;NEMANI, SRINIVAS D.;AND OTHERS;SIGNING DATES FROM 20130815 TO 20130822;REEL/FRAME:031088/0394

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551)

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8