US20110089141A1 - Method for the production of multi-stepped substrate - Google Patents

Method for the production of multi-stepped substrate Download PDF

Info

Publication number
US20110089141A1
US20110089141A1 US12/999,235 US99923509A US2011089141A1 US 20110089141 A1 US20110089141 A1 US 20110089141A1 US 99923509 A US99923509 A US 99923509A US 2011089141 A1 US2011089141 A1 US 2011089141A1
Authority
US
United States
Prior art keywords
substrate
masks
film
silicon
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/999,235
Inventor
Ai Tanaka
Atsushi Kira
Koh Fuwa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Assigned to ULVAC, INC. reassignment ULVAC, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FUWA, KOH, KIRA, ATSUSHI, TANAKA, AI
Publication of US20110089141A1 publication Critical patent/US20110089141A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00103Structures having a predefined profile, e.g. sloped or rounded grooves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0323Grooves
    • B81B2203/033Trenches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0369Static structures characterized by their profile
    • B81B2203/0392Static structures characterized by their profile profiles not provided for in B81B2203/0376 - B81B2203/0384

Definitions

  • the present invention relates to a method for the production of a multi-stepped substrate.
  • various kinds of three-dimensional structures have been formed according to various combinations of, for instance, the patterning techniques while making use of the lithography technique; various film-forming techniques, for instance, the physical vapor deposition technique such as the vacuum deposition technique and the sputtering technique as well as the chemical vapor deposition techniques; etching techniques such as the wet etching technique and the dry etching technique; and the techniques for laminating or pasting at least two substrates.
  • the etching techniques are divided into the wet etching techniques which make use of the chemical reaction of the substrate to be processed and an etching solution; and dry etching techniques in which a substrate to be processed is bombarded with reactive gas molecules, ions and/or radicals mainly in a vacuum. Moreover, the dry etching techniques are further divided into the reactive gas etching techniques wherein a substrate is etched by exposing the same to a reactive gas; and the plasma etching techniques in which a gas is converted into ions or radicals by the action of a plasma and a substrate is processed or etched with the ions or radicals thus generated. These methods have been properly selected and used depending on the materials of substrates to be processed and the intended shape thereof obtained after the process.
  • etching gases such as halogen atom-containing gases and there have been used etching gases, for instance, fluorine atom-containing gases such as SF 6 and CF 4 , chlorine atom-containing gases such as Cl 2 and CCl 4 ; and fluorine and chlorine atom-containing gases, in the case of, in particular, a substrate made of silicon.
  • fluorine atom-containing gases such as SF 6 and CF 4
  • chlorine atom-containing gases such as Cl 2 and CCl 4
  • fluorine and chlorine atom-containing gases in the case of, in particular, a substrate made of silicon.
  • etching gas a gas such as CHF 3 , a mixed gas such as one comprising CF 4 and H 2 , and a gas represented by the formula: C x F y (wherein y/x ⁇ 4) (such as C 2 F 6 ), when subjecting a silicon oxide substrate and a silicon nitride substrate to the dry-etching technique (see, for instance, Patent Document 2 specified below).
  • a gas such as CHF 3
  • a mixed gas such as one comprising CF 4 and H 2
  • a gas represented by the formula: C x F y (wherein y/x ⁇ 4) such as C 2 F 6
  • the shape (or pattern) and the material of a mask would greatly contribute to the shape obtained after the etching process and therefore, it is necessary to appropriately select the shape and material of the mask to be used to ensure the desired shape of the substrate obtained after the etching process.
  • the processes for processing semiconductor devices have required the use of an advanced technology and have been more sophisticated and more complicated as the degree of integration of such devices have recently been increased and the structure thereof have likewise recently become more complicated.
  • the mask-patterning process and the etching process have often been repeated over a number of times.
  • FIG. 1 schematically shows the steps for processing a silicon oxide substrate, which were carried out by the inventors of this invention as a preliminary examination.
  • a Cr film is formed on a substrate 101 according to the vacuum deposition technique or the sputtering technique and then the Cr film is patterned using the photolithography technique to thus form a first mask 102 (see FIGS. 1( a ) and 1 ( b ). Then the substrate is subjected to the dry etching using C 3 F 8 gas as an etchant to thus form a first groove 103 having a depth sufficiently greater than the thickness of the first mask 102 (see FIG. 1( c )). Subsequently, the first mask 102 is peeled off using a liquid for peeling off or removing the Cr film (see FIG. 1( d )).
  • a Cr film is then formed on the substrate thus obtained and subsequently subjected to a patterning process, according to the same procedures used above to thus form a second mask 104 which covers the surface of the substrate including a part of the bottom surface of the first groove 103 (see FIG. 1( e )). Then the substrate is subjected to the dry etching process to thus increase the depth of the first groove 103 at the portion which is not covered with the second mask 104 to thus form a second groove 105 (see FIG. 1( f )). Thereafter, the second mask 104 is peeled off using a liquid for peeling off the Cr film (see FIG. 1( g )).
  • a groove having two steps can be formed by repeating twice the step for forming a mask and the dry-etching step.
  • the thickness of the second mask 104 formed on side wall of the first groove 103 gradually becomes thinner in proportion to the depth of the groove, at the same time, the thickness of the second mask formed on the bottom of the groove likewise gradually becomes thinner towards the intersection between the side wall and the bottom of the groove, as shown in FIG. 1( e ), and the thickness of the mask becomes more thinner at the intersection therebetween (see the portion denoted by A in this figure). Accordingly, it is quite difficult to form a second mask 104 having a uniform thickness throughout the whole area thereof.
  • the portion A wherein the thickness of the second mask 104 is thin among the area covered with the same is also etched in the second etching process as shown in FIG. 1( f ) and the so-called “pores or cavities” (the portion indicated by B in this figure) are formed.
  • a photoresist is applied onto the surface of the substrate provided with a groove according to the spin coating technique and the photoresist is then patterned in order to form the second mask 104 .
  • the photoresist cannot uniformly be applied onto the entire surface thereof according to the spin coating technique, depending on the shape factors of the first groove 103 such as the depth and the width thereof and it is impossible to completely cover, with the photoresist, the bottom and sides of the groove and, in particular, the corners thereof and a problem accordingly arises such that the processing conditions are greatly limited.
  • the following problems arise: In the case where a Cr film is used, as a mask, as in the foregoing case, the stepped portions and the side wall of the first groove 103 cannot sufficiently be covered with the Cr film for forming the second mask and with the photoresist used for patterning the Cr film according to the lithography technique to thus form the second Cr mask, defects are thus formed on or in the second mask and any desired shape or pattern of the groove cannot be obtained because the defective or damaged portions of the Cr film are undesirably deeply etched. This situation is also true when a photoresist is used as a mask. Furthermore, when the portion processed into the first groove comes in close contact with the second mask (a portion to be processed into a groove), the alignment thereof is insufficient in its precision and as a result, any desired structure cannot be obtained.
  • the inventors of this invention have found that the foregoing problems can be solved by first forming, on a substrate, two or more kinds of masks each comprising different materials and then separately subjecting each mask to dry etching or subjecting the masks to multistage etching procedures, whereby a groove having multiple number of steps can be processed or formed and have thus completed the present invention.
  • the method for the production of a multi-stepped substrate (a substrate having a multi-stepped structure) according to the present invention is one for producing a multi-stepped substrate having a plurality of steps which comprises working a substrate according to the dry-etching process while making use of a plasma and more specifically the method of the present invention is characterized in that multiple steps are formed on a substrate by forming, on the principal surface of a substrate, a plurality of masks which are put on top of each other, which differ from each other in the materials used for forming them and which are likewise different, from each other, in the means for peeling off or removing the same; subjecting, in order, the substrate to dry-etching operations, through the plurality of the masks each carrying a desired shape so that the substrate has a plurality of steps, each of which reflects the shape of each mask.
  • multiple steps can be formed on a substrate in a high precision and according to a simple method if forming, on the surface of a substrate, a plurality of masks which are put on top of each other and which differ from each other in the materials used for forming them and then subjecting, in order, the substrate to dry-etching operations, through the plurality of the masks, so that the groove formed on the substrate through each dry-etching procedure can reflects the intended and desired shape of each mask.
  • a plurality of masks are formed, which are put on top of each other and which are different, from each other, in the means for peeling off the same and therefore, each of the masks can be peeled off without adversely affecting the underlying mask(s).
  • each of the foregoing plurality of masks is composed of by (1) a light-insensitive organic polymer material selected from the group consisting of phenolic resins, epoxy resins, acrylic resins, methacrylic resins, polyimides, and polyureas; (2) a photoresist having light-sensitivity and comprising, as a principal component, an organic polymer material selected from the group consisting of phenolic resins, epoxy resins, acrylic resins, methacrylic resins, polyimides, and polyureas; (3) a material selected from the group consisting of metals such as Cr, Ti, Pt, Au, Ag, Al, Ni, Cu, Fe, Zr and Ta, an alloy of at least two of them, as well as an oxide and a nitride of the metal listed above; or (4) a material selected from the group consisting of semiconductor film-forming materials, oxides and nitrides of the foregoing semiconductor film-forming materials, alloys of the foregoing semiconductor film-forming materials and
  • one of the foregoing masks is one made of a resin.
  • the term “resin” used herein is not restricted to any particular one insofar as it is constituted by an organic polymeric material, but it is preferably a member selected from the group consisting of the resins listed above. More preferably used herein are light-sensitive resins capable of being patterned through the photolithography technique, in other words, photoresists, since a reduced number of steps are required for the formation of a mask.
  • phenolic resins novolak resins or the like
  • polyimides polyureas
  • epoxy resins acrylic resins
  • methacrylic resins which are commonly easily available and have been listed above.
  • a mask consisting of such a resin material can be prepared according to, for instance, the method as will be detailed below.
  • One of the foregoing masks is preferably a Cr film or an aluminum film.
  • Cr may quite easily react with another substance to form a compound, it permits the formation of a stable film directly on a variety of materials, as will be clear from the fact that it has currently been used as a material for forming an adhesive layer and further the patterning thereof can be carried out according to the conventionally known means such as the lift-off technique, the wet etching technique or the dry etching technique.
  • one of the masks is preferably a silicon oxide or silicon nitride film.
  • This silicon oxide or silicon nitride film can be formed according to the physical vapor deposition technique such as the evaporation technique and the sputtering technique; the chemical vapor deposition technique; and the spin coating technique.
  • one of the masks is preferably a silicon film.
  • a silicon film can be produced according to a means, for instance, the physical vapor deposition technique such as the sputtering technique and the evaporation technique; the chemical vapor deposition technique; and the spin coating technique.
  • the method for the production of a multi-stepped substrate according to the present invention is one in which a multi-stepped substrate having a plurality of steps is produced by processing a substrate whose surface is composed of silicon according to the dry etching technique while making use of a plasma and in the method of the present invention, it is preferred to form a multi-stepped substrate having a plurality of steps by forming, on the principal surface of a substrate, a plurality of masks which are put on top of each other, which differ from each other in the materials used for forming them and which are likewise different, from each other, in the means for peeling off the same, in this respect, one of the plurality of masks being one consisting of a silicon oxide film or a silicon nitride film prepared according to the chemical vapor deposition technique; and then subjecting, in order, the substrate to dry-etching operations, through the plurality of masks each having a desired shape, so that the substrate has a plurality of steps each of which reflects the shape formed on each corresponding mask.
  • the method for the production of a multi-stepped substrate according to the present invention is one in which a multi-stepped substrate having a plurality of steps is produced by processing a silicon oxide substrate or a silicon nitride substrate according to the dry etching technique while making use of a plasma and in the method of the present invention, it is preferred to produce a multi-stepped substrate having a plurality of steps by forming, on the principal surface of the silicon oxide substrate or the silicon nitride substrate, a plurality of masks which are put on top of each other, which differ from each other in the materials used for forming them and which are likewise different, from each other, in the means for peeling off the same, in this respect, one of the plurality of masks being one consisting of a silicon film prepared according to the sputtering technique or the evaporation technique; and then subjecting, in order, the substrate, through the plurality of masks each having a desired shape, to dry-etching operations so that the substrate has a plurality of steps each of which
  • the present invention would permit the achievement of such an effect that a multi-stepped substrate can be produced by carrying out a plurality of processing steps for producing a plurality of steps on a substrate in high precision and by a simple method.
  • FIG. 1 is a schematic flow diagram showing the method of a preliminary experiment carried out for producing a multi-stepped substrate having steps.
  • FIG. 2 is a schematic flow diagram showing the details of the method for producing a multi-stepped substrate having a plurality of steps according to the present invention.
  • FIG. 3 is a diagram schematically showing the shape of a multi-stepped substrate having two steps observed after the working of the substrate and produced according to the procedure used in Example 1 and, more specifically, FIG. 3( a ) is a top plan view of a processed substrate and FIG. 3( b ) is a cross sectional view of a processed substrate.
  • FIG. 4 is a schematic flow diagram showing the processes for the production of a multi-stepped substrate having two steps, produced according to the procedures used in Example 1.
  • FIG. 5 is an electron microscope photograph showing the cross section of a silicon substrate obtained in Example 1.
  • FIG. 6 is a schematic flow diagram showing the processes for the production of a multi-stepped substrate having three steps, produced according to the procedures used in Example 2.
  • FIG. 7 is a schematic flow diagram showing the processes for the production of a multi-stepped substrate having three steps, produced according to the procedures used in Example 3.
  • multiple layers of masks each for protecting the corresponding region on the principal surface of a substrate, which should not be peeled off or removed through dry etching, are formed and then the substrate is dry etched in such a manner that the substrate has a plurality of steps each of which reflects the shape of each corresponding mask.
  • a fine groove-forming processing is carried out by forming, on a substrate, a plurality of masks which are put on top of each other, which differ from each other in the materials used for forming them and which are likewise different, from each other, in the means for peeling off the same and then subjecting the substrate, in order, to dry etching operations, while making use of a plasma, through the plurality of masks each having a desired shape, so that the substrate has a plurality of steps each of which reflects the shape of each corresponding mask and therefore, the method of the present invention permits the easy implementation of the groove-forming processing for the production of a multi-stepped substrate having a plurality of fine steps in high precision.
  • the substrate to be processed according to the present invention may be any one insomuch as it can be etched by the dry etching technique.
  • Examples of such substrate usable in the present invention include those selected from the group consisting of silicon substrates, silicon oxide substrates, silicon nitride substrates, sapphire substrates, glass substrates, quartz substrates, lithium tantalite substrates, lithium niobate substrates, silicon carbide substrates, gallium arsenide substrates, and gallium nitride substrates, as well as laminated substrates each comprising a laminate of these materials.
  • the layer which is not etched according to the method of the present invention is not limited in its material.
  • PLC waveguide substrate
  • SOA semiconductor optical amplifier
  • substrate provided thereon with a film made of a material which would never be damaged by a means for the peeling off or removal of the mask.
  • the shape of the substrate is not likewise limited to any particular one insomuch as a plurality of steps can be formed on the same and it may be one having a flat surface or those having convex and/or concave spherical surfaces.
  • the present invention thus permits the simple implementation of such a multi-stage groove-forming processing in high precision by forming or laminating, on the principal surface of a substrate, a plurality of masks and then subjecting the substrate to dry etching.
  • the material for forming the mask can properly selected depending on the kind of the substrate to be processed and a plurality of materials for forming the masks are selected and used in combination in such a manner that they are different, from each other, in the means for peeling off the same.
  • Examples of materials used for forming such a mask include (1) light-insensitive organic polymer materials selected from the group consisting of, for instance, phenolic resins (such as novolak resins), epoxy resins, acrylic resins, methacrylic resins, polyimides and polyureas; (2) light-sensitive photoresists each of which is a resin mainly comprising an organic polymer material selected from the group consisting of, for instance, phenolic resins (such as novolak resins), epoxy resins, acrylic resins, methacrylic resins, polyimides and polyureas, or commercially available photoresist materials selected from the group consisting of, for instance, OFPR (the trade name a product available from Tokyo Ohka Kogyo Co., Ltd.), TMSR-iN (the trade name of a product available from Tokyo Ohka Kogyo Co., Ltd.), SU-8 (the trade name of a product available from Kayaku Microchem K.K.), and ZPN (a product available from Zeon Corporation); (3) metals
  • the use of a material identical to that of the surface to be processed as a material for forming a mask is not preferred since the surface of the substrate to be processed may be damaged upon the peeling off of the mask.
  • silicon when the surface to be processed is composed of silicon, silicon is improper for use as a mask-forming material.
  • silicon oxide SiO 2
  • any material may be used as a mask-forming material inasmuch as the surface to be processed is not affected by the means for the peeling off of the mask-forming material.
  • the surface to be processed is composed of silicon
  • silicon oxide (SiO 2 ), silicon nitride (Si x N y ) or SiON as a material for forming a mask.
  • silicon oxide (SiO 2 ), silicon nitride (Si x N y ), or SiON silicon may be used as a mask material.
  • the mask composed of such a resin can be produced according to the following procedures. For instance, (1) there is placed, on a substrate, a thin plate or a film made of a metal or a resin, on which holes are formed in a desired shape, a resin as a mask-forming material is applied onto the plate or film according to, for instance, the spray coating technique, the spin coating technique or the evaporation technique and thereafter the thin plate or the film is removed to thus give a mask carrying an intended pattern.
  • a resin as a mask-forming material is applied onto a photoresist which has preliminarily been applied onto a substrate and then patterned, according to, for instance, the spray coating technique, the spin coating technique or the evaporation technique and then the resist is removed through the use of, for instance, an alkaline substance-containing solution (such as a resist-peeling off liquid 105 available from Tokyo Ohka Kogyo Co., Ltd.) to thus give a mask carrying an intended pattern.
  • an alkaline substance-containing solution such as a resist-peeling off liquid 105 available from Tokyo Ohka Kogyo Co., Ltd.
  • a resin as a mask-forming material is coated on a substrate, a photoresist layer is then formed on the resin film, the photoresist is patterned, followed by the O 2 ashing, the processing of the resin film through etching and the final removal of the photoresist layer using an alkaline substance-containing solution (such as a resist-peeling off liquid 105 available from Tokyo Ohka Kogyo Co., Ltd.) to thus give a mask carrying an intended pattern.
  • an alkaline substance-containing solution such as a resist-peeling off liquid 105 available from Tokyo Ohka Kogyo Co., Ltd.
  • Mask-Forming Material Film-Forming Method Means for Peeling off Resins for instance, Spin coating; Alkaline solutions; phenolic resins (such as spray coating; squeegee;; acidic solutions; novolak resins), epoxy and vapor deposition organic solvents such as resins, acrylic resins, polymerization acetone and methyl methacrylic resins, poly- pyrrolidone; imides, and polyureas;, surfactants; and oxygen photoresists each plasma ashing comprising the foregoing resin as a main component; and commercially available photoresists Metals such as Cr, Ti, Pt, PVD (evaporation, Wet etching techniques Au, Ag, Al, Ni, Cu, Fe, Zr sputtering); CVD using, for instance, a and Ta; alloys of at least (MOCVD); plating; sol- variety of etchants such two of these metals; and gel technique (such as as strong acids, strong oxides and n
  • a mask-forming material such a material that the surface to be processed receives any damage due to the action of a means for peeling off the resulting mask.
  • each mask can be peeled off after the completion of each etching procedure, using a means for peeling off each corresponding mask-forming material, without adversely affecting the underlying mask or masks.
  • the mask-forming materials are divided into several groups, in Table 1, but it is sufficient, in the present invention, that a plurality of mask-forming materials used in combination are selected in such a manner that the means for peeling off these mask-forming materials are different from each other, whether they belong to the same group or not.
  • the film of OFPR (the trade name of a product available from Tokyo Ohka Kogyo Co., Ltd.) can be peeled off using acetone or methylpyrrolidone; and the film of SU-8 (the trade name of a product available from Kayaku Micro-Chem K.K.) has tolerance to organic solvents such as acetone or methylpyrrolidone, but can be peeled off with a peeling liquid comprising a strong oxidizing agent or through the ashing with an oxygen plasma.
  • organic solvents such as acetone or methylpyrrolidone
  • the method of the present invention can be implemented without adversely affecting the underlying mask or masks, through the use of photoresists which are different from each other in the means for peeling off the same, even though these mask-forming materials belong to the same photoresist group.
  • Table 2 illustrates preferred relation between the material for forming a mask 1 (lower mask) and the means for the peeling off thereof; and the preferred relation between the material for forming a mask 2 (upper mask) and the means for the removal thereof, used when forming, in order, the mask 1 and the mask 2 to thus form a groove.
  • each mask-forming material and each means for the peeling off thereof are appropriately combined according to the foregoing manner, a plurality of masks are, in order, formed on a substrate and then the substrate is processed to form desired grooves.
  • Metal Pt Hydrofluoric Si acid 7
  • Metal Cr Cr-etching Si oxide (nitride): Hydrofluoric soln.** SiO (SiN) acid 8
  • Hydrofluoric Metal Cr Cr-etching (nitride): SiO acid soln.** (SiN) 9
  • Metal Ti Hydrofluoric Si alloy: WSi Aq. H 2 O 2 soln. acid 10 Alloy: WSi Aq. H 2 O 2 soln.
  • Metal oxide Acid•alkali Resin: polyimide Oxygen-ashing Cu 2 O 12
  • Metal oxide Hydrofluoric Photoresist: SU-8 Soln.
  • Metal oxide Al 2 O 3 Phosphoric acid Si 16 Metal oxide: Phosphoric Si oxide (nitride): Hydrofluoric Al 2 O 3 acid SiO (SiN) acid 17 Si oxide Hydrofluoric Resin: polyurea Oxygen-ashing (nitride): SiO acid (SiN) 18 Resin: Oxygen-ashing Si oxide (nitride): Hydrofluoric polyurea SiO (SiN) acid 19 Si oxide Hydrofluoric Photoresist: Oxygen-ashing (nitride): SiO acid TMSR-iN (SiN) 20 Photoresist: Oxygen-ashing Si oxide (nitride): Hydrofluoric TMSR-iN SiO (SiN) acid 21 Si oxide Hydrofluoric Semiconductor: Si Alkaline soln.
  • SiO acid (SiN) 22 Semiconductor: Alkaline soln. Si oxide (nitride): Hydrofluoric Si SiO (SiN) acid 23 Si oxide Hydrofluoric Si alloy: MoSi HF Ammonium + Aq. (nitride): SiO acid H 2 O 2 soln. (SiN) 24 Si alloy: MoSi HF Ammonium + Si oxide (nitride): Hydrofluoric Aq. H 2 O 2 soln. SiO (SiN) acid 25 Si alloy: MoSi HF Ammonium + Resin: acrylic Oxygen-ashing Aq. H 2 O 2 soln.
  • one of the plurality of masks is preferably made of silicon oxide or silicon nitride when subjecting a substrate having the surface consisting of silicon to the step-forming processing and, in this case, the processing method of the present invention permits the simple implementation of the processing for forming steps in higher precision.
  • the surface of a substrate is composed of silicon
  • the substrate may be one completely consisting of silicon or a laminated substrate whose upper most layer consists of silicon.
  • CVD technique chemical vapor deposition technique
  • a film subsequently serving as a first mask and consisting of a mask-forming material is formed on the surface of a substrate 201 according to the film-forming method listed in Table 1 and the film thus formed is subjected to a patterning operation using, for instance, the photolithography technique to thus form the first mask 202 (see FIGS. 2( a ) and 2 ( b )).
  • a film subsequently serving as a second mask and consisting of a mask-forming material which differs from the first mask 202 in the materials used for forming the same and which is likewise different, from the first mask 202 , in the means for peeling off the same is formed on the surface of the substrate 202 including the surface of the first mask thus obtained and the film thus formed is likewise subjected to a patterning operation to thus form a second mask 203 on the first mask 202 and a part of the surface of the substrate 201 (see FIG. 2( c )).
  • the resulting substrate is subjected to dry etching to form a groove 204 which reflects the shape of the second mask 203 and to thus give a substrate 201 a having the groove 204 (see FIG.
  • a groove 205 which reflects the shape of the first mask 202 is formed by again subjecting the substrate 201 a to dry etching to dig or burrow the substrate at the area of the groove 204 and the exposed surface of the substrate 201 a which are not covered with the first mask 202 and to thus give a substrate 201 b having steps or grooves 204 and 205 (see FIG. 2( f )).
  • the first mask 202 is peeled off to thus give the substrate 201 b having the grooves 204 and 205 which come in close contact with each other (see FIG. 2( g )).
  • the working for forming a multi-stepped groove having two steps can easily be implemented in high precision.
  • the procedures for forming grooves on a substrate are carried out in such an order that smaller grooves are first formed and then larger grooves are formed subsequent thereto and accordingly, the mask pattern used for the first etching is smaller than that used for the second etching.
  • the multistage processing for forming a groove having a plurality of steps can be implemented by forming a plurality of masks on a substrate, subjecting the substrate provided thereon with a plurality of masks to dry etching for each mask and subsequently peeling off each mask to thus dig a groove which reflects the shape or pattern of each mask, according to the process as shown in FIGS. 2( a ) to 2 ( g ).
  • the substrate on which the second mask 203 has been formed is subjected to dry etching according to the DRIE (deep reactive ion etching) technique, as has been described above.
  • DRIE deep reactive ion etching
  • the substrate introduced into a vacuum chamber is placed on an electrostatic chuck and adhered to the same, followed by the evacuation of the chamber to a vacuum, simultaneous with the introduction of an inert gas for cooling into the vacuum chamber under the substrate to thus cool the surface of the substrate in such a manner that the temperature of the surface is set at a predetermined level (for instance, 80 to 100° C.) during the electric discharge process.
  • a predetermined level for instance, 80 to 100° C.
  • a gas such as C 4 F 8
  • a desired electric power such as 500 W to 1 kW
  • a predetermined time period for instance, 5 to 20 seconds and preferably 15 seconds
  • a reactive gas such as SF 6 gas
  • a desired electric power such as 500 W to 1 kW
  • a predetermined electric power as the bias power for instance, 30 to 100 W
  • the protective film-forming step and the substrate-etching step as described above are repeated to carry out the etching of the substrate perpendicularly while protecting the side wall of the groove thus formed.
  • the etching gas at least one gas containing halogen atom selected from the group consisting of fluorine, chlorine, bromine and iodine, when using a substrate which is composed of, for instance, silicon, silicon oxide, silicon nitride, sapphire, lithium tantalate, lithium niobate, silicon carbide, gallium arsenide, and gallium nitride.
  • the etching gases for processing such a substrate further include, for instance, fluorine atom-containing gases such as SF 6 , CF 4 , NF 3 , SiF 4 , BF 3 , CBrF 3 , and XeF 2 ; chlorine atom-containing gases such as Cl 2 , CCl 4 , SiCl 4 , PCl 3 , BCl 3 , and HCl; and fluorine and chlorine atom-containing gases such as C l Cl m F n .
  • fluorine atom-containing gases such as SF 6 , CF 4 , NF 3 , SiF 4 , BF 3 , CBrF 3 , and XeF 2
  • chlorine atom-containing gases such as Cl 2 , CCl 4 , SiCl 4 , PCl 3 , BCl 3 , and HCl
  • fluorine and chlorine atom-containing gases such as C l Cl m F n .
  • etching gases for processing the same include, for instance, gases such as CHF 3 , CH 2 F 2 and CH 3 F; a mixed gas comprising CF 4 and H 2 ; gases represented by the formula: C x F y (y/x ⁇ 4) such as C 2 F 6 and C 3 F 8 .
  • the substrate sent in a vacuum chamber was first placed on an electrostatic chuck and adhered to the same, followed by the evacuation of the chamber to a vacuum, simultaneous with the introduction of an inert gas for cooling into the vacuum chamber under the substrate to thus cool the surface of the substrate in such a manner that the temperature of the surface was cooled down to a temperature ranging from 80 to 100° C. during the electric discharge process.
  • C 3 F 8 gas is introduced into the vacuum chamber to a pressure, within the chamber, ranging from 0.5 to 5 Pa (preferably 0.5 Pa) and then an electric power ranging from 500 W to 2 kW is applied to an antenna and an electric power ranging from 50 to 500 Was the bias power is applied to the substrate.
  • the substrate can be etched.
  • the degree of the change in its shape is preliminarily determined or confirmed and the depth of the groove to be formed through the first etching is beforehand adjusted, for instance, in such a manner that it is set at a level deeper than the intended one while taking into account the degree of the change in the depth.
  • the opening of the groove is so large that gases can sufficiently enter into the same, the difference in the etching rate can be reduced to some extent by implementing the etching while any bias power is scarcely applied.
  • the grooves may be formed through the substrate by digging the same through etching from the side of the substrate opposed to the principal surface thereof, in the case where the substrate has a large thickness.
  • FIG. 3( a ) showing the shape of the groove formed after the completion of the groove-forming processing of a substrate in this Example is a top plan view of the substrate
  • FIG. 3( b ) is a cross sectional view thereof.
  • this Example there were formed a rectangular groove 302 having a size of 180 ⁇ 6 ⁇ m and a circular groove 303 having a diameter ⁇ of 30 ⁇ m such that these grooves had a step.
  • a silicon oxide film 402 was first formed on a substrate 401 (silicon substrate) in a thickness of 1 ⁇ m, according to the plasma-assisted CVD technique using tetraethoxy silane (TEOS) gas (see FIGS. 4( a ) and 4 ( b )). Then a film of OFPR (the trade name of a product available from Tokyo Ohka Kogyo Co., Ltd.) as a photoresist was formed on the silicon oxide film 402 and the OFPR film was subjected to the patterning thereof using the photolithography technique to thus obtain a pattern 403 (see FIG.
  • TEOS tetraethoxy silane
  • the silicon oxide film 402 was dry-etched through the pattern 403 using the plasma of C 4 F 8 , C 3 F 8 to thus make the surface of the substrate 401 expose (see FIG. 4( d )).
  • the pattern 403 consisting of OFPR was peeled off from the substrate using acetone to thus make the corresponding pattern of the silicon oxide film expose and the latter was used as a first mask 402 ′ ( FIG. 4( e ))
  • an OFPR film was formed on the surface of the first mask 402 ′ and the exposed surface of the substrate 401 and then the OFPR film was subjected to a patterning operation according to the photolithography technique to thus form a second mask 404 (see FIG. 4( f )).
  • the substrate treated according to the foregoing processes was dry-etched according to the DRIE technique. More specifically, the substrate introduced into a vacuum chamber was first placed on an electrostatic chuck and adhered to the same, followed by the evacuation of the chamber to a vacuum, simultaneous with the introduction of an inert gas for cooling into the vacuum chamber at the lower part of the substrate to thus cool the surface of the substrate in such a manner that the temperature of the surface was set at a level ranging from 80 to 100° C., during the electric discharge process. Then C 4 F 8 gas was introduced into the vacuum chamber, while adjusting the pressure within the chamber to 2 Pa, an electric power ranging from 500 W to 1 kW was applied to an antenna for 15 seconds to thus form a protective film on the entire surface of the substrate.
  • SF 6 gas as a reactive gas was introduced into the vacuum chamber to a pressure within the chamber of 5 Pa, an electric power ranging from 500 W to 1 kW was applied to an antenna and an electric power, as the bias power, ranging from 30 to 100 W was applied to the substrate, each for 15 seconds to thus dry-etch the substrate so as to correctly reflect the shape of or the pattern formed on the second mask 404 .
  • the protective film-forming step and the substrate-etching (dry-etching) step as described above were repeated to carry out the etching of the substrate perpendicularly while protecting the side wall of the groove thus formed.
  • a substrate 401 b having a thus formed two-stage or two-step groove comprising a groove 406 a having a depth of 42.8 ⁇ m (t 2 ) and a groove 406 b having a depth of 12.2 ⁇ m (t 1 ) (see FIGS. 4( i ) and 4 ( j )).
  • the depths t 1 and t 2 were determined by the observation of FIG. 5 which is an electron microscope photograph showing a cross section of the silicon substrate processed according to the foregoing method.
  • This Example clearly shows that multiple steps can easily be processed and formed on a substrate in a high precision.
  • the depth of the foregoing groove 406 b is different from that of the groove 405 as shown in FIGS. 4( g ) and 4 ( h ). This is because there is a difference between the etching speed for forming the groove 405 which is obtained through the first etching procedure and that for the etching of the remaining portion which is etched through the first mask 402 ′, when carrying out the secondary etching procedure.
  • the substrate should previously be etched under such conditions as the depth of the resulting groove 405 is larger than the desired or initially designed one, while preliminarily taking into consideration the form or shape change based on the difference in the foregoing etching speed.
  • grooves each having any arbitrary depth can easily be formed depending on the applications of the resulting processed substrate if appropriately setting the etching conditions such as the etching speed. For instance, even if it is needed to form a plurality of grooves having depths identical to each other or to form a plurality of grooves having depths different from each other, such grooves can arbitrarily be formed by appropriately setting the etching conditions.
  • grooves having the same depth by setting such etching conditions that there is not observed any difference in the etching speed while, for instance, increasing the electric voltage applied to the substrate (the bias power) when carrying out the dry etching; or the depths of these grooves can arbitrarily be set by setting the etching conditions, while arbitrarily changing this bias power.
  • This Example is herein given for describing the processing or formation of a groove having three steps according to the working processes as shown in FIGS. 6( a ) to 6 ( o ).
  • an Al film 602 was formed on a silicon substrate 601 in a thickness of 1 ⁇ m according to the sputtering technique or the evaporation technique (see FIGS. 6( a ) and 6 ( b )). Then a film of OFPR (the trade name of a product available from Tokyo Ohka Kogyo Co., Ltd.) as a photoresist was formed on the Al film 602 and the OFPR film was subjected to the patterning thereof using the photolithography technique to thus obtain a pattern 603 (see FIG. 6( c )).
  • OFPR the trade name of a product available from Tokyo Ohka Kogyo Co., Ltd.
  • the Al film 602 was subjected to wet-etching using an Al-etching liquid (available from Kanto Chemical Co., Inc.) to thus make the surface of the substrate 601 expose (see FIG. 6( d )), while using the pattern 603 as a mask, and then the pattern 603 was peeled off with acetone to form a first mask 602 ′ made of an Al film (see FIG. 6( e )).
  • an Al-etching liquid available from Kanto Chemical Co., Inc.
  • a silicon oxide (SiO 2 ) film 604 was formed, in a thickness of 1 ⁇ m, on the surface of the first mask 602 ′ thus produced and the exposed surface of the substrate 601 using silane (SiH 4 ) gas and dinitrogen monoxide (N 2 O) gas according to the plasma-assisted CVD technique (see FIG. 6( f )).
  • An OFPR film was formed on the silicon oxide film 604 and then subjected to a patterning operation using the photolithography technique to thus give a pattern 605 (see FIG. 6( g )).
  • the silicon oxide film 604 was subjected to dry-etching through the pattern 605 as a mask using a C 3 F 8 plasma to thus make the surface of the substrate expose and then the pattern 605 was peeled off with acetone to thus give a second mask 604 ′ made of a silicon oxide film (see FIG. 6( h )).
  • An OFPR film was formed on the surface of the substrate thus obtained including the surface of the second mask 604 ′ and then subjected to a patterning operation through the photolithography technique to thus produce a third mask 606 (see FIG. 6( i )).
  • Example 1 the same procedures for the application of a protective film using the DRIE technique and the dry-etching process, under the same conditions, used in Example 1 were repeated except for using the substrate obtained above to thus carry out the etching of the substrate perpendicularly while protecting the side wall of the groove thus formed. More specifically, the dry-etching of the substrate was implemented in such a manner that the shape of the third mask 606 made of OFPR was reflected to thus form a substrate 601 a having a groove (see FIG. 6( j )).
  • the third mask 606 was peeled off using acetone (see FIG. 6( k )), subsequently the substrate was subjected to dry-etching under the same conditions used in the foregoing DRIE technique such that the shape of the second mask 604 ′ consisting of a silicon oxide film was reflected to thus give a substrate 601 b having a two-stepped groove (see FIG. 6( l )). Thereafter, the second mask 604 ′ was peeled off through the use of hydrofluoric acid (see FIG. 6( m )).
  • the substrate 601 b was subjected to dry-etching in such a manner that the shape the first mask 602 ′ made of an Al film was faithfully reflected to thus give a substrate 601 c having a three-stepped groove (see FIG. 6( n )) and thereafter the first mask 602 ′ was peeled off using an Al-etching liquid (see FIG. 6( o )).
  • This Example is herein given for describing the processing or formation of a groove having three steps according to the working processes as shown in FIGS. 7( a ) to 7 ( o ), while using a substrate, materials for forming masks and means for peeling off of the masks, which were all different from those used in Example 2.
  • a Cr film 702 was formed, in a thickness of 1 ⁇ m, on the surface of a silicon dioxide (SiO 2 ) substrate 701 according to the sputtering technique or the evaporation technique (see FIGS. 7( a ) and 7 ( b )).
  • a film of OFPR (the trade name of a product available from Tokyo Ohka Kogyo Co., Ltd.) as a photoresist was formed on the Cr film 702 and then the photoresist film was subjected to patterning according to the photolithography technique to thus form a pattern 703 (see FIG. 7( c )).
  • the Cr film 702 was etched through the pattern 703 as a mask according to the wet etching technique using a Cr-etching liquid (available from Hayashi Pure Chemical Id., Ltd.) to thus make the surface of the substrate 701 expose (see FIG. 7( d )). Thereafter, the pattern 703 was peeled off using acetone to thus obtain a first mask 702 ′ made of a Cr film (see FIG. 7( e )). An Si film 704 was formed on the surface of the first mask 702 ′ and the exposed surface of the substrate 701 according to the sputtering technique or the plasma-assisted CVD technique (see FIG. 7( f )).
  • An OFPR film was formed on the Si film 704 and then subjected to patterning using the photolithography technique to thus obtain a pattern 705 (see FIG. 7( g )). Then the Si film 704 was etched through the pattern 705 as a mask according to the wet etching procedure using an aqueous potassium hydroxide solution to thus make the surface of the substrate expose and subsequently the pattern 705 was peeled off using acetone to thus form a second mask 704 ′ consisting of an Si film (see FIG. 7( h )). Then an OFPR film was formed on the substrate thus produced including the surface of the second mask 704 ′ and subsequently subjected to patterning according to the photolithography technique to give a third mask 706 (see FIG. 7( i )).
  • the substrate treated according to the foregoing processes which was introduced into a vacuum chamber, was first placed on an electrostatic chuck and adhered to the same, followed by the evacuation of the chamber to a vacuum, simultaneous with the introduction of an inert gas for cooling into the vacuum chamber under the substrate to thus cool the surface of the substrate in such a manner that the temperature of the surface was set at a level ranging from 80 to 100° C., during the electric discharge process.
  • the third mask 706 was peeled off with acetone (see FIG. 7( k )) and subsequently the substrate was etched through the second mask 704 ′ consisting of an Si film according to the dry-etching procedures under the same process conditions used above in such a manner that the shape of the second mask was reflected on the substrate to thus give a substrate 701 b having a two-stepped groove (see FIG. 7( l )). Thereafter, the second mask 704 ′ consisting of a Si film was peeled off using an aqueous potassium hydroxide solution (see FIG. 7( m )).
  • the substrate 701 b was etched through the first mask 702 ′ consisting of a Cr film according to the dry-etching procedures under the same process conditions used above in such a manner that the shape of the first mask was reflected on the substrate to thus give a substrate 701 c having a three-stepped groove (see FIG. 7( n )) and then the first mask 702 ′ was peeled off using a Cr-etching liquid (available from Hayashi Pure Chemical Id., Ltd.) (see FIG. 7( o )).
  • a Cr-etching liquid available from Hayashi Pure Chemical Id., Ltd.
  • the present invention permits the easy implementation of a multistage step-forming processing of a substrate in a high precision and therefore, the present invention can be applied to the fine processing in the fields of, for instance, the production of semiconductor devices, micro-machines and MEMS devices.

Abstract

A multi-stepped substrate having a plurality of steps is produced by forming, on the principal surface of a substrate, a plurality of masks which are put on top of each other, which differ from each other in the materials used for forming them and which are likewise different, from each other, in the means for peeling off the same; and that the substrate is subjected, in order, to dry-etching operations through the plurality of masks each having a desired shape such that the substrate has a plurality of steps each of which reflects the shape of each corresponding mask.

Description

    TECHNICAL FIELD
  • The present invention relates to a method for the production of a multi-stepped substrate.
  • BACKGROUND ART
  • In the methods for the production of semiconductor devices, micro-machines and MEMS devices, various kinds of three-dimensional structures have been formed according to various combinations of, for instance, the patterning techniques while making use of the lithography technique; various film-forming techniques, for instance, the physical vapor deposition technique such as the vacuum deposition technique and the sputtering technique as well as the chemical vapor deposition techniques; etching techniques such as the wet etching technique and the dry etching technique; and the techniques for laminating or pasting at least two substrates.
  • It has long been known to form, on a substrate through the micromachining technique, various structures such as the formation of grooves, the formation of hollow structures of thin films and a curved bar structure by the etching of a substrate. According to such a micromachining technique, there have thus been developed various devices having a variety of functions while making the most use of the electrical and mechanical characteristic properties thereof. Further, there have recently been developed systems called μ-TAS (Total Analysis Systems) and Lab-on-a-chip (Laboratory on a chip), in which a fine chemical analysis, a chemical reaction and a biological reaction are carried out within a corresponding highly integrated device, while making use of a number of fine regions, within the device, formed according to the etching technique and such systems would have been put into practical use in the near future.
  • The etching techniques are divided into the wet etching techniques which make use of the chemical reaction of the substrate to be processed and an etching solution; and dry etching techniques in which a substrate to be processed is bombarded with reactive gas molecules, ions and/or radicals mainly in a vacuum. Moreover, the dry etching techniques are further divided into the reactive gas etching techniques wherein a substrate is etched by exposing the same to a reactive gas; and the plasma etching techniques in which a gas is converted into ions or radicals by the action of a plasma and a substrate is processed or etched with the ions or radicals thus generated. These methods have been properly selected and used depending on the materials of substrates to be processed and the intended shape thereof obtained after the process.
  • In the dry etching technique, there has conventionally been used a variety of substrate made of, for instance, silicon, silicon oxide and silicon nitride, and etching gases such as halogen atom-containing gases and there have been used etching gases, for instance, fluorine atom-containing gases such as SF6 and CF4, chlorine atom-containing gases such as Cl2 and CCl4; and fluorine and chlorine atom-containing gases, in the case of, in particular, a substrate made of silicon. In the dry etching technique, however, a problem arises such that any vertical side wall cannot be obtained after the etching treatment.
  • For this reason, there has been proposed a method in which, when a substrate such as a silicon substrate is processed according to the dry etching technique, CxFy gas is used as an etching gas and the etching operations are carried out while repeatedly forming a carbon fluoride film for the protection of the resulting side wall, in order to ensure the formation of a vertical side wall (see, for instance, Patent Document 1 specified below).
  • In addition, there has also been proposed the use of, as an etching gas, a gas such as CHF3, a mixed gas such as one comprising CF4 and H2, and a gas represented by the formula: CxFy (wherein y/x<4) (such as C2F6), when subjecting a silicon oxide substrate and a silicon nitride substrate to the dry-etching technique (see, for instance, Patent Document 2 specified below).
  • In the aforementioned dry etching technique, the shape (or pattern) and the material of a mask would greatly contribute to the shape obtained after the etching process and therefore, it is necessary to appropriately select the shape and material of the mask to be used to ensure the desired shape of the substrate obtained after the etching process.
  • Moreover, there has likewise been proposed a method for the production of a multistage-etched substrate, which makes use of a mask comprising a light-shielding film and a mask comprising a negative resist laying one on top of the other and the whole surface of the masks is exposed to light rays from the side of the same opposite to the patterned side thereof and then the surface of the film is exposed to light rays (see, for instance, Patent Document 3 specified below). In this case, however, it is necessary to use a step for exposing the whole surface from the side of the substrate in the etching procedure for forming each step. This accordingly results in an increase in the number of steps required for the completion of the entire etching operations and this method cannot necessarily process the substrate for forming steps in a high precision and in a simple manner.
  • PRIOR ART LITERATURE Patent Documents
    • Patent Document 1: TOKUHYO Hei 7-503815 (Claims);
    • Patent Document 2: Japanese Un-Examined Patent Publication 2005-298283 (Claims);
    • Patent Document 3: Japanese Un-Examined Patent Publication Hei 9-54420 (Claims).
    SUMMARY OF THE INVENTION Problems that the Invention is to Solve
  • The processes for processing semiconductor devices have required the use of an advanced technology and have been more sophisticated and more complicated as the degree of integration of such devices have recently been increased and the structure thereof have likewise recently become more complicated. In particular, in the most of the processes for forming a device having a three-dimensional structure such as the MEMS device, the mask-patterning process and the etching process have often been repeated over a number of times.
  • When a groove having complicated steps is formed, it would, for instance, be necessary to carry out the steps such as those shown in FIG. 1. In this connection, FIG. 1 schematically shows the steps for processing a silicon oxide substrate, which were carried out by the inventors of this invention as a preliminary examination.
  • First, a Cr film is formed on a substrate 101 according to the vacuum deposition technique or the sputtering technique and then the Cr film is patterned using the photolithography technique to thus form a first mask 102 (see FIGS. 1( a) and 1(b). Then the substrate is subjected to the dry etching using C3F8 gas as an etchant to thus form a first groove 103 having a depth sufficiently greater than the thickness of the first mask 102 (see FIG. 1( c)). Subsequently, the first mask 102 is peeled off using a liquid for peeling off or removing the Cr film (see FIG. 1( d)). A Cr film is then formed on the substrate thus obtained and subsequently subjected to a patterning process, according to the same procedures used above to thus form a second mask 104 which covers the surface of the substrate including a part of the bottom surface of the first groove 103 (see FIG. 1( e)). Then the substrate is subjected to the dry etching process to thus increase the depth of the first groove 103 at the portion which is not covered with the second mask 104 to thus form a second groove 105 (see FIG. 1( f)). Thereafter, the second mask 104 is peeled off using a liquid for peeling off the Cr film (see FIG. 1( g)). In this manner, a groove having two steps can be formed by repeating twice the step for forming a mask and the dry-etching step. According to this process, the thickness of the second mask 104 formed on side wall of the first groove 103 gradually becomes thinner in proportion to the depth of the groove, at the same time, the thickness of the second mask formed on the bottom of the groove likewise gradually becomes thinner towards the intersection between the side wall and the bottom of the groove, as shown in FIG. 1( e), and the thickness of the mask becomes more thinner at the intersection therebetween (see the portion denoted by A in this figure). Accordingly, it is quite difficult to form a second mask 104 having a uniform thickness throughout the whole area thereof. It was thus found that the following problem arises: The portion A wherein the thickness of the second mask 104 is thin among the area covered with the same is also etched in the second etching process as shown in FIG. 1( f) and the so-called “pores or cavities” (the portion indicated by B in this figure) are formed.
  • In addition, in the foregoing process, a photoresist is applied onto the surface of the substrate provided with a groove according to the spin coating technique and the photoresist is then patterned in order to form the second mask 104. However, the following inconveniences occur: The photoresist cannot uniformly be applied onto the entire surface thereof according to the spin coating technique, depending on the shape factors of the first groove 103 such as the depth and the width thereof and it is impossible to completely cover, with the photoresist, the bottom and sides of the groove and, in particular, the corners thereof and a problem accordingly arises such that the processing conditions are greatly limited. More specifically speaking, the following problems arise: In the case where a Cr film is used, as a mask, as in the foregoing case, the stepped portions and the side wall of the first groove 103 cannot sufficiently be covered with the Cr film for forming the second mask and with the photoresist used for patterning the Cr film according to the lithography technique to thus form the second Cr mask, defects are thus formed on or in the second mask and any desired shape or pattern of the groove cannot be obtained because the defective or damaged portions of the Cr film are undesirably deeply etched. This situation is also true when a photoresist is used as a mask. Furthermore, when the portion processed into the first groove comes in close contact with the second mask (a portion to be processed into a groove), the alignment thereof is insufficient in its precision and as a result, any desired structure cannot be obtained.
  • Accordingly, it is a general object of the present invention to solve the foregoing problems associated with the conventional techniques and the preliminary experiments and more specifically to provide a method for the production of a substrate having a multi-stepped structure, which comprises the steps of forming a mask comprising a plurality of layers on a substrate, repeatedly carrying out a dry etching process over the times corresponding to the number of the layers constituting the mask so that the groove or step processing is carried out in relation to the substrate and the substrate is precisely and simply processed to form a substrate having a multi-stepped structure.
  • Means for the Solution of the Problems
  • The inventors of this invention have found that the foregoing problems can be solved by first forming, on a substrate, two or more kinds of masks each comprising different materials and then separately subjecting each mask to dry etching or subjecting the masks to multistage etching procedures, whereby a groove having multiple number of steps can be processed or formed and have thus completed the present invention.
  • Thus, the method for the production of a multi-stepped substrate (a substrate having a multi-stepped structure) according to the present invention is one for producing a multi-stepped substrate having a plurality of steps which comprises working a substrate according to the dry-etching process while making use of a plasma and more specifically the method of the present invention is characterized in that multiple steps are formed on a substrate by forming, on the principal surface of a substrate, a plurality of masks which are put on top of each other, which differ from each other in the materials used for forming them and which are likewise different, from each other, in the means for peeling off or removing the same; subjecting, in order, the substrate to dry-etching operations, through the plurality of the masks each carrying a desired shape so that the substrate has a plurality of steps, each of which reflects the shape of each mask.
  • Thus, multiple steps can be formed on a substrate in a high precision and according to a simple method if forming, on the surface of a substrate, a plurality of masks which are put on top of each other and which differ from each other in the materials used for forming them and then subjecting, in order, the substrate to dry-etching operations, through the plurality of the masks, so that the groove formed on the substrate through each dry-etching procedure can reflects the intended and desired shape of each mask. In addition, according to the method of the present invention, a plurality of masks are formed, which are put on top of each other and which are different, from each other, in the means for peeling off the same and therefore, each of the masks can be peeled off without adversely affecting the underlying mask(s).
  • In the present invention, it is preferred that each of the foregoing plurality of masks is composed of by (1) a light-insensitive organic polymer material selected from the group consisting of phenolic resins, epoxy resins, acrylic resins, methacrylic resins, polyimides, and polyureas; (2) a photoresist having light-sensitivity and comprising, as a principal component, an organic polymer material selected from the group consisting of phenolic resins, epoxy resins, acrylic resins, methacrylic resins, polyimides, and polyureas; (3) a material selected from the group consisting of metals such as Cr, Ti, Pt, Au, Ag, Al, Ni, Cu, Fe, Zr and Ta, an alloy of at least two of them, as well as an oxide and a nitride of the metal listed above; or (4) a material selected from the group consisting of semiconductor film-forming materials, oxides and nitrides of the foregoing semiconductor film-forming materials, alloys of the foregoing semiconductor film-forming materials and tungsten or molybdenum, and SiON.
  • In the present invention, it is preferred that one of the foregoing masks is one made of a resin. The term “resin” used herein is not restricted to any particular one insofar as it is constituted by an organic polymeric material, but it is preferably a member selected from the group consisting of the resins listed above. More preferably used herein are light-sensitive resins capable of being patterned through the photolithography technique, in other words, photoresists, since a reduced number of steps are required for the formation of a mask. As principal components of the photoresists, there may be listed, for instance, phenolic resins (novolak resins or the like), polyimides, polyureas, epoxy resins, acrylic resins and methacrylic resins, which are commonly easily available and have been listed above. Alternatively, when using a resin which is not a photoresist, a mask consisting of such a resin material can be prepared according to, for instance, the method as will be detailed below.
  • One of the foregoing masks is preferably a Cr film or an aluminum film. This is because, Cr may quite easily react with another substance to form a compound, it permits the formation of a stable film directly on a variety of materials, as will be clear from the fact that it has currently been used as a material for forming an adhesive layer and further the patterning thereof can be carried out according to the conventionally known means such as the lift-off technique, the wet etching technique or the dry etching technique.
  • When the surface of the foregoing substrate to be processed is composed of silicon, one of the masks is preferably a silicon oxide or silicon nitride film. This silicon oxide or silicon nitride film can be formed according to the physical vapor deposition technique such as the evaporation technique and the sputtering technique; the chemical vapor deposition technique; and the spin coating technique.
  • In addition, when the surface of the foregoing substrate to be processed is composed of silicon oxide (for instance, quartz or a thermally oxidized film), silicon nitride or SiON, one of the masks is preferably a silicon film. Such a silicon film can be produced according to a means, for instance, the physical vapor deposition technique such as the sputtering technique and the evaporation technique; the chemical vapor deposition technique; and the spin coating technique.
  • The method for the production of a multi-stepped substrate according to the present invention is one in which a multi-stepped substrate having a plurality of steps is produced by processing a substrate whose surface is composed of silicon according to the dry etching technique while making use of a plasma and in the method of the present invention, it is preferred to form a multi-stepped substrate having a plurality of steps by forming, on the principal surface of a substrate, a plurality of masks which are put on top of each other, which differ from each other in the materials used for forming them and which are likewise different, from each other, in the means for peeling off the same, in this respect, one of the plurality of masks being one consisting of a silicon oxide film or a silicon nitride film prepared according to the chemical vapor deposition technique; and then subjecting, in order, the substrate to dry-etching operations, through the plurality of masks each having a desired shape, so that the substrate has a plurality of steps each of which reflects the shape formed on each corresponding mask. In this case, it is preferred that one of the masks consists of a resin.
  • Alternatively, the method for the production of a multi-stepped substrate according to the present invention is one in which a multi-stepped substrate having a plurality of steps is produced by processing a silicon oxide substrate or a silicon nitride substrate according to the dry etching technique while making use of a plasma and in the method of the present invention, it is preferred to produce a multi-stepped substrate having a plurality of steps by forming, on the principal surface of the silicon oxide substrate or the silicon nitride substrate, a plurality of masks which are put on top of each other, which differ from each other in the materials used for forming them and which are likewise different, from each other, in the means for peeling off the same, in this respect, one of the plurality of masks being one consisting of a silicon film prepared according to the sputtering technique or the evaporation technique; and then subjecting, in order, the substrate, through the plurality of masks each having a desired shape, to dry-etching operations so that the substrate has a plurality of steps each of which reflects the shape of on each corresponding mask. In this case, it is preferred that one of the masks consists of a resin.
  • EFFECTS OF THE INVENTION
  • The present invention would permit the achievement of such an effect that a multi-stepped substrate can be produced by carrying out a plurality of processing steps for producing a plurality of steps on a substrate in high precision and by a simple method.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic flow diagram showing the method of a preliminary experiment carried out for producing a multi-stepped substrate having steps.
  • FIG. 2 is a schematic flow diagram showing the details of the method for producing a multi-stepped substrate having a plurality of steps according to the present invention.
  • FIG. 3 is a diagram schematically showing the shape of a multi-stepped substrate having two steps observed after the working of the substrate and produced according to the procedure used in Example 1 and, more specifically, FIG. 3( a) is a top plan view of a processed substrate and FIG. 3( b) is a cross sectional view of a processed substrate.
  • FIG. 4 is a schematic flow diagram showing the processes for the production of a multi-stepped substrate having two steps, produced according to the procedures used in Example 1.
  • FIG. 5 is an electron microscope photograph showing the cross section of a silicon substrate obtained in Example 1.
  • FIG. 6 is a schematic flow diagram showing the processes for the production of a multi-stepped substrate having three steps, produced according to the procedures used in Example 2.
  • FIG. 7 is a schematic flow diagram showing the processes for the production of a multi-stepped substrate having three steps, produced according to the procedures used in Example 3.
  • MODE FOR CARRYING OUT THE INVENTION
  • According to an embodiment of the method for the production of a multi-stepped substrate relating to the present invention, multiple layers of masks each for protecting the corresponding region on the principal surface of a substrate, which should not be peeled off or removed through dry etching, are formed and then the substrate is dry etched in such a manner that the substrate has a plurality of steps each of which reflects the shape of each corresponding mask. In this case, a fine groove-forming processing is carried out by forming, on a substrate, a plurality of masks which are put on top of each other, which differ from each other in the materials used for forming them and which are likewise different, from each other, in the means for peeling off the same and then subjecting the substrate, in order, to dry etching operations, while making use of a plasma, through the plurality of masks each having a desired shape, so that the substrate has a plurality of steps each of which reflects the shape of each corresponding mask and therefore, the method of the present invention permits the easy implementation of the groove-forming processing for the production of a multi-stepped substrate having a plurality of fine steps in high precision.
  • The substrate to be processed according to the present invention may be any one insomuch as it can be etched by the dry etching technique. Examples of such substrate usable in the present invention include those selected from the group consisting of silicon substrates, silicon oxide substrates, silicon nitride substrates, sapphire substrates, glass substrates, quartz substrates, lithium tantalite substrates, lithium niobate substrates, silicon carbide substrates, gallium arsenide substrates, and gallium nitride substrates, as well as laminated substrates each comprising a laminate of these materials. In the case of such a laminated substrate, the layer which is not etched according to the method of the present invention is not limited in its material. Moreover, it is also possible to use, for instance, a waveguide substrate (PLC) as a packaging base for mounting a semiconductor optical amplifier (SOA) as an optical semiconductor. Furthermore, there can likewise be used a substrate provided thereon with a film made of a material which would never be damaged by a means for the peeling off or removal of the mask.
  • The shape of the substrate is not likewise limited to any particular one insomuch as a plurality of steps can be formed on the same and it may be one having a flat surface or those having convex and/or concave spherical surfaces.
  • As has been discussed above, the present invention thus permits the simple implementation of such a multi-stage groove-forming processing in high precision by forming or laminating, on the principal surface of a substrate, a plurality of masks and then subjecting the substrate to dry etching.
  • The material for forming the mask can properly selected depending on the kind of the substrate to be processed and a plurality of materials for forming the masks are selected and used in combination in such a manner that they are different, from each other, in the means for peeling off the same.
  • Examples of materials used for forming such a mask include (1) light-insensitive organic polymer materials selected from the group consisting of, for instance, phenolic resins (such as novolak resins), epoxy resins, acrylic resins, methacrylic resins, polyimides and polyureas; (2) light-sensitive photoresists each of which is a resin mainly comprising an organic polymer material selected from the group consisting of, for instance, phenolic resins (such as novolak resins), epoxy resins, acrylic resins, methacrylic resins, polyimides and polyureas, or commercially available photoresist materials selected from the group consisting of, for instance, OFPR (the trade name a product available from Tokyo Ohka Kogyo Co., Ltd.), TMSR-iN (the trade name of a product available from Tokyo Ohka Kogyo Co., Ltd.), SU-8 (the trade name of a product available from Kayaku Microchem K.K.), and ZPN (a product available from Zeon Corporation); (3) metals selected from the group consisting of Cr, Ti, Pt, Au, Ag, Al, Ni, Cu, Fe, Zr and Ta, alloys of at least two of the foregoing metals, and oxides and nitrides of these metals (such as Al2O3, and AlN); (4) semiconductor film-forming materials such as silicon (Si) oxides of these semiconductor film-forming materials (such as SiO2) and nitrides thereof (such as SixNy), alloys of these semiconductor film-forming materials with, for instance, tungsten and molybdenum (such as WSi, MoSi) and SiON. Among them, preferred are photoresists and Cr while taking into consideration the convenience in use.
  • In this respect, however, the use of a material identical to that of the surface to be processed as a material for forming a mask is not preferred since the surface of the substrate to be processed may be damaged upon the peeling off of the mask. In other words, when the surface to be processed is composed of silicon, silicon is improper for use as a mask-forming material. Similarly, when the surface to be processed is composed of quartz or SiO2, it is not preferred to use silicon oxide (SiO2) as a mask-forming material. Moreover, when using a combination of materials which are damaged by the means for the peeling off of the mask, for instance, when using the combination of the surface to be processed, which is composed of quartz or SiO2, with SiN or SiON as a mask-forming material, the surface to be processed is damaged by the means for the peeling off of the mask and therefore, SiN or SiON is improper for use as a mask-forming material. On the other hand, any material may be used as a mask-forming material inasmuch as the surface to be processed is not affected by the means for the peeling off of the mask-forming material. For instance, if the surface to be processed is composed of silicon, it is possible to select silicon oxide (SiO2), silicon nitride (SixNy) or SiON as a material for forming a mask. Alternatively, when the surface to be processed is composed of, for instance, silicon oxide (SiO2), silicon nitride (SixNy), or SiON, silicon may be used as a mask material.
  • When using, as a mask material, a light-insensitive organic polymer material selected from the resins listed above, the mask composed of such a resin can be produced according to the following procedures. For instance, (1) there is placed, on a substrate, a thin plate or a film made of a metal or a resin, on which holes are formed in a desired shape, a resin as a mask-forming material is applied onto the plate or film according to, for instance, the spray coating technique, the spin coating technique or the evaporation technique and thereafter the thin plate or the film is removed to thus give a mask carrying an intended pattern. (2) A resin as a mask-forming material is applied onto a photoresist which has preliminarily been applied onto a substrate and then patterned, according to, for instance, the spray coating technique, the spin coating technique or the evaporation technique and then the resist is removed through the use of, for instance, an alkaline substance-containing solution (such as a resist-peeling off liquid 105 available from Tokyo Ohka Kogyo Co., Ltd.) to thus give a mask carrying an intended pattern. (3) A resin as a mask-forming material is coated on a substrate, a photoresist layer is then formed on the resin film, the photoresist is patterned, followed by the O2 ashing, the processing of the resin film through etching and the final removal of the photoresist layer using an alkaline substance-containing solution (such as a resist-peeling off liquid 105 available from Tokyo Ohka Kogyo Co., Ltd.) to thus give a mask carrying an intended pattern.
  • Now, the relations between the film-forming techniques used for the foregoing mask-forming materials and the means for the peeling off thereof are summarized in the following Table 1, but they are simply listed by way of example and it is a matter of course that the present invention is not restricted to these techniques inasmuch as they can be used for the achievement of the intended purposes of the present invention.
  • TABLE 1
    Mask-Forming Material Film-Forming Method Means for Peeling off
    Resins, for instance, Spin coating; Alkaline solutions;
    phenolic resins (such as spray coating; squeegee;; acidic solutions;
    novolak resins), epoxy and vapor deposition organic solvents such as
    resins, acrylic resins, polymerization acetone and methyl
    methacrylic resins, poly- pyrrolidone;
    imides, and polyureas;, surfactants; and oxygen
    photoresists each plasma ashing
    comprising the foregoing
    resin as a main component;
    and commercially
    available photoresists
    Metals such as Cr, Ti, Pt, PVD (evaporation, Wet etching techniques
    Au, Ag, Al, Ni, Cu, Fe, Zr sputtering); CVD using, for instance, a
    and Ta; alloys of at least (MOCVD); plating; sol- variety of etchants such
    two of these metals; and gel technique (such as as strong acids, strong
    oxides and nitrides of spin coating); thermal oxidation; alkalis, potassium
    these metals and direct; iodide, and ferric
    nitridation using, for chloride; and dry
    instance, ammonia etching techniques
    Semiconductor film- PVD, various kinds of Wet etching techniques
    forming materials such as CVD techniques, using, for instance,
    silicon, oxides and thermal oxidation, strong alkaline aq.
    nitrides of these thermal nitridation solutions, potassium
    semiconductor film- hydroxide aq. solution,
    forming materials, alloys tetramethyl-ammonium
    of these semiconductor hydroxide aq. solution,
    film forming materials hydrofluoric acid, aq.
    with, for instance, hydrogen peroxide
    tungsten and molybdenum; solution; and dry
    and SiON etching techniques
  • Moreover, as has already been described above, it is not preferred to use, as a mask-forming material, such a material that the surface to be processed receives any damage due to the action of a means for peeling off the resulting mask.
  • If selecting desired mask-forming materials among those illustrated in the foregoing Table 1; appropriately combining desired mask-forming materials thus selected, which are different, from each other, in the means for peeling off the same; forming, on the principal surface of a substrate, a plurality of masks which are put on top of each other and which differ from each other in the materials used for forming them; and then subjecting the substrate to dry etching through each individual mask, each mask can be peeled off after the completion of each etching procedure, using a means for peeling off each corresponding mask-forming material, without adversely affecting the underlying mask or masks. In this respect, the mask-forming materials are divided into several groups, in Table 1, but it is sufficient, in the present invention, that a plurality of mask-forming materials used in combination are selected in such a manner that the means for peeling off these mask-forming materials are different from each other, whether they belong to the same group or not.
  • For instance, in the case of a photoresist, the film of OFPR (the trade name of a product available from Tokyo Ohka Kogyo Co., Ltd.) can be peeled off using acetone or methylpyrrolidone; and the film of SU-8 (the trade name of a product available from Kayaku Micro-Chem K.K.) has tolerance to organic solvents such as acetone or methylpyrrolidone, but can be peeled off with a peeling liquid comprising a strong oxidizing agent or through the ashing with an oxygen plasma. As has been discussed above, the method of the present invention can be implemented without adversely affecting the underlying mask or masks, through the use of photoresists which are different from each other in the means for peeling off the same, even though these mask-forming materials belong to the same photoresist group.
  • Then, examples of typical combination of the mask-forming materials listed in the foregoing Table 1 and the means for the peeling off thereof will be summarized in the following Table 2, while taking the implementation of the processing or formation of a multi-stepped groove having two steps by way of example. Table 2 illustrates preferred relation between the material for forming a mask 1 (lower mask) and the means for the peeling off thereof; and the preferred relation between the material for forming a mask 2 (upper mask) and the means for the removal thereof, used when forming, in order, the mask 1 and the mask 2 to thus form a groove. Even in the case where implementing the formation of a multi-stepped groove having more than two steps, it would be sufficient that each mask-forming material and each means for the peeling off thereof are appropriately combined according to the foregoing manner, a plurality of masks are, in order, formed on a substrate and then the substrate is processed to form desired grooves.
  • TABLE 2
    Mask 1 (lower mask) Mask 2 (upper mask)
    Mask-forming Mask-peeling Mask-forming Mask-peeling
    No. material off Means material off Means
    1 Metal: Al Al-etching Resin: polyimide Oxygen-ashing
    soln.*
    2 Metal: Ni Ni-etching Photoresist: OFPR Organic solvent
    soln.*
    3 Metal: Au Au-etching Metal: Cr Cr-etching
    soln.* soln.**
    4 Metal: Cr Cr-etching Metal: Au Au-etching
    soln.** soln.*
    5 Metal: Pt Hydrofluoric Semiconductor: Si Alkaline soln.
    acid
    6 Semiconductor: Alkaline soln. Metal: Pt Hydrofluoric
    Si acid
    7 Metal: Cr Cr-etching Si oxide (nitride): Hydrofluoric
    soln.** SiO (SiN) acid
    8 Si oxide Hydrofluoric Metal: Cr Cr-etching
    (nitride): SiO acid soln.**
    (SiN)
    9 Metal: Ti Hydrofluoric Si alloy: WSi Aq. H2O2 soln.
    acid
    10 Alloy: WSi Aq. H2O2 soln. Metal: Ti Hydrofluoric
    acid
    11 Metal oxide: Acid•alkali Resin: polyimide Oxygen-ashing
    Cu2O
    12 Metal oxide: Hydrofluoric Photoresist: SU-8 Soln. of
    Ta2O5 acid Oxidizing agent
    13 Metal oxide: Phosphoric Metal: Cu Ferric chloride
    Al2O3 acid
    14 Metal oxide: Phosphoric Semiconductor: Si Alkaline soln.
    Al2O3 acid
    15 Semiconductor: Alkaline soln. Metal oxide: Al2O3 Phosphoric acid
    Si
    16 Metal oxide: Phosphoric Si oxide (nitride): Hydrofluoric
    Al2O3 acid SiO (SiN) acid
    17 Si oxide Hydrofluoric Resin: polyurea Oxygen-ashing
    (nitride): SiO acid
    (SiN)
    18 Resin: Oxygen-ashing Si oxide (nitride): Hydrofluoric
    polyurea SiO (SiN) acid
    19 Si oxide Hydrofluoric Photoresist: Oxygen-ashing
    (nitride): SiO acid TMSR-iN
    (SiN)
    20 Photoresist: Oxygen-ashing Si oxide (nitride): Hydrofluoric
    TMSR-iN SiO (SiN) acid
    21 Si oxide Hydrofluoric Semiconductor: Si Alkaline soln.
    (nitride): SiO acid
    (SiN)
    22 Semiconductor: Alkaline soln. Si oxide (nitride): Hydrofluoric
    Si SiO (SiN) acid
    23 Si oxide Hydrofluoric Si alloy: MoSi HF Ammonium + Aq.
    (nitride): SiO acid H2O2 soln.
    (SiN)
    24 Si alloy: MoSi HF Ammonium + Si oxide (nitride): Hydrofluoric
    Aq. H2O2 soln. SiO (SiN) acid
    25 Si alloy: MoSi HF Ammonium + Resin: acrylic Oxygen-ashing
    Aq. H2O2 soln. resin
    26 Si alloy: MoSi HF Ammonium + Photoresist: ZPN Organic solvent
    Aq. H2O2 soln.
    27 Si alloy: WSi Aq. H2O2 soln. Metal: Cr Cr-etching
    soln.**
    28 Si alloy: WSi Aq. H2O2 soln. Metal oxide: Cr2O3 Acid
    29 Si alloy: WSi Aq. H2O2 soln. Semiconductor: Si Alkaline soln.
    30 Semiconductor: Alkaline soln. Si alloy: WSi Aq. H2O2 soln.
    Si
    *Available from Kanto Chemical Co., Ltd.
    **Available from Hayashi Pure Chemical Ind., Ltd.
  • According to the present invention, one of the plurality of masks is preferably made of silicon oxide or silicon nitride when subjecting a substrate having the surface consisting of silicon to the step-forming processing and, in this case, the processing method of the present invention permits the simple implementation of the processing for forming steps in higher precision. If the surface of a substrate is composed of silicon, the substrate may be one completely consisting of silicon or a laminated substrate whose upper most layer consists of silicon. In addition, it would be sufficient to adopt, for instance, the sputtering technique or the chemical vapor deposition technique (CVD technique) as a means for forming a film of silicon oxide or silicon nitride.
  • As an example of the method for producing a multi-stepped substrate having a plurality of steps according to the present invention, wherein the steps are formed on a substrate by processing the same through etching while using a substrate, a mask-forming material, a film-forming method and a means for the peeling off thereof as has been described above, a multi-stage method for the production of a groove having two steps will be described below in detail with reference to the working processes as shown in FIGS. 2( a) to 2(g).
  • First of all, a film subsequently serving as a first mask and consisting of a mask-forming material is formed on the surface of a substrate 201 according to the film-forming method listed in Table 1 and the film thus formed is subjected to a patterning operation using, for instance, the photolithography technique to thus form the first mask 202 (see FIGS. 2( a) and 2(b)). Then a film subsequently serving as a second mask and consisting of a mask-forming material which differs from the first mask 202 in the materials used for forming the same and which is likewise different, from the first mask 202, in the means for peeling off the same is formed on the surface of the substrate 202 including the surface of the first mask thus obtained and the film thus formed is likewise subjected to a patterning operation to thus form a second mask 203 on the first mask 202 and a part of the surface of the substrate 201 (see FIG. 2( c)). Then the resulting substrate is subjected to dry etching to form a groove 204 which reflects the shape of the second mask 203 and to thus give a substrate 201 a having the groove 204 (see FIG. 2( d)). Thereafter, the second mask is peeled off so that the first mask 202 and the surface of the substrate 201 a are thus exposed (FIG. 2( e)). At this stage, a groove 205 which reflects the shape of the first mask 202 is formed by again subjecting the substrate 201 a to dry etching to dig or burrow the substrate at the area of the groove 204 and the exposed surface of the substrate 201 a which are not covered with the first mask 202 and to thus give a substrate 201 b having steps or grooves 204 and 205 (see FIG. 2( f)). Finally, the first mask 202 is peeled off to thus give the substrate 201 b having the grooves 204 and 205 which come in close contact with each other (see FIG. 2( g)). Thus the working for forming a multi-stepped groove having two steps can easily be implemented in high precision.
  • As has been described above, according to the present invention, the procedures for forming grooves on a substrate are carried out in such an order that smaller grooves are first formed and then larger grooves are formed subsequent thereto and accordingly, the mask pattern used for the first etching is smaller than that used for the second etching.
  • Even in the case where implementing the formation of a multi-stepped groove having at least three steps, the multistage processing for forming a groove having a plurality of steps can be implemented by forming a plurality of masks on a substrate, subjecting the substrate provided thereon with a plurality of masks to dry etching for each mask and subsequently peeling off each mask to thus dig a groove which reflects the shape or pattern of each mask, according to the process as shown in FIGS. 2( a) to 2(g).
  • The dry etching process for forming the grooves 204 and 205 in the foregoing method will hereunder be described in more detail.
  • The substrate on which the second mask 203 has been formed is subjected to dry etching according to the DRIE (deep reactive ion etching) technique, as has been described above. First, the substrate introduced into a vacuum chamber is placed on an electrostatic chuck and adhered to the same, followed by the evacuation of the chamber to a vacuum, simultaneous with the introduction of an inert gas for cooling into the vacuum chamber under the substrate to thus cool the surface of the substrate in such a manner that the temperature of the surface is set at a predetermined level (for instance, 80 to 100° C.) during the electric discharge process. Then a gas (such as C4F8) for forming a protective film is introduced into the vacuum chamber, while adjusting the pressure within the chamber such that it is maintained at a predetermined level (for instance, 1 to 10 Pa and preferably 2 Pa), a desired electric power (such as 500 W to 1 kW) is applied to an antenna over a predetermined time period (for instance, 5 to 20 seconds and preferably 15 seconds) to thus form a protective film on the entire surface of the substrate. Thereafter, a reactive gas (such as SF6 gas) is introduced into the vacuum chamber to a predetermined pressure within the chamber (for instance, 1 to 10 Pa and preferably 5 Pa), a desired electric power (such as 500 W to 1 kW) is applied to an antenna and a predetermined electric power as the bias power (for instance, 30 to 100 W) is applied to the substrate, each over a predetermined time period (for instance, 5 to 20 seconds and preferably 15 seconds) to thus etch the substrate. The protective film-forming step and the substrate-etching step as described above are repeated to carry out the etching of the substrate perpendicularly while protecting the side wall of the groove thus formed.
  • In the foregoing dry etching procedure, it is preferred to use, as the etching gas, at least one gas containing halogen atom selected from the group consisting of fluorine, chlorine, bromine and iodine, when using a substrate which is composed of, for instance, silicon, silicon oxide, silicon nitride, sapphire, lithium tantalate, lithium niobate, silicon carbide, gallium arsenide, and gallium nitride.
  • When using a silicon substrate, usable herein as the etching gases for processing such a substrate further include, for instance, fluorine atom-containing gases such as SF6, CF4, NF3, SiF4, BF3, CBrF3, and XeF2; chlorine atom-containing gases such as Cl2, CCl4, SiCl4, PCl3, BCl3, and HCl; and fluorine and chlorine atom-containing gases such as ClClmFn.
  • Moreover, when subjecting a silicon oxide substrate or a silicon nitride substrate to dry etching, usable herein as the etching gases for processing the same include, for instance, gases such as CHF3, CH2F2 and CH3F; a mixed gas comprising CF4 and H2; gases represented by the formula: CxFy (y/x<4) such as C2F6 and C3F8.
  • When subjecting the foregoing silicon dioxide (SiO2) substrate to dry etching, the substrate sent in a vacuum chamber was first placed on an electrostatic chuck and adhered to the same, followed by the evacuation of the chamber to a vacuum, simultaneous with the introduction of an inert gas for cooling into the vacuum chamber under the substrate to thus cool the surface of the substrate in such a manner that the temperature of the surface was cooled down to a temperature ranging from 80 to 100° C. during the electric discharge process. Then C3F8 gas is introduced into the vacuum chamber to a pressure, within the chamber, ranging from 0.5 to 5 Pa (preferably 0.5 Pa) and then an electric power ranging from 500 W to 2 kW is applied to an antenna and an electric power ranging from 50 to 500 Was the bias power is applied to the substrate. Thus, the substrate can be etched.
  • With regard to the effect of the multistage etching according to the present invention on the shape of the resulting groove, if the etching conditions for each etching step are identical to one another, the depth of the groove obtained after the first etching step would be reduced after the completion of the subsequent etching step. For this reason, in order to obtain a groove having an intended shape (or depth), the degree of the change in its shape is preliminarily determined or confirmed and the depth of the groove to be formed through the first etching is beforehand adjusted, for instance, in such a manner that it is set at a level deeper than the intended one while taking into account the degree of the change in the depth. Moreover, if the opening of the groove is so large that gases can sufficiently enter into the same, the difference in the etching rate can be reduced to some extent by implementing the etching while any bias power is scarcely applied.
  • In the foregoing, there has been described a case wherein a plurality of masks are formed on the principal surface of a substrate and grooves having desired depths are formed, in order, through dry etching procedures to thus leave the bottom surface of the substrate. However, the etching is continued till even the bottom of the substrate is removed or the grooves are formed through the substrate, depending on the applications of the multi-stepped substrate produced according to the present invention. Alternatively, the grooves may be formed through the substrate by digging the same through etching from the side of the substrate opposed to the principal surface thereof, in the case where the substrate has a large thickness.
  • Example 1
  • In this Example, there will be described the processed shape of a substrate 301 as shown in FIGS. 3( a) and 3(b) as well as the processing for forming a groove having two steps which is carried out according to the process as shown in FIGS. 4( a) to 4(j).
  • FIG. 3( a) showing the shape of the groove formed after the completion of the groove-forming processing of a substrate in this Example is a top plan view of the substrate, and FIG. 3( b) is a cross sectional view thereof. In this Example, there were formed a rectangular groove 302 having a size of 180×6 μm and a circular groove 303 having a diameter φ of 30 μm such that these grooves had a step.
  • Referring now to FIG. 4, a silicon oxide film 402 was first formed on a substrate 401 (silicon substrate) in a thickness of 1 μm, according to the plasma-assisted CVD technique using tetraethoxy silane (TEOS) gas (see FIGS. 4( a) and 4(b)). Then a film of OFPR (the trade name of a product available from Tokyo Ohka Kogyo Co., Ltd.) as a photoresist was formed on the silicon oxide film 402 and the OFPR film was subjected to the patterning thereof using the photolithography technique to thus obtain a pattern 403 (see FIG. 4( c)), then the silicon oxide film 402 was dry-etched through the pattern 403 using the plasma of C4F8, C3F8 to thus make the surface of the substrate 401 expose (see FIG. 4( d)). Thereafter, the pattern 403 consisting of OFPR was peeled off from the substrate using acetone to thus make the corresponding pattern of the silicon oxide film expose and the latter was used as a first mask 402′ (FIG. 4( e)) Subsequently, an OFPR film was formed on the surface of the first mask 402′ and the exposed surface of the substrate 401 and then the OFPR film was subjected to a patterning operation according to the photolithography technique to thus form a second mask 404 (see FIG. 4( f)).
  • The substrate treated according to the foregoing processes was dry-etched according to the DRIE technique. More specifically, the substrate introduced into a vacuum chamber was first placed on an electrostatic chuck and adhered to the same, followed by the evacuation of the chamber to a vacuum, simultaneous with the introduction of an inert gas for cooling into the vacuum chamber at the lower part of the substrate to thus cool the surface of the substrate in such a manner that the temperature of the surface was set at a level ranging from 80 to 100° C., during the electric discharge process. Then C4F8 gas was introduced into the vacuum chamber, while adjusting the pressure within the chamber to 2 Pa, an electric power ranging from 500 W to 1 kW was applied to an antenna for 15 seconds to thus form a protective film on the entire surface of the substrate. Thereafter, SF6 gas as a reactive gas was introduced into the vacuum chamber to a pressure within the chamber of 5 Pa, an electric power ranging from 500 W to 1 kW was applied to an antenna and an electric power, as the bias power, ranging from 30 to 100 W was applied to the substrate, each for 15 seconds to thus dry-etch the substrate so as to correctly reflect the shape of or the pattern formed on the second mask 404. The protective film-forming step and the substrate-etching (dry-etching) step as described above were repeated to carry out the etching of the substrate perpendicularly while protecting the side wall of the groove thus formed.
  • Thus, there was formed a groove 405 having a depth of 20.5 μm, which faithfully reflected the shape of the second mask 404 consisting of OFPR and a substrate 401 a having the groove 405 thus formed (see FIG. 4( g)). Then the second mask 404 was peeled off using acetone (see FIG. 4( h)), thereafter the substrate was subjected to dry-etching through the first mask 402′ consisting of silicon oxide according to the DRIE technique under the same conditions used above and subsequently the mask 402′ was peeled off. As a result, there was obtained a substrate 401 b having a thus formed two-stage or two-step groove comprising a groove 406 a having a depth of 42.8 μm (t2) and a groove 406 b having a depth of 12.2 μm (t1) (see FIGS. 4( i) and 4(j)). In this connection, the depths t1 and t2 (see FIG. 3( b)) were determined by the observation of FIG. 5 which is an electron microscope photograph showing a cross section of the silicon substrate processed according to the foregoing method.
  • This Example clearly shows that multiple steps can easily be processed and formed on a substrate in a high precision.
  • The depth of the foregoing groove 406 b is different from that of the groove 405 as shown in FIGS. 4( g) and 4(h). This is because there is a difference between the etching speed for forming the groove 405 which is obtained through the first etching procedure and that for the etching of the remaining portion which is etched through the first mask 402′, when carrying out the secondary etching procedure. When it is necessary to make the depths of these two grooves identical to one another, it would be sufficient that the substrate should previously be etched under such conditions as the depth of the resulting groove 405 is larger than the desired or initially designed one, while preliminarily taking into consideration the form or shape change based on the difference in the foregoing etching speed.
  • In respect of the depths of these grooves, grooves each having any arbitrary depth can easily be formed depending on the applications of the resulting processed substrate if appropriately setting the etching conditions such as the etching speed. For instance, even if it is needed to form a plurality of grooves having depths identical to each other or to form a plurality of grooves having depths different from each other, such grooves can arbitrarily be formed by appropriately setting the etching conditions. Moreover, it is also possible to form grooves having the same depth by setting such etching conditions that there is not observed any difference in the etching speed while, for instance, increasing the electric voltage applied to the substrate (the bias power) when carrying out the dry etching; or the depths of these grooves can arbitrarily be set by setting the etching conditions, while arbitrarily changing this bias power.
  • Example 2
  • This Example is herein given for describing the processing or formation of a groove having three steps according to the working processes as shown in FIGS. 6( a) to 6(o).
  • First of all, an Al film 602 was formed on a silicon substrate 601 in a thickness of 1 μm according to the sputtering technique or the evaporation technique (see FIGS. 6( a) and 6(b)). Then a film of OFPR (the trade name of a product available from Tokyo Ohka Kogyo Co., Ltd.) as a photoresist was formed on the Al film 602 and the OFPR film was subjected to the patterning thereof using the photolithography technique to thus obtain a pattern 603 (see FIG. 6( c)). The Al film 602 was subjected to wet-etching using an Al-etching liquid (available from Kanto Chemical Co., Inc.) to thus make the surface of the substrate 601 expose (see FIG. 6( d)), while using the pattern 603 as a mask, and then the pattern 603 was peeled off with acetone to form a first mask 602′ made of an Al film (see FIG. 6( e)). A silicon oxide (SiO2) film 604 was formed, in a thickness of 1 μm, on the surface of the first mask 602′ thus produced and the exposed surface of the substrate 601 using silane (SiH4) gas and dinitrogen monoxide (N2O) gas according to the plasma-assisted CVD technique (see FIG. 6( f)).
  • An OFPR film was formed on the silicon oxide film 604 and then subjected to a patterning operation using the photolithography technique to thus give a pattern 605 (see FIG. 6( g)). The silicon oxide film 604 was subjected to dry-etching through the pattern 605 as a mask using a C3F8 plasma to thus make the surface of the substrate expose and then the pattern 605 was peeled off with acetone to thus give a second mask 604′ made of a silicon oxide film (see FIG. 6( h)). An OFPR film was formed on the surface of the substrate thus obtained including the surface of the second mask 604′ and then subjected to a patterning operation through the photolithography technique to thus produce a third mask 606 (see FIG. 6( i)).
  • According to Example 1, the same procedures for the application of a protective film using the DRIE technique and the dry-etching process, under the same conditions, used in Example 1 were repeated except for using the substrate obtained above to thus carry out the etching of the substrate perpendicularly while protecting the side wall of the groove thus formed. More specifically, the dry-etching of the substrate was implemented in such a manner that the shape of the third mask 606 made of OFPR was reflected to thus form a substrate 601 a having a groove (see FIG. 6( j)).
  • Then the third mask 606 was peeled off using acetone (see FIG. 6( k)), subsequently the substrate was subjected to dry-etching under the same conditions used in the foregoing DRIE technique such that the shape of the second mask 604′ consisting of a silicon oxide film was reflected to thus give a substrate 601 b having a two-stepped groove (see FIG. 6( l)). Thereafter, the second mask 604′ was peeled off through the use of hydrofluoric acid (see FIG. 6( m)). Finally, the substrate 601 b was subjected to dry-etching in such a manner that the shape the first mask 602′ made of an Al film was faithfully reflected to thus give a substrate 601 c having a three-stepped groove (see FIG. 6( n)) and thereafter the first mask 602′ was peeled off using an Al-etching liquid (see FIG. 6( o)).
  • Thus, there could easily be obtained a multi-stepped substrate provided with a groove having three steps, in a high precision.
  • Example 3
  • This Example is herein given for describing the processing or formation of a groove having three steps according to the working processes as shown in FIGS. 7( a) to 7(o), while using a substrate, materials for forming masks and means for peeling off of the masks, which were all different from those used in Example 2.
  • First of all, a Cr film 702 was formed, in a thickness of 1 μm, on the surface of a silicon dioxide (SiO2) substrate 701 according to the sputtering technique or the evaporation technique (see FIGS. 7( a) and 7(b)). A film of OFPR (the trade name of a product available from Tokyo Ohka Kogyo Co., Ltd.) as a photoresist was formed on the Cr film 702 and then the photoresist film was subjected to patterning according to the photolithography technique to thus form a pattern 703 (see FIG. 7( c)). The Cr film 702 was etched through the pattern 703 as a mask according to the wet etching technique using a Cr-etching liquid (available from Hayashi Pure Chemical Id., Ltd.) to thus make the surface of the substrate 701 expose (see FIG. 7( d)). Thereafter, the pattern 703 was peeled off using acetone to thus obtain a first mask 702′ made of a Cr film (see FIG. 7( e)). An Si film 704 was formed on the surface of the first mask 702′ and the exposed surface of the substrate 701 according to the sputtering technique or the plasma-assisted CVD technique (see FIG. 7( f)).
  • An OFPR film was formed on the Si film 704 and then subjected to patterning using the photolithography technique to thus obtain a pattern 705 (see FIG. 7( g)). Then the Si film 704 was etched through the pattern 705 as a mask according to the wet etching procedure using an aqueous potassium hydroxide solution to thus make the surface of the substrate expose and subsequently the pattern 705 was peeled off using acetone to thus form a second mask 704′ consisting of an Si film (see FIG. 7( h)). Then an OFPR film was formed on the substrate thus produced including the surface of the second mask 704′ and subsequently subjected to patterning according to the photolithography technique to give a third mask 706 (see FIG. 7( i)).
  • The substrate treated according to the foregoing processes, which was introduced into a vacuum chamber, was first placed on an electrostatic chuck and adhered to the same, followed by the evacuation of the chamber to a vacuum, simultaneous with the introduction of an inert gas for cooling into the vacuum chamber under the substrate to thus cool the surface of the substrate in such a manner that the temperature of the surface was set at a level ranging from 80 to 100° C., during the electric discharge process. Then C3F8 gas was introduced into the vacuum chamber, while adjusting the pressure within the chamber to 0.5 Pa, an electric power ranging from 500 W to 2 kW was applied to an antenna and an electric power ranging from 50 to 500 W, as a bias power, was applied to the substrate to thus dry-etch the substrate so as to correctly reflect the shape of the third mask 706. Thus, there was obtained a substrate 701 a having a groove (see FIG. 7( j)).
  • Then the third mask 706 was peeled off with acetone (see FIG. 7( k)) and subsequently the substrate was etched through the second mask 704′ consisting of an Si film according to the dry-etching procedures under the same process conditions used above in such a manner that the shape of the second mask was reflected on the substrate to thus give a substrate 701 b having a two-stepped groove (see FIG. 7( l)). Thereafter, the second mask 704′ consisting of a Si film was peeled off using an aqueous potassium hydroxide solution (see FIG. 7( m)). Finally, the substrate 701 b was etched through the first mask 702′ consisting of a Cr film according to the dry-etching procedures under the same process conditions used above in such a manner that the shape of the first mask was reflected on the substrate to thus give a substrate 701 c having a three-stepped groove (see FIG. 7( n)) and then the first mask 702′ was peeled off using a Cr-etching liquid (available from Hayashi Pure Chemical Id., Ltd.) (see FIG. 7( o)).
  • Thus, there could easily be obtained a multi-stepped substrate provided with a groove having three steps in a high precision.
  • INDUSTRIAL APPLICABILITY
  • The present invention permits the easy implementation of a multistage step-forming processing of a substrate in a high precision and therefore, the present invention can be applied to the fine processing in the fields of, for instance, the production of semiconductor devices, micro-machines and MEMS devices.
  • EXPLANATION OF SYMBOLS
      • 101 . . . Substrate; 102 . . . First mask; 103 . . . First groove; 104 . . . Second mask; 105 . . . Second groove; 201, 201 a, 201 b . . . Substrate; 202 . . . First mask; 203 . . . Secondmask; 204, 205 . . . Groove; 301 . . . Substrate; 302, 303 . . . Groove; 401, 401 a, 401 b . . . Substrate 402 . . . Silicon oxide film; 402′ . . . First mask; 403 . . . Pattern; 404 . . . Second mask; 405, 406 a, 406 b . . . Groove; 601, 601 a, 601 b, 601 c . . . Silicon substrate; 602 . . . Al Film; 602′ . . . First mask; 603 . . . Pattern; 604 . . . Silicon oxide film; 604′ . . . Second mask; 605 . . . Pattern; 606 . . . Thirdmask; 701, 701 a, 701 b, 701 c . . . Silicon dioxide substrate; 702 . . . Cr Film; 702′ . . . First mask; 703 . . . Pattern; 704 . . . Si Film; 704′ . . . Second mask; 705 . . . Pattern; 706 . . . Third mask.

Claims (20)

1-11. (canceled)
12. A method for the production of a multi-stepped substrate having a plurality of steps which processes a substrate according to the dry-etching process while making use of a plasma, which comprises forming multiple steps on the substrate by forming, on the principal surface of the substrate, a plurality of masks which are put on top of each other, which differ from each other in materials used for forming the masks and which are likewise different, from each other, in a means for peeling off the same; subjecting, in order, the substrate to dry-etching operations, while reflecting a desired shape of each of the plurality of masks.
13. The method for the production of a multi-stepped substrate as set forth in claim 12, wherein each of the plurality of masks is composed of (1) a light-insensitive organic polymer material selected from the group consisting of phenolic resins, epoxy resins, acrylic resins, methacrylic resins, polyimides, and polyureas; (2) a photoresist having light-sensitivity and comprising, as a principal component, an organic polymer material selected from the group consisting of phenolic resins, epoxy resins, acrylic resins, methacrylic resins, polyimides, and polyureas; (3) a material selected from the group consisting of metals such as Cr, Ti, Pt, Au, Ag, Al, Ni, Cu, Fe, Zr and Ta, an alloy of at least two of them, as well as an oxide and a nitride of the metal listed above; or (4) a material selected from the group consisting of semiconductor film-forming materials, oxides and nitrides of the semiconductor film-forming materials, alloys of the semiconductor film-forming materials and tungsten or molybdenum, and SiON.
14. The method for the production of a multi-stepped substrate as set forth in claim 12, wherein one of the masks is composed of a resin.
15. The method for the production of a multi-stepped substrate as set forth in claim 12, wherein one of the masks is composed of a chromium film or an aluminum film.
16. The method for the production of a multi-stepped substrate as set forth in claim 14, wherein one of the masks is composed of a chromium film or an aluminum film.
17. The method for the production of a multi-stepped substrate as set forth in claim 12, wherein the substrate has the surface consisting of silicon, and one of the masks is composed of a silicon oxide film or a silicon nitride film.
18. The method for the production of a multi-stepped substrate as set forth in claim 13, wherein the substrate has the surface consisting of silicon, and one of the masks is composed of a silicon oxide film or a silicon nitride film.
19. The method for the production of a multi-stepped substrate as set forth in claim 14, wherein the substrate has the surface consisting of silicon, and one of the masks is composed of a silicon oxide film or a silicon nitride film.
20. The method for the production of a multi-stepped substrate as set forth in claim 15, wherein the substrate has the surface consisting of silicon, and one of the masks is composed of a silicon oxide film or a silicon nitride film.
21. The method for the production of a multi-stepped substrate as set forth in claim 16, wherein the substrate has the surface consisting of silicon, and one of the masks is composed of a silicon oxide film or a silicon nitride film.
22. The method for the production of a multi-stepped substrate as set forth in claim 17, wherein the silicon oxide film or the silicon nitride film used as one of the masks is formed by the evaporation technique, the physical vapor deposition technique, the chemical vapor deposition technique or the spin coating technique.
23. The method for the production of a multi-stepped substrate as set forth in claim 12, wherein the substrate has the surface consisting of silicon oxide, silicon nitride or SiON, and one of the masks is composed of a silicon film.
24. The method for the production of a multi-stepped substrate as set forth in claim 13, wherein the substrate has the surface consisting of silicon oxide, silicon nitride or SiON, and one of the masks is composed of a silicon film.
25. The method for the production of a multi-stepped substrate as set forth in claim 14, wherein the substrate has the surface consisting of silicon oxide, silicon nitride or SiON, and one of the masks is composed of a silicon film.
26. The method for the production of a multi-stepped substrate as set forth in claim 15, wherein the substrate has the surface consisting of silicon oxide, silicon nitride or SiON, and one of the masks is composed of a silicon film.
27. A method for the production of a multi-stepped substrate which produces a multi-stepped substrate having a plurality of steps by processing a substrate whose surface is composed of silicon according to the dry etching technique while making use of a plasma, wherein the multi-stepped substrate is produced by forming, on the principal surface of a substrate, a plurality of masks which are put on top of each other, which differ from each other in materials used for forming them, which are likewise different, from each other, in a means for the same and in which one of the plurality of masks is one consisting of a silicon oxide film or a silicon nitride film prepared according to the evaporation technique, the physical vapor deposition technique, the chemical vapor deposition technique or the spin coating technique; and that the substrate is subjected, in order, to dry-etching operations, while reflecting a desired shape of each mask.
28. The method for the production of a multi-stepped substrate as set forth in claim 27, wherein one of the masks is composed of a resin.
29. A method for the production of a multi-stepped substrate which produces a multi-stepped substrate having a plurality of steps by processing a silicon oxide substrate or a silicon nitride substrate according to the dry etching technique while making use of a plasma, wherein the multi-stepped substrate is produced by forming, on the principal surface of the silicon oxide substrate or the silicon nitride substrate, a plurality of masks which are put on top of each other, which differ from each other in materials used for forming them, which are likewise different, from each other, in a means for peeling the same, and in which one of the plurality of masks is one consisting of a silicon film prepared according to the sputtering technique or the evaporation technique; and that the substrate is subjected, in order, to dry-etching operations, while reflecting a desired shape of each mask.
30. The method for the production of a multi-stepped substrate as set forth in claim 29, wherein one of the masks is composed of a resin.
US12/999,235 2008-06-17 2009-06-15 Method for the production of multi-stepped substrate Abandoned US20110089141A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008-158538 2008-06-17
JP2008158538 2008-06-17
PCT/JP2009/060883 WO2009154173A1 (en) 2008-06-17 2009-06-15 Method for manufacturing multistep substrate

Publications (1)

Publication Number Publication Date
US20110089141A1 true US20110089141A1 (en) 2011-04-21

Family

ID=41434089

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/999,235 Abandoned US20110089141A1 (en) 2008-06-17 2009-06-15 Method for the production of multi-stepped substrate

Country Status (7)

Country Link
US (1) US20110089141A1 (en)
EP (1) EP2306498A1 (en)
JP (1) JPWO2009154173A1 (en)
KR (1) KR20110028506A (en)
CN (1) CN102067289A (en)
TW (1) TW201003782A (en)
WO (1) WO2009154173A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110316145A1 (en) * 2010-06-29 2011-12-29 National Central University Nano/micro-structure and fabrication method thereof
US10081541B2 (en) 2012-04-01 2018-09-25 Hangzhou Silan Integrated Circuit Co., Ltd Multiple silicon trenches forming method for MEMS sealing cap wafer and etching mask structure thereof
US20190207012A1 (en) * 2017-12-28 2019-07-04 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures and high electron mobility transistors
US10910232B2 (en) 2017-09-29 2021-02-02 Samsung Display Co., Ltd. Copper plasma etching method and manufacturing method of display panel
US11220742B2 (en) * 2019-03-22 2022-01-11 International Business Machines Corporation Low temperature lift-off patterning for glassy carbon films
US11380551B2 (en) 2016-08-29 2022-07-05 Tokyo Electron Limited Method of processing target object

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102431965A (en) * 2011-12-15 2012-05-02 上海先进半导体制造股份有限公司 Method for manufacturing convex post structure
CN102543682A (en) * 2012-02-17 2012-07-04 上海先进半导体制造股份有限公司 Method for forming multistage deep step
CN102642806A (en) * 2012-04-28 2012-08-22 上海先进半导体制造股份有限公司 Method for manufacturing semiconductor multi-step structure
HK1199605A2 (en) * 2014-04-23 2015-07-03 Master Dynamic Ltd A method of manufacture of micro components, and components formed by such a process
CN104445051A (en) * 2014-12-02 2015-03-25 中国科学院半导体研究所 Method for preparing multi-stage steps on substrate
CN106032265A (en) * 2015-03-12 2016-10-19 中芯国际集成电路制造(上海)有限公司 A semiconductor device, a preparing method thereof and an electronic device thereof
CN106032268A (en) * 2015-03-20 2016-10-19 中芯国际集成电路制造(上海)有限公司 Method for manufacturing MEMS device
CN107546114B (en) * 2017-09-07 2020-01-03 中国工程物理研究院电子工程研究所 Preparation method of junction terminal of SiC high-voltage power device
CN109956446A (en) * 2019-03-08 2019-07-02 武汉耐普登科技有限公司 A kind of step structure and its manufacturing method
CN110112079B (en) * 2019-04-29 2021-06-15 中国电子科技集团公司第十三研究所 Method for evaluating etching process parameters of step sample block
CN110329985B (en) * 2019-06-18 2022-02-15 长沙新材料产业研究院有限公司 Diamond surface complex structure and preparation method thereof
CN111099554A (en) * 2019-11-29 2020-05-05 杭州臻镭微波技术有限公司 Manufacturing method of TSV (through silicon Via) ground interconnection hole structure under silicon cavity in micro-system module
JP7333752B2 (en) * 2019-12-25 2023-08-25 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
CN111071986B (en) * 2019-12-30 2023-05-16 北京航空航天大学 Method for preparing silicon carbide multi-stage microstructure with assistance of laser modification and acceleration sensor
CN111204704B (en) * 2020-01-14 2023-09-19 无锡韦感半导体有限公司 Manufacturing method of step structure
KR102125712B1 (en) 2020-04-07 2020-06-23 황인 Manufacturing method for multistep substrate using wet etching
JP7045428B2 (en) * 2020-09-02 2022-03-31 東京エレクトロン株式会社 How to process the object to be processed
CN112758888B (en) * 2021-02-20 2022-12-27 北京航天控制仪器研究所 Processing technology of silicon MEMS microstructure with through silicon via
CN116344437B (en) * 2023-04-13 2023-10-20 中芯先锋集成电路制造(绍兴)有限公司 Substrate processing method and semiconductor device manufacturing method

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5635337A (en) * 1992-05-20 1997-06-03 International Business Machines Method for producing a multi-step structure in a substrate
US5929469A (en) * 1996-12-25 1999-07-27 Kabushiki Kaisha Toshiba Contact holes of a different pitch in an application specific integrated circuit
US6242344B1 (en) * 2000-02-07 2001-06-05 Institute Of Microelectronics Tri-layer resist method for dual damascene process
US20010029105A1 (en) * 2000-03-31 2001-10-11 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20030186534A1 (en) * 2002-03-26 2003-10-02 Hidetaka Nambu Method for manufacturing semiconductor device using dual-damascene techniques
US20040063331A1 (en) * 2000-06-26 2004-04-01 Takuya Mori Etching method
US20040137711A1 (en) * 2002-10-30 2004-07-15 Takatoshi Deguchi Method for manufacturing semiconductor device
US20050104150A1 (en) * 2003-11-14 2005-05-19 Tokyo Electron Limited Structure comprising tunable anti-reflective coating and method of forming thereof
US20060046438A1 (en) * 2004-08-31 2006-03-02 Kirby Kyle K Wafer reinforcement structure and methods of fabrication
US20060049499A1 (en) * 1998-03-20 2006-03-09 Yoshinori Miyaki Semiconductor device and its manufacturing method
US20090229856A1 (en) * 2005-11-18 2009-09-17 Replisaurus Technologies Ab Master Electrode and Method of Forming the Master Electrode

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61172336A (en) * 1985-01-25 1986-08-04 Mitsubishi Electric Corp Formation of electrode opening of semiconductor device
DE4241045C1 (en) 1992-12-05 1994-05-26 Bosch Gmbh Robert Process for anisotropic etching of silicon
JP3415335B2 (en) 1995-08-11 2003-06-09 大日本印刷株式会社 Method for manufacturing multi-stage etching type substrate
JP4094174B2 (en) * 1999-06-04 2008-06-04 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
JP2001168188A (en) * 1999-12-06 2001-06-22 Sony Corp Manufacturing method of semiconductor device
JP2004106199A (en) * 2002-09-13 2004-04-08 Hitachi Koki Co Ltd Method for forming nozzle of ink jet head
JP4399310B2 (en) 2004-04-13 2010-01-13 株式会社アルバック Dry etching method, microlens array and manufacturing method thereof

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5635337A (en) * 1992-05-20 1997-06-03 International Business Machines Method for producing a multi-step structure in a substrate
US5641610A (en) * 1992-05-20 1997-06-24 International Business Machines Corporation Method for producing a multi-step structure in a substrate
US5929469A (en) * 1996-12-25 1999-07-27 Kabushiki Kaisha Toshiba Contact holes of a different pitch in an application specific integrated circuit
US20060049499A1 (en) * 1998-03-20 2006-03-09 Yoshinori Miyaki Semiconductor device and its manufacturing method
US6242344B1 (en) * 2000-02-07 2001-06-05 Institute Of Microelectronics Tri-layer resist method for dual damascene process
US20010029105A1 (en) * 2000-03-31 2001-10-11 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20040063331A1 (en) * 2000-06-26 2004-04-01 Takuya Mori Etching method
US20030186534A1 (en) * 2002-03-26 2003-10-02 Hidetaka Nambu Method for manufacturing semiconductor device using dual-damascene techniques
US20040137711A1 (en) * 2002-10-30 2004-07-15 Takatoshi Deguchi Method for manufacturing semiconductor device
US20050104150A1 (en) * 2003-11-14 2005-05-19 Tokyo Electron Limited Structure comprising tunable anti-reflective coating and method of forming thereof
US20050230677A1 (en) * 2003-11-14 2005-10-20 Tokyo Electron Limited Structure comprising tunable anti-reflective coating and method of forming thereof
US20060046438A1 (en) * 2004-08-31 2006-03-02 Kirby Kyle K Wafer reinforcement structure and methods of fabrication
US20090229856A1 (en) * 2005-11-18 2009-09-17 Replisaurus Technologies Ab Master Electrode and Method of Forming the Master Electrode

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110316145A1 (en) * 2010-06-29 2011-12-29 National Central University Nano/micro-structure and fabrication method thereof
US10081541B2 (en) 2012-04-01 2018-09-25 Hangzhou Silan Integrated Circuit Co., Ltd Multiple silicon trenches forming method for MEMS sealing cap wafer and etching mask structure thereof
US10513431B2 (en) 2012-04-01 2019-12-24 Hangzhou Silan Integrated Circuit Co., Ltd Multiple silicon trenches forming method for MEMS sealing cap wafer and etching mask structure thereof
US11380551B2 (en) 2016-08-29 2022-07-05 Tokyo Electron Limited Method of processing target object
US11658036B2 (en) 2016-08-29 2023-05-23 Tokyo Electron Limited Apparatus for processing substrate
US10910232B2 (en) 2017-09-29 2021-02-02 Samsung Display Co., Ltd. Copper plasma etching method and manufacturing method of display panel
US20190207012A1 (en) * 2017-12-28 2019-07-04 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures and high electron mobility transistors
US11121229B2 (en) * 2017-12-28 2021-09-14 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures and high electron mobility transistors
US11682713B2 (en) 2017-12-28 2023-06-20 Vanguard International Semiconductor Corporation Methods of fabricating semiconductor structures with two-step etching
US11220742B2 (en) * 2019-03-22 2022-01-11 International Business Machines Corporation Low temperature lift-off patterning for glassy carbon films

Also Published As

Publication number Publication date
CN102067289A (en) 2011-05-18
KR20110028506A (en) 2011-03-18
JPWO2009154173A1 (en) 2011-12-01
TW201003782A (en) 2010-01-16
WO2009154173A1 (en) 2009-12-23
EP2306498A1 (en) 2011-04-06

Similar Documents

Publication Publication Date Title
US20110089141A1 (en) Method for the production of multi-stepped substrate
KR100290852B1 (en) method for etching
JPH07263291A (en) Substrate for integrated parts constituted of thin film and its manufacture
TW200806567A (en) Method of deep etching
CN112408314A (en) Multi-layer mask step-by-step etching method
US6555441B2 (en) Method of aligning structures on opposite sides of a wafer
US8293124B2 (en) Method of multi-stage substrate etching and terahertz oscillator manufactured using the same method
US20230102861A1 (en) A low-cost method of making a hard mask for high resolution and low dimensional variations for the fabrication and manufacturing of micro- and nano-devices and - systems
KR100327336B1 (en) Method of etching material layer used for microstructure body and method of manufacturing lithography mask using therewith
US9373772B2 (en) CMOS integrated method for the release of thermopile pixel on a substrate by using anisotropic and isotropic etching
JP4465090B2 (en) Manufacturing method of mask member
JP3089870B2 (en) Processing method of silicon substrate
WO2005079454A2 (en) Polymer via etching process
US7045463B2 (en) Method of etching cavities having different aspect ratios
JP2003060254A (en) Method of manufacturing microdevice
US7205243B2 (en) Process for producing a mask on a substrate
JP3923136B2 (en) Semiconductor device and manufacturing method thereof
JP3271390B2 (en) Transmission mask for charged beam exposure and method of manufacturing the same
JPH08176799A (en) Selective film forming mask and production thereof
JP2786259B2 (en) Method for manufacturing semiconductor device
JPH1167716A (en) Etching of substrate containing silicon oxide as main component
Chang et al. Lift-off methods for MEMS devices
JPH07122534A (en) Etching method for platinum
JPH03261143A (en) Manufacture of semiconductor device
JPS60235436A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: ULVAC, INC., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TANAKA, AI;KIRA, ATSUSHI;FUWA, KOH;REEL/FRAME:025512/0460

Effective date: 20101125

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION