US20100167508A1 - Method for introducing impurities and apparatus for introducing impurities - Google Patents

Method for introducing impurities and apparatus for introducing impurities Download PDF

Info

Publication number
US20100167508A1
US20100167508A1 US12/718,549 US71854910A US2010167508A1 US 20100167508 A1 US20100167508 A1 US 20100167508A1 US 71854910 A US71854910 A US 71854910A US 2010167508 A1 US2010167508 A1 US 2010167508A1
Authority
US
United States
Prior art keywords
plasma
impurities
substrate
irradiating
introducing impurities
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US12/718,549
Other versions
US8222128B2 (en
Inventor
Yuichiro Sasaki
Bunji Mizuno
Cheng-Guo Jin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Original Assignee
Panasonic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp filed Critical Panasonic Corp
Priority to US12/718,549 priority Critical patent/US8222128B2/en
Publication of US20100167508A1 publication Critical patent/US20100167508A1/en
Application granted granted Critical
Publication of US8222128B2 publication Critical patent/US8222128B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus

Definitions

  • the present invention relates to a method for introducing impurities in a manufacturing process of a semiconductor or the like.
  • an oxide film where atoms constituting the solid substrate are combined with atmospheric oxygen, or a film, where the atoms are terminated with hydrogen, is generally formed.
  • the film is extremely thin and commonly not thicker than 1 nm.
  • impurities have been physically introduced from above a film such as an oxide film by using a means such as ion implantation.
  • energy is given to ions, which become impurities, by using an electric field or the like, and the impurities are introduced inside the solid substrate by irradiating the ions to the surface thereof.
  • a low-energy ion implantation technique is considered as the conventional technology for forming the shallow junction.
  • the low-energy ion implantation technique is a method for pulling ions out of an ion source with a certain high level of a voltage and decelerating them at a latter stage. This method has been devised for keeping a beam current value with a certain high level and implanting with low-energy.
  • formation of a shallow impurity layer of approximately several 10 nm becomes possible, so that it is industrially adapted to a manufacturing process of a semiconductor.
  • a plasma-doping technique is considered as a notable technique in these years for forming a shallower junction.
  • the plasma-doping technique is a technique for introducing impurities into a surface of an object to be processed (e.g., semiconductor substrate) by contacting plasma including desired particles with the surface of the object to be processed. Because plasma has low-energy of several 100V at the highest, it is suitable for forming a shallow junction, so that experiments for forming shallow junctions of over 10 nm to several 10 nm have been reported.
  • a vapor-phase doping method using a gas source is proposed in “(1) International Workshop on Junction Technology (IWJT), p. 19 (2000)”, “(2) J. Vac. Sci. Technol. A16, p. 1, (1998)”, “(3) Silicon Technology No. 39 18 Jun., 2002” or the like.
  • This is a method capable of forming an impurity diffusion layer of P-type or N-type by heating a semiconductor substrate at a hydrogen atmosphere with an ordinary pressure and supplying B 2 H 6 or PH 3 .
  • Hydrogen carrier gas removes a natural oxide film on silicon and keeps its surface clean, thereby preventing surface segregation of impurities such as boron.
  • a temperature of not lower than 600° C. is needed to decompose gas.
  • “Silicon Technology No. 39 18Jun., 2002” discloses as an experimental result that a shallow junction of high concentration is formed by heating a semiconductor substrate at 900° C. and supplying B2H6 gas of 1 ppm for 40 seconds. According to this experimental result, a depth that boron concentration becomes 1 ⁇ 10 18 cm ⁇ 3 is defined as a depth of a junction, and the depth of the junction is approximately 7 nm which is the same level as that described above.
  • the plasma-doping technique can introduce particles into a semiconductor substrate with small accelerating energy, the plasma-doping technique can form introducing layers shallower than the ion implantation technique. However, though it is small energy, it has accelerating energy, so that there is a limit to form shallower.
  • the plasma-doping is known that a radical is supplied to a substrate as dopant. Because a radical does not have an electric charge, it is not accelerated and struck into the substrate. However, it is thought that because it is active, it reacts to a surface of the substrate and is introduced into the substrate.
  • the vapor-phase doping method using a gas source is a technology that an impurity-diffusion layer is formed by supplying dopant, which does not have accelerating energy, into the substrate and reacting its surface. These are positioned as a technology exceeding a limit of a method for irradiating ions having energy onto the substrate.
  • dopant which does not have accelerating energy, such as radical or gas molecule in the plasma-doping technique or the vapor-phase doping method, or dopant having extremely small accelerating energy is introduced into the substrate, it is difficult to form an impurity layer of high concentration for a short time.
  • an impurity layer of high concentration can be formed at room temperature.
  • a method for controlling dose amount has not been proposed.
  • a method for ion-implanting germanium or silicon is known as a technology for making crystal silicon of the semiconductor substrate amorphous.
  • a process for ion-implanting germanium or silicon into a silicon substrate and making its surface amorphous, then ion-implanting impurities such as boron, and then annealing is widely used.
  • the following advantages of making amorphous before ion-implanting impurities are known: 1) Small impurities such as boron are difficult to be introduced deeply in ion-implanting; 2) Impurities can be activated efficiently in annealing because amorphous silicon has a higher absorption coefficient of light than crystal silicon. However, amorphism by using ion-implanting does not have enough efficiency for forming a shallow amorphous layer.
  • a method for introducing impurities of the present invention includes:
  • step for forming the amorphous layer is a step for irradiating plasma to the surface of the solid substrate
  • the step for forming the shallow impurity-introducing layer is a step for introducing impurities into the surface which has been made amorphous.
  • the present invention further includes an annealing step for electrically activating the impurities after introducing the impurities.
  • an apparatus for introducing impurities includes at least:
  • FIG. 1 is a sectional view of an essential part of an apparatus in accordance with an exemplary embodiment of the present invention.
  • FIG. 2 shows a result of a sectional TEM observation of a substrate in accordance with an exemplary embodiment of the present invention.
  • FIG. 3 shows plasma irradiation bias voltage dependence of a thickness of an amorphous layer in accordance with an exemplary embodiment of the present invention.
  • FIG. 4 shows a result of RHEED observation of the present invention.
  • FIG. 5 shows a result of RHEED observation of a comparative example.
  • FIG. 6 shows sheet resistance in an exemplary embodiment of the present invention and that of a comparative example.
  • FIG. 7 shows plasma irradiation time dependence of sheet resistance in accordance with an exemplary embodiment of the present invention.
  • FIG. 8 shows bias voltage dependence of sheet resistance in accordance with an exemplary embodiment of the present invention.
  • FIG. 9 shows a relation between sheet resistance and a thickness of an amorphous layer in accordance with an exemplary embodiment of the present invention.
  • FIG. 10 shows a result of a TEM observation of a silicon substrate after irradiating helium plasma in accordance with an exemplary embodiment of the present invention.
  • FIG. 11 is a view showing an optical absorption coefficient on a surface of a silicon substrate affected by mixture ratio of B 2 H 6 gas to He gas.
  • FIG. 12 shows a SIMS profile of boron affected by existence or nonexistence of amorphism by irradiating helium plasma.
  • FIG. 13 is a view comparing a SIMS profile of boron in an eighth embodiment (He-PA+PD) with that in a comparative example (Ge PAI+BF2 I/I).
  • FIG. 14 shows a SIMS profile of boron before and after annealing with a flash lamp.
  • FIG. 15 is a view comparing depth Xj of a junction and sheet resistance Rs in an eighth embodiment (He-PA+PD+FLA-1) with that in a comparative example (Ge PAI+I/I+FLA-2).
  • FIG. 16 shows a SIMS profile of helium before and after annealing with a flash lamp.
  • FIG. 17 shows a SIMS profile of hydrogen before and after annealing with a flash lamp.
  • a method for introducing impurities of the present invention is characterized in that after an amorphous layer is formed or while an amorphous layer is formed at a surface of a solid substrate by irradiating plasma to a surface of a solid substrate, desired particles to be impurities are infiltrated, stuck or introduced (hereinafter referred to as “introduced”). By forming the amorphous layer, the desired particles are easy to be introduced into the solid substrate.
  • amorphous silicon has a higher absorption coefficient of light than crystal silicon, so that impurities can be activated efficiently in annealing by forming the amorphous layer on the surface, and a low resistance Ultra-Shallow Junction can be realized.
  • an ultra-shallow amorphous layer is formed at the surface of the solid substrate, it is preferable to select a means for irradiating plasma to the surface of the solid substrate. Because it uses low-energy plasma compared with conventional amorphism by using an ion implantation technique, shallow amorphous layer is efficiently formed.
  • an amorphous layer can be formed by at least one means selected from a means for irradiating plasma to the surface of the solid substrate, a means for implanting ions to the surface of the solid substrate and a means for adding amorphous layer to the surface of the solid substrate. Less than 70 seconds is preferable as a time for irradiating plasma to the surface of the solid substrate for realizing fine throughputs. Besides, implanting Ge ions is preferable as ion implantation because improvement of electric characteristics is expected.
  • gas or plasma including desired particles is preferably contacted with the surface which has been made amorphous, and infiltrated, stuck or introduced into the surface or neighborhoods of the solid substrate.
  • shallow impurity layers can be formed easily by contacting particles, which do not have accelerating energy or have extremely small accelerating energy, such as gas or plasma.
  • plasma, radical, gas, extremely low-energy ions or the like are used.
  • gas-doping in a case where it is introduced as a gas condition
  • plasma-doping in a case where plasma is contacted with the surface of the solid substrate.
  • amorphous is formed by using ion implantation technique
  • impurities can be introduced with extremely small energy.
  • novelty is exhibited.
  • a method for contacting gas with the surface of the solid substrate is used as introducing impurities. By using this method, an extremely shallow impurity layer can be formed.
  • a method for introducing impurities by controlling and adjusting dose amount and a depth of a junction by controlling and adjusting a thickness of the surface of the solid substrate to be made amorphous or a level of amorphism is preferable because sheet resistance can be controlled easily, and device characteristics can be also controlled easily.
  • controlling and adjusting of the thickness of the surface of the solid substrate to be made amorphous or a level of amorphism can be performed by changing bias voltage, irradiating time, bias power, ionic species or sheath voltage related to plasma for irradiating the surface of the solid substrate.
  • Plasma preferably includes rare gas (e.g., argon, neon or helium) or hydrogen. It is thought that rare gas is chemically stable and difficult to undergo chemical reaction, so that a rate for restraining surface adsorption between the desired particles and the surface of the solid substrate is low. As a result, in addition to an effect of introducing impurities by amorphism, an effect of introducing impurities by surface adsorption is expected. Besides, helium, neon and hydrogen have high diffusion coefficients at a high temperature, and do not remain largely at the surface after annealing, so that they are preferable because they rarely adversely affect electrically. Helium is particularly preferable because it has both characteristics (i.e., chemical stability and high diffusion coefficients at a high temperature).
  • An apparatus for introducing impurities of the present invention includes at least an apparatus for making a surface of a solid substrate amorphous, an apparatus for introducing desired particles, and an apparatus for activating the desired introduced impurities. Therefore, processes of the present invention can be performed.
  • an apparatus for making a surface of a solid substrate amorphous an apparatus for introducing desired particles, and an apparatus for activating the introduced desired impurities is preferable, because the apparatus can be downsized and productivity can be improved.
  • an impurity layer which is capable of forming extremely shallow impurity layers of high concentration for a short time, capable of controlling dose amount easier than a conventional method, and capable of lowering sheet resistance after annealing, can be provided.
  • FIG. 1 shows apparatus 100 used in an exemplary embodiment of the present invention.
  • Apparatus 100 includes high frequency power supply 1 , matching box 2 , coil and antenna 3 , massflow controllers 4 and 5 , turbo molecular pump 6 , conductance valve 7 , dry-sealed vacuum pump 8 , circulator 9 , DC power supply 10 , matching box 11 , high frequency power supply 12 and lower electrode 14 .
  • Object 13 to be processed such as silicon substrate is disposed on lower electrode 14 .
  • silicon substrate 13 is conveyed into process chamber 15 and disposed on lower electrode 14 .
  • Introducing pipe 16 for rare gas and introducing pipe 17 for diborane gas are individually coupled with process chamber 15 .
  • Rare gas is used for making the surface of the silicon substrate amorphous by irradiating rare gas plasma to the surface.
  • Diborane gas is made to be plasma state and used for plasma-doping, or introduced into process chamber 15 just as it is and used for gas-doping.
  • a gas flow rate can be controlled individually with massflow controllers 4 and 5 .
  • a process for forming an amorphous layer by irradiating plasma is discussed in detail in the first embodiment.
  • process chamber 15 a silicon substrate as object 13 to be processed is irradiated with plasma.
  • Argon, helium or mixed gas of helium of 99.975% and diborane of 0.025% in volume ratio is used.
  • a silicon substrate is irradiated with argon plasma.
  • Plasma irradiating time of 5 seconds to 60 seconds, and bias voltage of 30V to 310V are used as plasma irradiating condition.
  • Irradiating plasma is stopped, an inside of process chamber 15 is evacuated and then, purged with nitrogen gas. After that, the substrate is removed from process chamber 15 .
  • a section of the removed substrate is observed by TEM.
  • FIG. 2 is a sectional TEM image after irradiating argon plasma at 180V of bias voltage for 5 seconds of plasma irradiating time. It shows that amorphous layer 22 of 4.3 nm thickness is formed on silicon substrate 21 .
  • Formation of an amorphous layer can be realized even in a case of using helium plasma.
  • the case of using helium plasma is discussed in a second embodiment.
  • irradiating plasma is performed by using mixed gas of helium of 99.975% in volume ratio and diborane of 0.025% at bias voltage of 100V for 60 seconds.
  • a thickness of the amorphous layer is 10 nm.
  • Dose amount of boron is 7.3 ⁇ 10 14 cm ⁇ 2 which is measured by SIMS.
  • forming the amorphous layer and introducing impurities can be performed at the same time.
  • Controlling of a thickness of an amorphous layer in irradiating plasma for making amorphous is discussed in the second embodiment.
  • a silicon substrate as object 13 to be processed is irradiated with plasma of helium gas of 100% helium gas concentration.
  • Plasma irradiating time varies to 7 seconds and 30 seconds, and bias voltage varies from 30V to 310V as plasma irradiating condition.
  • a thickness of the amorphous layer of the substrate removed from process chamber 15 is measured with an ellipsometry.
  • FIG. 3 shows relation between bias voltages and the thicknesses of the amorphous layers. It is realized that the amorphous layer of 2 nm to 22 nm can be formed for a short time within 30 seconds by irradiating plasma.
  • the thickness of the amorphous layer can be controlled by changing the bias voltage.
  • the thickness of the amorphous layer can be changed by changing the time for irradiating plasma.
  • the third embodiment shows examples that the method for introducing impurities of the present invention is adapted to gas-doping.
  • impurities are introduced by gas-doping
  • difference of sheet resistance depending on existence or nonexistence of an amorphous layer is examined. Effects that impurities are easily introduced with extremely low energy and low resistance state can be formed after annealing caused by amorphism are described hereinafter.
  • a silicon substrate as object 13 to be processed is irradiated with plasma of argon gas.
  • Plasma irradiating time is 5 seconds and bias voltage is 160V as plasma irradiating condition.
  • Irradiating plasma is stopped, an inside of process chamber 15 is evacuated and then, B 2 H 6 gas is contacted with a surface of the substrate for 70 seconds. After 70 seconds, supply of B 2 H 6 gas is stopped, the inside of process chamber 15 is evacuated and then, purged with nitrogen gas. After that, the substrate is removed from process chamber 15 .
  • the removed substrate is annealed at 1100° C. for 3 minutes, and then sheet resistance is measured by using a four probe method.
  • a substrate which is processed with only plasma irradiation is observed by RHEED, and measured by an ellipsometry in thickness or the like.
  • RHEED stands for Reflection High-Energy Electron Diffraction.
  • FIG. 4 shows a result of surface observation by RHEED. As shown in FIG. 4 , a bright point which is peculiar to crystal is not observed. It is realized that the surface of the substrate becomes amorphous state by irradiating plasma. Sheet resistance is 5.1E3 ohm/sq.
  • FIG. 6 shows difference of sheet resistance depending on existence or nonexistence of an amorphous layer.
  • a white circle ( ⁇ ) denotes the results of measurement of sheet resistance, where amorphism is performed, of the present invention.
  • a black circle ( ⁇ ) denotes the results of a comparative example where amorphism is not performed.
  • Sheet resistance of the present embodiment is smaller than that of a comparative example by single digit to two digits. It is thought that by forming the amorphous layer, B 2 H 6 gas is easily introduced into the substrate without accelerating energy, and the substrate efficiently absorbs light used for annealing, so that sheet resistance is extremely reduced.
  • the fourth embodiment examines difference of sheet resistance depending on existence or nonexistence of an amorphous layer in a case where a method for introducing impurities is performed by using plasma-doping. Though a depth of a junction after annealing is the same, low resistance can be realized by making amorphous.
  • the silicon substrate as object 13 to be processed is irradiated with plasma of helium gas of 100% helium gas concentration.
  • Plasma irradiating time is 7 seconds and bias voltage is 150V as plasma irradiating condition.
  • Irradiating plasma is stopped, and an inside of process chamber 15 is evacuated.
  • plasma-doping it is irradiated with plasma of 5 volume % B 2 H 6 gas, which has been diluted with helium gas, at bias voltage of 200V for 7 seconds.
  • Irradiating plasma is stopped, the inside of process chamber 15 is evacuated and then, purged with nitrogen gas. After that, the substrate is removed from process chamber 15 .
  • spike RTA spike rapid thermal anneal
  • Sheet resistance of the sample is 635 ohm/sq.
  • a depth where boron concentration becomes 1 ⁇ 10 18 cm ⁇ 3 is defined as a depth of a junction
  • the depth of the junction is 27.2 nm.
  • a sample which is produced without amorphism in a manner that other conditions are the same, has sheet resistance of 923 ohm/sq and depth of the junction of 28.1 nm. This is discussed in detail in comparative example 2.
  • 30% or lower resistance can be realized in spite of substantially the same depth of the junction.
  • the fifth embodiment examines relation between plasma irradiation time and sheet resistance.
  • FIG. 7 shows the results.
  • a silicon substrate as object 13 to be processed is irradiated with plasma of argon gas.
  • Plasma irradiating time varies from 5 seconds to 70 seconds as plasma irradiating condition. It is performed by two conditions of bias voltages of 45V (shown at ⁇ ) and 160V (shown at ⁇ ). Processes after irradiating plasma are the same conditions. In other words, irradiating plasma is stopped, an inside of process chamber 15 is evacuated and then, B 2 H 6 gas is contacted with a surface of the substrate for 70 seconds. After 70 seconds, supply of B 2 H 6 gas is stopped, the inside of process chamber 15 is evacuated and then, purged with nitrogen gas. After that, the substrate is removed from process chamber 15 . The removed substrate is annealed at 1100° C. for 3 minutes, and then sheet resistance is measured by using a four probe method.
  • the experimental result mentioned above shows that dose amount increases according as plasma irradiation time is lengthened. It is thought that according as plasma irradiation time is lengthened, degree of amorphism is advanced and introducing amount of B 2 H 6 gas to the substrate increases.
  • the sixth embodiment examines relation between bias voltage and sheet resistance.
  • FIG. 8 shows the results. Samples are produced in conditions that argon plasma irradiating time is 70 seconds, bias voltage varies to 45V (sample 1), 160V (sample 2) and 210V (sample 3), and other conditions are the same. Conditions which are not particularly described here are the same as the fifth embodiment.
  • sheet resistance decreases according as an absolute value of the bias voltage increases.
  • sheet resistance of sample 2 and sample 3 decreases not higher than 1.0E3 ohm/sq.
  • sheet resistance can be controlled by changing bias voltage.
  • the seventh embodiment examines relation between a thickness of an amorphous layer and sheet resistance.
  • FIG. 9 shows the results.
  • a thickness of an amorphous layer of a horizontal axis is a thickness of an amorphous layer formed at a surface of a substrate of samples 1-3 produced in the sixth embodiment and measured with an ellipsometry.
  • a data of an amorphous layer thickness of zero is a result of measurement of a silicon substrate as a comparative example.
  • sample 1 has approximately 2 nm thickness of the amorphous layer, and samples 2 and 3 have approximately 3 nm thickness thereof.
  • Sheet resistance decreases according as the thickness of the amorphous layer increases. From this result, it is realized that sheet resistance can be controlled by changing the thickness of the amorphous layer. Besides, it is realized that degree of amorphism discussed in the fifth and sixth embodiments can be exhibited as the thickness of the amorphous layer.
  • the experimental result mentioned above shows that dose amount increases according as the thickness of the amorphous layer increases. It shows that according as the thickness of the amorphous layer increases, introducing amount of B 2 H 6 gas to the substrate increases.
  • Comparative example 1 is executed in the same conditions as the third, fifth, sixth and seventh embodiment excluding that it is not made amorphous by irradiating plasma. Comparative example 1 is related to gas-doping and corresponds to the third embodiment.
  • a silicon substrate is conveyed into process chamber 15 , an inside of process chamber 15 is evacuated and then, B 2 H 6 gas is contacted with a surface of the substrate for 70 seconds.
  • process chamber 15 After 70 seconds, supply of B 2 H 6 gas is stopped, the inside of process chamber 15 is evacuated and then, purged with nitrogen gas. After that, the substrate is removed from process chamber 15 . The removed substrate is annealed at 1100° C. for 3 minutes, and then sheet resistance is measured by using a four probe method. Further, dose amount of boron is measured by Secondary Ion Mass Spectrometry (hereinafter referred to as “SIMS”). Still further, the surface of the silicon substrate is observed and measured by RHEED and an ellipsometry before introducing process chamber 15 .
  • SIMS Secondary Ion Mass Spectrometry
  • FIG. 5 shows a result of surface observation of the silicon substrate of the comparative example by RHEED. Bright points are observed at an upper side of an image shown in FIG. 5 , and it is confirmed that they are crystal. Besides, an amorphous layer is not confirmed at the surface even by measurement using the ellipsometry.
  • sheet resistance is 1.7E5 ohm/sq. This is larger than the sheet resistance of the present embodiment by single digit or more. From a result of measurement of SIMS, dose amount of boron is 2E12 cm-2. It shows that impurities are hardly introduced.
  • Comparative example 2 is executed in the same conditions as the fourth embodiment excluding that it is not made amorphous by irradiating plasma.
  • a silicon substrate as object 13 to be processed is conveyed into process chamber 15 .
  • it is irradiated with plasma of 5 volume % B 2 H 6 gas, which has been diluted with helium gas, at bias voltage of 200V for 7 seconds. Irradiating plasma is stopped, the inside of process chamber 15 is evacuated and then, purged with nitrogen gas. After that, the substrate is removed from process chamber 15 .
  • the sample has sheet resistance of 923 ohm/sq and a depth of a junction of 28.1 nm. This sheet resistance is higher than that in the third embodiment which is made amorphous by 30% or more.
  • the surface of the solid substrate of the present invention includes a surface of a thin film formed on the substrate as well as the surface of the substrate itself. Accordingly, amorphism at the surface of the thin film formed on the solid substrate is included in the present invention.
  • the method for introducing impurities of the present embodiment can form an extremely shallow impurity layer at room temperature for a short time. Therefore, an active device (e.g., semiconductor, liquid crystal or biotip) or a passive device (e.g., resistance, coil or capacitor) can be easily produced.
  • an active device e.g., semiconductor, liquid crystal or biotip
  • a passive device e.g., resistance, coil or capacitor
  • Silicon substrate 81 is irradiated with helium plasma in a condition that bias voltage is 75V, irradiating time is 7 seconds, source power is 1500 W and pressure is 0.9 Pa.
  • FIG. 10 shows a sectional TEM image of surface 80 of silicon substrate 81 after irradiating the helium plasma. It is realized that amorphous layer 82 is formed thereon.
  • the present invention is characterized in that even when a chemical element having small atomic weigh such as helium is used, an amorphous layer can be formed on a surface of a silicon substrate for a short time.
  • ion implantation technique which is a conventional technique, can not form an amorphous layer even if helium ions are implanted for a long time (e.g., a day).
  • a silicon substrate is irradiated with plasma, where mixture ratio of B 2 H 6 gas to helium gas is changed, in a condition that bias voltage is 100V, irradiating time is 7 seconds, source power is 1500 W and pressure is 0.9 Pa.
  • FIG. 11 is a view showing an optical absorption coefficient on a surface of silicon substrate 81 affected by volume mixing ratio of B 2 H 6 gas to He gas.
  • the optical absorption coefficient is measured at a wavelength of 460 nm.
  • the optical absorption coefficient of crystal silicon is originally not higher than 4 ⁇ 10 4 cm ⁇ 1 .
  • the optical absorption coefficient can be improved to 1 ⁇ 10 5 cm ⁇ 1 or higher by irradiating mixed gas plasma of B 2 H 6 gas and helium gas (B 2 H 6 of 5% or less, and He of 95% or more). This shows that the surface of silicon substrate 81 begins to be amorphous.
  • mixed gas plasma of B 2 H 6 of 5% or less and He of 95% or more in volume ratio the surface of silicon substrate 81 can be made amorphous at the same time boron is plasma-doped.
  • the optical absorption coefficient increases by increasing the mixture ratio of helium. This is because an effect of amorphism by helium becomes dominant. Therefore, the optical absorption coefficient reaches the highest in a case of irradiating plasma composed of only helium. A state near perfect amorphous silicon can be formed. Helium plasma is preferably used from this reason mentioned above.
  • Silicon substrate 81 is plasma-doped with mixed gas plasma of B 2 H 6 and helium (B 2 H 6 plasma doping, or B 2 H 6 -PD) in a condition that mixture ratio of B 2 H 6 gas to He gas is 5% to 95% in volume ratio, bias voltage is 100V, source power is 1000 W and pressure is 0.9 Pa and doping time is 7 seconds.
  • Amorphism is performed to one sample by irradiating helium plasma (helium plasma amorphization, or He-PA) before B 2 H 6 -PD. He-PA is not performed to the other sample.
  • FIG. 12 shows a depth profile of boron immediately after B 2 H 6 -PD (as-doped).
  • a horizontal axis is a depth from the surface of silicon, and a vertical axis is concentration of boron. It is realized that boron can be introduced into a depth range of 10 nm from a top surface in higher concentration in the case where He-PA is performed compared with the case where He-PA is not performed. In addition, abruptness of the profile becomes sharp by performing He-PA. As discussed above, by performing He-PA, the remarkable effect is obtained that boron can be introduced into a shallow part of the silicon substrate in higher concentration and a sharp profile can be obtained. When the profile becomes sharp, there is an effect that minute drain current of MOSFET increases.
  • FIG. 13 is a view comparing a SIMS profile of boron in a case of the present embodiment where B 2 H 6 -PD is performed after He-PA with that in a case of the comparative example where boron is implanted by ion-implanting BF2 after amorphism by ion-implanting Ge.
  • an effect is obtained that boron can be introduced into a depth range of 10 nm from a top surface in higher concentration.
  • abruptness of the present embodiment is 1.5 nm/dec which is the highest level in the world.
  • the present embodiment has the remarkable effect that abruptness is also excellent.
  • FIG. 14 shows a SIMS profile of boron before and after heat-treatment with the flash lamp. Depth Xj of a junction is defined as a depth where boron concentration becomes 5 ⁇ 10 18 cm ⁇ 3 . FIG. 14 shows Xj of 9.1 nm, so that it is realized that it is extremely shallow. At this time, sheet resistance of an extension electrode is 1000 ohm/sq, so that practical low resistance can be realized as well as shallowness.
  • Xj of 9.1 nm to 14 nm and an extension electrode whose sheet resistance is 1000 ohm/sq to 385 ohm/sq can be produced by changing conditions in the same process.
  • sheet resistance can be reduced by 30% to 50% compared with white circles of sample [4], which is produced by ion-implanting.
  • B 2 H 6 -PD is performed after He-PA has the remarkable effect that Xj is 9.1 nm to 14 nm, which is extremely shallow depth of the junction, and sheet resistance can be reduced. This solves the conventional problem that when an extremely shallow junction is formed, sheet resistance increases, so that a practical extension electrode can not be formed.
  • helium is introduced into the substrate.
  • hydrogen is introduced into the substrate.
  • B 2 H 6 -PD is performed after He-PA and in a case where amorphism is performed by mixed plasma of He and B 2 H 6 in the same time of introducing boron, helium and hydrogen are introduced in addition to boron.
  • a state of helium and hydrogen after annealing is described hereinafter.
  • FIG. 16 shows a SIMS profile of helium before and after annealing with a flash lamp in a case where B 2 H 6 PD is performed after He-PA and then heat-treated with a flash lamp (Flash Lamp Anneal, or FLA).
  • a background of helium measured by SIMS is 1 ⁇ 10 18 cm ⁇ 3 .
  • Helium concentration seems to be constant in the profile after annealing with the flash lamp, however, it is the background due to the measurement. It shows actual helium concentration is not higher than 1 ⁇ 10 18 cm ⁇ 3 .
  • Helium of approximately 8 ⁇ 10 14 cm ⁇ 3 has been certainly introduced immediately after plasma-doping. However, helium hardly exists inside the silicon substrate after annealing.
  • FIG. 17 shows a SIMS profile of hydrogen.
  • a background of hydrogen measured by SIMS is 1 ⁇ 10 19 cm ⁇ 3 .
  • Hydrogen of high concentration seems to remain at approximately 1 nm from a top surface after annealing. It is thought that material, which is adsorbed to silicon in a hydroxyl-group form at the top, is measured so as to be struck into the inside of the silicon substrate by oxygen ions used in SIMS measurement, so that hydrogen does not remain at the inside. It shows actual hydrogen concentration is not higher than 1 ⁇ 10 19 cm ⁇ 3 . As discussed above, hydrogen hardly exists inside the silicon substrate after annealing.
  • H or He is extremely small atom (its atomic weight is smaller than boron) and its diffusion coefficient in the silicon is higher than boron by several digits, so that it is passed outside the silicon substrate as gas or largely diffused in a depth direction and diluted in low concentration.
  • boron is hardly diffused and remains the shallow part of the silicon substrate even after annealing, and has an effect of lowering electric resistance.
  • impurities excepting boron i.e., H and He
  • amorphism performed by helium plasma or hydrogen plasma has an effect that helium or hydrogen hardly remains inside the silicon substrate, so that they hardly have an adverse effect electrically.
  • This effect can not be obtained by conventional amorphism performed by ion implantation technique of germanium, silicon or argon. This is because an ion having great atomic weight is required to be used for making amorphous by ion implantation technique.
  • a chemical element having great atomic weight is not used, amorphism can not be performed.
  • a chemical element having great atomic weight has a small diffusion coefficient, so that it remains inside the silicon substrate even after annealing.
  • amorphism performed by ion implantation technique, in a case where a chemical element having a great diffusion coefficient is used, amorphism itself can not be performed, and in a case where a chemical element (i.e., germanium, silicon or argon) which is presently disclosed to be capable of making amorphous is used, the used chemical element remains inside the silicon substrate after annealing. Accordingly, it does not have the effect which the present invention has.
  • the present invention provides a method for forming an impurity layer, which is capable of forming extremely shallow impurity layers of high concentration at room temperature for a short time, capable of controlling dose amount easily, and capable of lowering sheet resistance after annealing.

Abstract

A method for introducing impurities includes a step for forming an amorphous layer at a surface of a semiconductor substrate, and a step for forming a shallow impurity-introducing layer at the semiconductor substrate which has been made amorphous, and an apparatus used therefore. Particularly, the step for forming the amorphous layer is a step for irradiating plasma to the surface of the semiconductor substrate, and the step for forming the shallow impurity-introducing layer is a step for introducing impurities into the surface which has been made amorphous.

Description

  • This application is a continuation-in-part application of PCT International Application PCT/JP2004/001473.
  • TECHNICAL FIELD
  • The present invention relates to a method for introducing impurities in a manufacturing process of a semiconductor or the like.
  • BACKGROUND ART
  • On a surface of a solid substrate or a thin film, an oxide film, where atoms constituting the solid substrate are combined with atmospheric oxygen, or a film, where the atoms are terminated with hydrogen, is generally formed. The film is extremely thin and commonly not thicker than 1 nm. Conventionally, impurities have been physically introduced from above a film such as an oxide film by using a means such as ion implantation. In a word, energy is given to ions, which become impurities, by using an electric field or the like, and the impurities are introduced inside the solid substrate by irradiating the ions to the surface thereof.
  • Recently, according to miniaturization of devices, a technology for forming a shallow junction has been required. A low-energy ion implantation technique is considered as the conventional technology for forming the shallow junction. The low-energy ion implantation technique is a method for pulling ions out of an ion source with a certain high level of a voltage and decelerating them at a latter stage. This method has been devised for keeping a beam current value with a certain high level and implanting with low-energy. As a result of these devices, formation of a shallow impurity layer of approximately several 10 nm becomes possible, so that it is industrially adapted to a manufacturing process of a semiconductor.
  • A plasma-doping technique is considered as a notable technique in these years for forming a shallower junction. The plasma-doping technique is a technique for introducing impurities into a surface of an object to be processed (e.g., semiconductor substrate) by contacting plasma including desired particles with the surface of the object to be processed. Because plasma has low-energy of several 100V at the highest, it is suitable for forming a shallow junction, so that experiments for forming shallow junctions of over 10 nm to several 10 nm have been reported.
  • Further, current experiment achieving the shallowest P-type junction is disclosed in “Technical Digest of Symposium on VLSI Technology, Honolulu, p. 110 (2000)”. This describes a depth of a junction of 7 nm.
  • Still further, a vapor-phase doping method using a gas source is proposed in “(1) International Workshop on Junction Technology (IWJT), p. 19 (2000)”, “(2) J. Vac. Sci. Technol. A16, p. 1, (1998)”, “(3) Silicon Technology No. 39 18 Jun., 2002” or the like. This is a method capable of forming an impurity diffusion layer of P-type or N-type by heating a semiconductor substrate at a hydrogen atmosphere with an ordinary pressure and supplying B2H6 or PH3. Hydrogen carrier gas removes a natural oxide film on silicon and keeps its surface clean, thereby preventing surface segregation of impurities such as boron.
  • Generally, a temperature of not lower than 600° C. is needed to decompose gas. For example, “Silicon Technology No. 39 18Jun., 2002” discloses as an experimental result that a shallow junction of high concentration is formed by heating a semiconductor substrate at 900° C. and supplying B2H6 gas of 1 ppm for 40 seconds. According to this experimental result, a depth that boron concentration becomes 1×1018 cm −3 is defined as a depth of a junction, and the depth of the junction is approximately 7 nm which is the same level as that described above.
  • Yet further, “International Workshop on Junction Technology (IWJT), p. 39-40 (2002)” discloses a technology that the vapor-phase doping methods are executed at room temperature. These are methods that when material is introduced into a solid substrate where a film such as an oxide adheres to its surface, desired particles are stuck or introduced after removing the film such as the oxide. According to the report, a depth of an impurity-introducing layer is 3-4 nm.
  • As discussed above, by using the plasma-doping technique or the low-energy ion implantation technique, the experiments for forming shallow junctions of over 10 nm to several 10 nm have been recently reported. The current experiment achieving the shallowest P-type junction forms a shallow impurity layer of approximately 7 nm. However, according to progress to further miniaturization of devices, a method for forming shallower impurity layers more simply with low resistance is required.
  • As a technology for meeting the need mentioned above, because the plasma-doping technique can introduce particles into a semiconductor substrate with small accelerating energy, the plasma-doping technique can form introducing layers shallower than the ion implantation technique. However, though it is small energy, it has accelerating energy, so that there is a limit to form shallower.
  • In addition, the plasma-doping is known that a radical is supplied to a substrate as dopant. Because a radical does not have an electric charge, it is not accelerated and struck into the substrate. However, it is thought that because it is active, it reacts to a surface of the substrate and is introduced into the substrate. The vapor-phase doping method using a gas source is a technology that an impurity-diffusion layer is formed by supplying dopant, which does not have accelerating energy, into the substrate and reacting its surface. These are positioned as a technology exceeding a limit of a method for irradiating ions having energy onto the substrate.
  • However, as mentioned above, because the vapor-phase doping method using a gas source decomposes gas, a temperature of not lower than 600° C. has been generally needed. Photoresist can not be used as mask material at such a high temperature. Therefore, SiO2 or the like is needed to be formed and patterned by using a CVD method or the like, thereby increasing processes for forming transistors.
  • Furthermore, in a case where dopant, which does not have accelerating energy, such as radical or gas molecule in the plasma-doping technique or the vapor-phase doping method, or dopant having extremely small accelerating energy is introduced into the substrate, it is difficult to form an impurity layer of high concentration for a short time.
  • According to the vapor-phase doping method adapting a method that desired particles are stuck or introduced after removing the film such as the oxide, an impurity layer of high concentration can be formed at room temperature. However, a method for controlling dose amount has not been proposed.
  • Conventionally, a method for ion-implanting germanium or silicon is known as a technology for making crystal silicon of the semiconductor substrate amorphous. A process for ion-implanting germanium or silicon into a silicon substrate and making its surface amorphous, then ion-implanting impurities such as boron, and then annealing is widely used. The following advantages of making amorphous before ion-implanting impurities are known: 1) Small impurities such as boron are difficult to be introduced deeply in ion-implanting; 2) Impurities can be activated efficiently in annealing because amorphous silicon has a higher absorption coefficient of light than crystal silicon. However, amorphism by using ion-implanting does not have enough efficiency for forming a shallow amorphous layer.
  • SUMMARY OF THE INVENTION
  • A method for introducing impurities of the present invention includes:
  • a step for forming an amorphous layer at a surface of a solid substrate such as a semiconductor substrate; and
  • a step for forming a shallow impurity-introducing layer at the solid substrate which has been made amorphous,
  • where the step for forming the amorphous layer is a step for irradiating plasma to the surface of the solid substrate, and
  • the step for forming the shallow impurity-introducing layer is a step for introducing impurities into the surface which has been made amorphous.
  • The present invention further includes an annealing step for electrically activating the impurities after introducing the impurities.
  • In addition, an apparatus for introducing impurities includes at least:
  • an apparatus for making a surface of a solid substrate amorphous;
  • an apparatus for introducing desired particles to be impurities; and
  • an anneal apparatus for activating the impurities introduced.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a sectional view of an essential part of an apparatus in accordance with an exemplary embodiment of the present invention.
  • FIG. 2 shows a result of a sectional TEM observation of a substrate in accordance with an exemplary embodiment of the present invention.
  • FIG. 3 shows plasma irradiation bias voltage dependence of a thickness of an amorphous layer in accordance with an exemplary embodiment of the present invention.
  • FIG. 4 shows a result of RHEED observation of the present invention.
  • FIG. 5 shows a result of RHEED observation of a comparative example.
  • FIG. 6 shows sheet resistance in an exemplary embodiment of the present invention and that of a comparative example.
  • FIG. 7 shows plasma irradiation time dependence of sheet resistance in accordance with an exemplary embodiment of the present invention.
  • FIG. 8 shows bias voltage dependence of sheet resistance in accordance with an exemplary embodiment of the present invention.
  • FIG. 9 shows a relation between sheet resistance and a thickness of an amorphous layer in accordance with an exemplary embodiment of the present invention.
  • FIG. 10 shows a result of a TEM observation of a silicon substrate after irradiating helium plasma in accordance with an exemplary embodiment of the present invention.
  • FIG. 11 is a view showing an optical absorption coefficient on a surface of a silicon substrate affected by mixture ratio of B2H6 gas to He gas.
  • FIG. 12 shows a SIMS profile of boron affected by existence or nonexistence of amorphism by irradiating helium plasma.
  • FIG. 13 is a view comparing a SIMS profile of boron in an eighth embodiment (He-PA+PD) with that in a comparative example (Ge PAI+BF2 I/I).
  • FIG. 14 shows a SIMS profile of boron before and after annealing with a flash lamp.
  • FIG. 15 is a view comparing depth Xj of a junction and sheet resistance Rs in an eighth embodiment (He-PA+PD+FLA-1) with that in a comparative example (Ge PAI+I/I+FLA-2).
  • FIG. 16 shows a SIMS profile of helium before and after annealing with a flash lamp.
  • FIG. 17 shows a SIMS profile of hydrogen before and after annealing with a flash lamp.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
  • A method for introducing impurities of the present invention is characterized in that after an amorphous layer is formed or while an amorphous layer is formed at a surface of a solid substrate by irradiating plasma to a surface of a solid substrate, desired particles to be impurities are infiltrated, stuck or introduced (hereinafter referred to as “introduced”). By forming the amorphous layer, the desired particles are easy to be introduced into the solid substrate. In addition, in a case where it is adapted to a silicon substrate which is one of the solid substrates, amorphous silicon has a higher absorption coefficient of light than crystal silicon, so that impurities can be activated efficiently in annealing by forming the amorphous layer on the surface, and a low resistance Ultra-Shallow Junction can be realized.
  • In a case where an ultra-shallow amorphous layer is formed at the surface of the solid substrate, it is preferable to select a means for irradiating plasma to the surface of the solid substrate. Because it uses low-energy plasma compared with conventional amorphism by using an ion implantation technique, shallow amorphous layer is efficiently formed. In a case where only effect that impurities are easily introduced as an extremely low-energy state of gas or radical is desired to be used among effects of an amorphous layer, an amorphous layer can be formed by at least one means selected from a means for irradiating plasma to the surface of the solid substrate, a means for implanting ions to the surface of the solid substrate and a means for adding amorphous layer to the surface of the solid substrate. Less than 70 seconds is preferable as a time for irradiating plasma to the surface of the solid substrate for realizing fine throughputs. Besides, implanting Ge ions is preferable as ion implantation because improvement of electric characteristics is expected.
  • As the method for introducing impurities, gas or plasma including desired particles is preferably contacted with the surface which has been made amorphous, and infiltrated, stuck or introduced into the surface or neighborhoods of the solid substrate. This is because shallow impurity layers can be formed easily by contacting particles, which do not have accelerating energy or have extremely small accelerating energy, such as gas or plasma. Specifically, plasma, radical, gas, extremely low-energy ions or the like are used. As a concrete name of the method for introducing impurities, it is called gas-doping in a case where it is introduced as a gas condition, and it is called plasma-doping in a case where plasma is contacted with the surface of the solid substrate. In a case where amorphous is formed by using ion implantation technique, it can be efficiently annealed, and impurities can be introduced with extremely small energy. By using these effects, novelty is exhibited. Specifically, in a case where amorphous is formed by using ion implantation technique, a method for contacting gas with the surface of the solid substrate is used as introducing impurities. By using this method, an extremely shallow impurity layer can be formed.
  • A method for introducing impurities by controlling and adjusting dose amount and a depth of a junction by controlling and adjusting a thickness of the surface of the solid substrate to be made amorphous or a level of amorphism is preferable because sheet resistance can be controlled easily, and device characteristics can be also controlled easily. Specifically, controlling and adjusting of the thickness of the surface of the solid substrate to be made amorphous or a level of amorphism can be performed by changing bias voltage, irradiating time, bias power, ionic species or sheath voltage related to plasma for irradiating the surface of the solid substrate.
  • Plasma preferably includes rare gas (e.g., argon, neon or helium) or hydrogen. It is thought that rare gas is chemically stable and difficult to undergo chemical reaction, so that a rate for restraining surface adsorption between the desired particles and the surface of the solid substrate is low. As a result, in addition to an effect of introducing impurities by amorphism, an effect of introducing impurities by surface adsorption is expected. Besides, helium, neon and hydrogen have high diffusion coefficients at a high temperature, and do not remain largely at the surface after annealing, so that they are preferable because they rarely adversely affect electrically. Helium is particularly preferable because it has both characteristics (i.e., chemical stability and high diffusion coefficients at a high temperature).
  • An apparatus for introducing impurities of the present invention includes at least an apparatus for making a surface of a solid substrate amorphous, an apparatus for introducing desired particles, and an apparatus for activating the desired introduced impurities. Therefore, processes of the present invention can be performed.
  • In addition, combining or integrating at least two apparatuses of an apparatus for making a surface of a solid substrate amorphous, an apparatus for introducing desired particles, and an apparatus for activating the introduced desired impurities is preferable, because the apparatus can be downsized and productivity can be improved.
  • Furthermore, a method for forming an impurity layer, which is capable of forming extremely shallow impurity layers of high concentration for a short time, capable of controlling dose amount easier than a conventional method, and capable of lowering sheet resistance after annealing, can be provided.
  • Exemplary embodiments of the present invention are demonstrated hereinafter with reference to the accompanying drawings, however, this invention is not limited to the following embodiments.
  • FIG. 1 shows apparatus 100 used in an exemplary embodiment of the present invention. Apparatus 100 includes high frequency power supply 1, matching box 2, coil and antenna 3, massflow controllers 4 and 5, turbo molecular pump 6, conductance valve 7, dry-sealed vacuum pump 8, circulator 9, DC power supply 10, matching box 11, high frequency power supply 12 and lower electrode 14. Object 13 to be processed such as silicon substrate is disposed on lower electrode 14.
  • In FIG. 1, silicon substrate 13 is conveyed into process chamber 15 and disposed on lower electrode 14. Introducing pipe 16 for rare gas and introducing pipe 17 for diborane gas are individually coupled with process chamber 15. Rare gas is used for making the surface of the silicon substrate amorphous by irradiating rare gas plasma to the surface. Diborane gas is made to be plasma state and used for plasma-doping, or introduced into process chamber 15 just as it is and used for gas-doping. A gas flow rate can be controlled individually with massflow controllers 4 and 5.
  • The following embodiments discuss amorphism of a surface of a solid substrate. However, this invention is not limited to the following embodiments, and it is also adapted to a thin film formed on a solid substrate.
  • First Exemplary Embodiment
  • A process for forming an amorphous layer by irradiating plasma is discussed in detail in the first embodiment.
  • In process chamber 15, a silicon substrate as object 13 to be processed is irradiated with plasma.
  • Argon, helium or mixed gas of helium of 99.975% and diborane of 0.025% in volume ratio is used.
  • First, a silicon substrate is irradiated with argon plasma. Plasma irradiating time of 5 seconds to 60 seconds, and bias voltage of 30V to 310V are used as plasma irradiating condition. Irradiating plasma is stopped, an inside of process chamber 15 is evacuated and then, purged with nitrogen gas. After that, the substrate is removed from process chamber 15. A section of the removed substrate is observed by TEM. FIG. 2 is a sectional TEM image after irradiating argon plasma at 180V of bias voltage for 5 seconds of plasma irradiating time. It shows that amorphous layer 22 of 4.3 nm thickness is formed on silicon substrate 21.
  • Formation of an amorphous layer can be realized even in a case of using helium plasma. The case of using helium plasma is discussed in a second embodiment.
  • Still further, irradiating plasma is performed by using mixed gas of helium of 99.975% in volume ratio and diborane of 0.025% at bias voltage of 100V for 60 seconds. A thickness of the amorphous layer is 10 nm. Dose amount of boron is 7.3×1014 cm−2 which is measured by SIMS. As discussed above, forming the amorphous layer and introducing impurities can be performed at the same time.
  • Second Exemplary Embodiment
  • Controlling of a thickness of an amorphous layer in irradiating plasma for making amorphous is discussed in the second embodiment.
  • In process chamber 15, a silicon substrate as object 13 to be processed is irradiated with plasma of helium gas of 100% helium gas concentration. Plasma irradiating time varies to 7 seconds and 30 seconds, and bias voltage varies from 30V to 310V as plasma irradiating condition. A thickness of the amorphous layer of the substrate removed from process chamber 15 is measured with an ellipsometry. FIG. 3 shows relation between bias voltages and the thicknesses of the amorphous layers. It is realized that the amorphous layer of 2 nm to 22 nm can be formed for a short time within 30 seconds by irradiating plasma. In addition, the thickness of the amorphous layer can be controlled by changing the bias voltage. Furthermore, the thickness of the amorphous layer can be changed by changing the time for irradiating plasma.
  • Third Exemplary Embodiment
  • The third embodiment shows examples that the method for introducing impurities of the present invention is adapted to gas-doping. In a case where impurities are introduced by gas-doping, difference of sheet resistance depending on existence or nonexistence of an amorphous layer is examined. Effects that impurities are easily introduced with extremely low energy and low resistance state can be formed after annealing caused by amorphism are described hereinafter.
  • In process chamber 15, a silicon substrate as object 13 to be processed is irradiated with plasma of argon gas. Plasma irradiating time is 5 seconds and bias voltage is 160V as plasma irradiating condition. Irradiating plasma is stopped, an inside of process chamber 15 is evacuated and then, B2H6 gas is contacted with a surface of the substrate for 70 seconds. After 70 seconds, supply of B2H6 gas is stopped, the inside of process chamber 15 is evacuated and then, purged with nitrogen gas. After that, the substrate is removed from process chamber 15.
  • The removed substrate is annealed at 1100° C. for 3 minutes, and then sheet resistance is measured by using a four probe method. In addition, a substrate which is processed with only plasma irradiation is observed by RHEED, and measured by an ellipsometry in thickness or the like. RHEED stands for Reflection High-Energy Electron Diffraction.
  • FIG. 4 shows a result of surface observation by RHEED. As shown in FIG. 4, a bright point which is peculiar to crystal is not observed. It is realized that the surface of the substrate becomes amorphous state by irradiating plasma. Sheet resistance is 5.1E3 ohm/sq.
  • Yet further, the same experience is performed in a plasma irradiating condition that plasma irradiating time varies from 5 seconds to 70 seconds, and bias voltage varies from 45V to 210V. In all conditions, the surfaces of the substrates become amorphous state. At this time, sheet resistance ranges not lower than 6.5E2 ohm/sq and not higher than 5.1E3 ohm/sq.
  • FIG. 6 shows difference of sheet resistance depending on existence or nonexistence of an amorphous layer. A white circle (◯) denotes the results of measurement of sheet resistance, where amorphism is performed, of the present invention. A black circle () denotes the results of a comparative example where amorphism is not performed. Sheet resistance of the present embodiment is smaller than that of a comparative example by single digit to two digits. It is thought that by forming the amorphous layer, B2H6 gas is easily introduced into the substrate without accelerating energy, and the substrate efficiently absorbs light used for annealing, so that sheet resistance is extremely reduced.
  • Fourth Exemplary Embodiment
  • The fourth embodiment examines difference of sheet resistance depending on existence or nonexistence of an amorphous layer in a case where a method for introducing impurities is performed by using plasma-doping. Though a depth of a junction after annealing is the same, low resistance can be realized by making amorphous.
  • For making a surface of a silicon substrate amorphous, in process chamber 15, the silicon substrate as object 13 to be processed is irradiated with plasma of helium gas of 100% helium gas concentration. Plasma irradiating time is 7 seconds and bias voltage is 150V as plasma irradiating condition. Irradiating plasma is stopped, and an inside of process chamber 15 is evacuated. After that, for plasma-doping, it is irradiated with plasma of 5 volume % B2H6 gas, which has been diluted with helium gas, at bias voltage of 200V for 7 seconds. Irradiating plasma is stopped, the inside of process chamber 15 is evacuated and then, purged with nitrogen gas. After that, the substrate is removed from process chamber 15. Then, for activating the impurities electrically, it is annealed by using spike rapid thermal anneal (spike RTA) whose rate of temperature increasing of 200° C./second, rate of temperature decreasing of 50° C./second, and highest ultimate temperature of 1000° C. Sheet resistance and SIMS profiles of the sample produced discussed above are measured.
  • Sheet resistance of the sample is 635 ohm/sq. In SIMS profiles, if a depth where boron concentration becomes 1×1018 cm−3 is defined as a depth of a junction, the depth of the junction is 27.2 nm. On the other hand, a sample, which is produced without amorphism in a manner that other conditions are the same, has sheet resistance of 923 ohm/sq and depth of the junction of 28.1 nm. This is discussed in detail in comparative example 2. In a word, by making amorphous using helium plasma irradiation, 30% or lower resistance can be realized in spite of substantially the same depth of the junction.
  • Fifth Exemplary Embodiment
  • The fifth embodiment examines relation between plasma irradiation time and sheet resistance. FIG. 7 shows the results.
  • In process chamber 15, a silicon substrate as object 13 to be processed is irradiated with plasma of argon gas. Plasma irradiating time varies from 5 seconds to 70 seconds as plasma irradiating condition. It is performed by two conditions of bias voltages of 45V (shown at ×) and 160V (shown at ▪). Processes after irradiating plasma are the same conditions. In other words, irradiating plasma is stopped, an inside of process chamber 15 is evacuated and then, B2H6 gas is contacted with a surface of the substrate for 70 seconds. After 70 seconds, supply of B2H6 gas is stopped, the inside of process chamber 15 is evacuated and then, purged with nitrogen gas. After that, the substrate is removed from process chamber 15. The removed substrate is annealed at 1100° C. for 3 minutes, and then sheet resistance is measured by using a four probe method.
  • In both cases of bias voltages of 45V and 160V, sheet resistance is reduced according as plasma irradiation time is lengthened. From this result, it is realized that sheet resistance can be controlled by changing plasma irradiation time.
  • In addition, the experimental result mentioned above shows that dose amount increases according as plasma irradiation time is lengthened. It is thought that according as plasma irradiation time is lengthened, degree of amorphism is advanced and introducing amount of B2H6 gas to the substrate increases.
  • Sixth Exemplary Embodiment
  • The sixth embodiment examines relation between bias voltage and sheet resistance. FIG. 8 shows the results. Samples are produced in conditions that argon plasma irradiating time is 70 seconds, bias voltage varies to 45V (sample 1), 160V (sample 2) and 210V (sample 3), and other conditions are the same. Conditions which are not particularly described here are the same as the fifth embodiment.
  • As shown in FIG. 8, sheet resistance decreases according as an absolute value of the bias voltage increases. As a result, sheet resistance of sample 2 and sample 3 decreases not higher than 1.0E3 ohm/sq.
  • Therefore, it is realized that sheet resistance can be controlled by changing bias voltage.
  • In addition, the experimental result mentioned above shows that dose amount increases according as the absolute value of bias voltage increases. It is thought that according as the absolute value of bias voltage increases, degree of amorphism is advanced and introducing amount of B2H6 gas to the substrate increases.
  • Seventh Exemplary Embodiment
  • The seventh embodiment examines relation between a thickness of an amorphous layer and sheet resistance. FIG. 9 shows the results. A thickness of an amorphous layer of a horizontal axis is a thickness of an amorphous layer formed at a surface of a substrate of samples 1-3 produced in the sixth embodiment and measured with an ellipsometry. A data of an amorphous layer thickness of zero is a result of measurement of a silicon substrate as a comparative example.
  • As shown in FIG. 9, sample 1 has approximately 2 nm thickness of the amorphous layer, and samples 2 and 3 have approximately 3 nm thickness thereof. Sheet resistance decreases according as the thickness of the amorphous layer increases. From this result, it is realized that sheet resistance can be controlled by changing the thickness of the amorphous layer. Besides, it is realized that degree of amorphism discussed in the fifth and sixth embodiments can be exhibited as the thickness of the amorphous layer.
  • In addition, the experimental result mentioned above shows that dose amount increases according as the thickness of the amorphous layer increases. It shows that according as the thickness of the amorphous layer increases, introducing amount of B2H6 gas to the substrate increases.
  • Comparative Example 1
  • Comparative example 1 is executed in the same conditions as the third, fifth, sixth and seventh embodiment excluding that it is not made amorphous by irradiating plasma. Comparative example 1 is related to gas-doping and corresponds to the third embodiment. A silicon substrate is conveyed into process chamber 15, an inside of process chamber 15 is evacuated and then, B2H6 gas is contacted with a surface of the substrate for 70 seconds.
  • After 70 seconds, supply of B2H6 gas is stopped, the inside of process chamber 15 is evacuated and then, purged with nitrogen gas. After that, the substrate is removed from process chamber 15. The removed substrate is annealed at 1100° C. for 3 minutes, and then sheet resistance is measured by using a four probe method. Further, dose amount of boron is measured by Secondary Ion Mass Spectrometry (hereinafter referred to as “SIMS”). Still further, the surface of the silicon substrate is observed and measured by RHEED and an ellipsometry before introducing process chamber 15.
  • FIG. 5 shows a result of surface observation of the silicon substrate of the comparative example by RHEED. Bright points are observed at an upper side of an image shown in FIG. 5, and it is confirmed that they are crystal. Besides, an amorphous layer is not confirmed at the surface even by measurement using the ellipsometry.
  • In addition, sheet resistance is 1.7E5 ohm/sq. This is larger than the sheet resistance of the present embodiment by single digit or more. From a result of measurement of SIMS, dose amount of boron is 2E12 cm-2. It shows that impurities are hardly introduced.
  • Comparative Example 2
  • Comparative example 2 is executed in the same conditions as the fourth embodiment excluding that it is not made amorphous by irradiating plasma. A silicon substrate as object 13 to be processed is conveyed into process chamber 15. After that, for plasma-doping, it is irradiated with plasma of 5 volume % B2H6 gas, which has been diluted with helium gas, at bias voltage of 200V for 7 seconds. Irradiating plasma is stopped, the inside of process chamber 15 is evacuated and then, purged with nitrogen gas. After that, the substrate is removed from process chamber 15. Then, for activating the impurities electrically, it is annealed by using spike RTA whose rate of temperature increasing of 200° C./second, rate of temperature decreasing of 50° C./second, and highest ultimate temperature of 1000° C. Sheet resistance and SIMS profiles of a sample produced discussed above are measured.
  • The sample has sheet resistance of 923 ohm/sq and a depth of a junction of 28.1 nm. This sheet resistance is higher than that in the third embodiment which is made amorphous by 30% or more.
  • According to the present embodiment, amorphism of a surface of a solid substrate is discussed. However, the surface of the solid substrate of the present invention includes a surface of a thin film formed on the substrate as well as the surface of the substrate itself. Accordingly, amorphism at the surface of the thin film formed on the solid substrate is included in the present invention.
  • As discussed above, the method for introducing impurities of the present embodiment can form an extremely shallow impurity layer at room temperature for a short time. Therefore, an active device (e.g., semiconductor, liquid crystal or biotip) or a passive device (e.g., resistance, coil or capacitor) can be easily produced.
  • Eighth Exemplary Embodiment
  • Silicon substrate 81 is irradiated with helium plasma in a condition that bias voltage is 75V, irradiating time is 7 seconds, source power is 1500 W and pressure is 0.9 Pa.
  • FIG. 10 shows a sectional TEM image of surface 80 of silicon substrate 81 after irradiating the helium plasma. It is realized that amorphous layer 82 is formed thereon.
  • The present invention is characterized in that even when a chemical element having small atomic weigh such as helium is used, an amorphous layer can be formed on a surface of a silicon substrate for a short time. On the other hand, ion implantation technique, which is a conventional technique, can not form an amorphous layer even if helium ions are implanted for a long time (e.g., a day).
  • A silicon substrate is irradiated with plasma, where mixture ratio of B2H6 gas to helium gas is changed, in a condition that bias voltage is 100V, irradiating time is 7 seconds, source power is 1500 W and pressure is 0.9 Pa.
  • FIG. 11 is a view showing an optical absorption coefficient on a surface of silicon substrate 81 affected by volume mixing ratio of B2H6 gas to He gas. The optical absorption coefficient is measured at a wavelength of 460 nm. The optical absorption coefficient of crystal silicon is originally not higher than 4×104 cm−1. However, the optical absorption coefficient can be improved to 1×105 cm−1 or higher by irradiating mixed gas plasma of B2H6 gas and helium gas (B2H6 of 5% or less, and He of 95% or more). This shows that the surface of silicon substrate 81 begins to be amorphous. In a word, by using mixed gas plasma of B2H6 of 5% or less and He of 95% or more in volume ratio, the surface of silicon substrate 81 can be made amorphous at the same time boron is plasma-doped.
  • The optical absorption coefficient increases by increasing the mixture ratio of helium. This is because an effect of amorphism by helium becomes dominant. Therefore, the optical absorption coefficient reaches the highest in a case of irradiating plasma composed of only helium. A state near perfect amorphous silicon can be formed. Helium plasma is preferably used from this reason mentioned above.
  • Silicon substrate 81 is plasma-doped with mixed gas plasma of B2H6 and helium (B2H6 plasma doping, or B2H6-PD) in a condition that mixture ratio of B2H6 gas to He gas is 5% to 95% in volume ratio, bias voltage is 100V, source power is 1000 W and pressure is 0.9 Pa and doping time is 7 seconds. Amorphism is performed to one sample by irradiating helium plasma (helium plasma amorphization, or He-PA) before B2H6-PD. He-PA is not performed to the other sample. FIG. 12 shows a depth profile of boron immediately after B2H6-PD (as-doped). A case where only B2H6-PD is performed and a case where He-PA is performed as its preprocessing are compared. A horizontal axis is a depth from the surface of silicon, and a vertical axis is concentration of boron. It is realized that boron can be introduced into a depth range of 10 nm from a top surface in higher concentration in the case where He-PA is performed compared with the case where He-PA is not performed. In addition, abruptness of the profile becomes sharp by performing He-PA. As discussed above, by performing He-PA, the remarkable effect is obtained that boron can be introduced into a shallow part of the silicon substrate in higher concentration and a sharp profile can be obtained. When the profile becomes sharp, there is an effect that minute drain current of MOSFET increases.
  • FIG. 13 is a view comparing a SIMS profile of boron in a case of the present embodiment where B2H6-PD is performed after He-PA with that in a case of the comparative example where boron is implanted by ion-implanting BF2 after amorphism by ion-implanting Ge. According to the present embodiment, an effect is obtained that boron can be introduced into a depth range of 10 nm from a top surface in higher concentration. Furthermore, abruptness of the present embodiment is 1.5 nm/dec which is the highest level in the world. The present embodiment has the remarkable effect that abruptness is also excellent.
  • B2H6-PD is performed after He-PA, and then it is heat-treated with a flash lamp. FIG. 14 shows a SIMS profile of boron before and after heat-treatment with the flash lamp. Depth Xj of a junction is defined as a depth where boron concentration becomes 5×1018 cm−3. FIG. 14 shows Xj of 9.1 nm, so that it is realized that it is extremely shallow. At this time, sheet resistance of an extension electrode is 1000 ohm/sq, so that practical low resistance can be realized as well as shallowness. Xj of 9.1 nm to 14 nm and an extension electrode whose sheet resistance is 1000 ohm/sq to 385 ohm/sq can be produced by changing conditions in the same process. As shown in FIG. 15, if Xj is the same, sheet resistance can be reduced by 30% to 50% compared with white circles of sample [4], which is produced by ion-implanting. As discussed above, the present embodiment that B2H6-PD is performed after He-PA has the remarkable effect that Xj is 9.1 nm to 14 nm, which is extremely shallow depth of the junction, and sheet resistance can be reduced. This solves the conventional problem that when an extremely shallow junction is formed, sheet resistance increases, so that a practical extension electrode can not be formed.
  • In a case where amorphism is performed by helium plasma, helium is introduced into the substrate. In a case where amorphism is performed by hydrogen plasma, hydrogen is introduced into the substrate. In a case where B2H6-PD is performed after He-PA and in a case where amorphism is performed by mixed plasma of He and B2H6 in the same time of introducing boron, helium and hydrogen are introduced in addition to boron. A state of helium and hydrogen after annealing is described hereinafter.
  • FIG. 16 shows a SIMS profile of helium before and after annealing with a flash lamp in a case where B2H6 PD is performed after He-PA and then heat-treated with a flash lamp (Flash Lamp Anneal, or FLA). A background of helium measured by SIMS is 1×1018 cm−3. Helium concentration seems to be constant in the profile after annealing with the flash lamp, however, it is the background due to the measurement. It shows actual helium concentration is not higher than 1×1018 cm−3. Helium of approximately 8×1014 cm−3 has been certainly introduced immediately after plasma-doping. However, helium hardly exists inside the silicon substrate after annealing.
  • FIG. 17 shows a SIMS profile of hydrogen. A background of hydrogen measured by SIMS is 1×1019 cm−3. Hydrogen of high concentration seems to remain at approximately 1 nm from a top surface after annealing. It is thought that material, which is adsorbed to silicon in a hydroxyl-group form at the top, is measured so as to be struck into the inside of the silicon substrate by oxygen ions used in SIMS measurement, so that hydrogen does not remain at the inside. It shows actual hydrogen concentration is not higher than 1×1019 cm−3. As discussed above, hydrogen hardly exists inside the silicon substrate after annealing.
  • It is thought that H or He is extremely small atom (its atomic weight is smaller than boron) and its diffusion coefficient in the silicon is higher than boron by several digits, so that it is passed outside the silicon substrate as gas or largely diffused in a depth direction and diluted in low concentration. As shown in FIG. 14, boron is hardly diffused and remains the shallow part of the silicon substrate even after annealing, and has an effect of lowering electric resistance. On the other hand, as shown in FIGS. 16 and 17, impurities excepting boron (i.e., H and He) do not exist at least in high concentration after annealing. Therefore, it is preferable because they hardly have an adverse effect electrically.
  • As discussed above, amorphism performed by helium plasma or hydrogen plasma has an effect that helium or hydrogen hardly remains inside the silicon substrate, so that they hardly have an adverse effect electrically. This effect can not be obtained by conventional amorphism performed by ion implantation technique of germanium, silicon or argon. This is because an ion having great atomic weight is required to be used for making amorphous by ion implantation technique. In a word, if a chemical element having great atomic weight is not used, amorphism can not be performed. However, it is well known that a chemical element having great atomic weight has a small diffusion coefficient, so that it remains inside the silicon substrate even after annealing. As mentioned above, according to amorphism performed by ion implantation technique, in a case where a chemical element having a great diffusion coefficient is used, amorphism itself can not be performed, and in a case where a chemical element (i.e., germanium, silicon or argon) which is presently disclosed to be capable of making amorphous is used, the used chemical element remains inside the silicon substrate after annealing. Accordingly, it does not have the effect which the present invention has.
  • INDUSTRIAL APPLICABILITY
  • As discussed above, the present invention provides a method for forming an impurity layer, which is capable of forming extremely shallow impurity layers of high concentration at room temperature for a short time, capable of controlling dose amount easily, and capable of lowering sheet resistance after annealing.

Claims (15)

1-22. (canceled)
23. A method for introducing impurities, comprising:
a first step for irradiating a plasma containing He to a surface of a substrate so as to form an amorphous layer at the surface of the substrate;
a second step for introducing impurities into the surface of the substrate; and
an annealing step after the second step,
wherein the first step is performed at the same time as the second step,
the annealing step is a step for activating the impurities.
24. The method for introducing impurities of claim 23, wherein a bias voltage in time of irradiating the plasma is more than 30V (inclusive) and less than 310V (inclusive),
the irradiating time regarding the plasma is not shorter than 5 second (inclusive) and shorter than 70 second (inclusive), and
the substrate is a single crystalline silicon substrate.
25. The method for introducing impurities of claim 23, wherein the plasma is primarily comprised of He.
26. The method for introducing impurities of claim 23, wherein the plasma is comprised of only He.
27. The method for introducing impurities of claim 23, wherein the second step is a step for plasma-doping the impurities.
28. The method for introducing impurities of claim 23, wherein the second step is a step for ion-implanting the impurities.
29. The method for introducing impurities of claim 23, wherein the first step comprises a step for controlling a thickness of the amorphous layer by changing at least one condition of bias voltage, irradiating time, bias power, ionic species and sheath voltage related to the plasma for irradiating the surface of the substrate.
30. A method for introducing impurities, comprising:
a first step for irradiating plasma containing He to a surface of a film so as to form an amorphous layer at the surface of the film;
a second step for introducing impurities into the surface of the film; and
an annealing step after the second step,
wherein the first step is performed at the same time as the second step,
the annealing step is a step for activating the impurities.
31. The method for introducing impurities of claim 30, wherein a bias voltage in time of irradiating the plasma is more than 30V (inclusive) and less than 310V (inclusive),
the irradiating time regarding the plasma is not shorter than 5 second (inclusive) and shorter than 70 second (inclusive), and
the film is made of a single crystalline silicon.
32. The method for introducing impurities of claim 30, wherein the plasma is primarily comprised of He.
33. The method for introducing impurities of claim 30, wherein the plasma is comprised of only He.
34. The method for introducing impurities of claim 30, wherein the second step is a step for plasma-doping the impurities.
35. The method for introducing impurities of claim 30, wherein the second step is a step for ion-implanting the impurities.
36. The method for introducing impurities of claim 30, wherein the first step comprises a step for controlling a thickness of the amorphous layer by changing at least one condition of bias voltage, irradiating time, bias power, ionic species and sheath voltage related to the plasma for irradiating the surface of the film.
US12/718,549 2003-02-19 2010-03-05 Method for introducing impurities and apparatus for introducing impurities Expired - Fee Related US8222128B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/718,549 US8222128B2 (en) 2003-02-19 2010-03-05 Method for introducing impurities and apparatus for introducing impurities

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2003-041123 2003-02-19
JP2003041123 2003-02-19
PCT/JP2004/001473 WO2004075274A1 (en) 2003-02-19 2004-02-12 Method for introducing impurities
US11/153,572 US7709362B2 (en) 2003-02-19 2005-06-15 Method for introducing impurities and apparatus for introducing impurities
US12/718,549 US8222128B2 (en) 2003-02-19 2010-03-05 Method for introducing impurities and apparatus for introducing impurities

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/153,572 Continuation US7709362B2 (en) 2003-02-19 2005-06-15 Method for introducing impurities and apparatus for introducing impurities

Publications (2)

Publication Number Publication Date
US20100167508A1 true US20100167508A1 (en) 2010-07-01
US8222128B2 US8222128B2 (en) 2012-07-17

Family

ID=32905279

Family Applications (5)

Application Number Title Priority Date Filing Date
US11/153,572 Expired - Fee Related US7709362B2 (en) 2003-02-19 2005-06-15 Method for introducing impurities and apparatus for introducing impurities
US11/819,567 Expired - Fee Related US7618883B2 (en) 2003-02-19 2007-06-28 Method for introducing impurities and apparatus for introducing impurities
US12/007,736 Expired - Fee Related US7696072B2 (en) 2003-02-19 2008-01-15 Method for introduction impurities and apparatus for introducing impurities
US12/040,476 Expired - Fee Related US7741199B2 (en) 2003-02-19 2008-02-29 Method for introducing impurities and apparatus for introducing impurities
US12/718,549 Expired - Fee Related US8222128B2 (en) 2003-02-19 2010-03-05 Method for introducing impurities and apparatus for introducing impurities

Family Applications Before (4)

Application Number Title Priority Date Filing Date
US11/153,572 Expired - Fee Related US7709362B2 (en) 2003-02-19 2005-06-15 Method for introducing impurities and apparatus for introducing impurities
US11/819,567 Expired - Fee Related US7618883B2 (en) 2003-02-19 2007-06-28 Method for introducing impurities and apparatus for introducing impurities
US12/007,736 Expired - Fee Related US7696072B2 (en) 2003-02-19 2008-01-15 Method for introduction impurities and apparatus for introducing impurities
US12/040,476 Expired - Fee Related US7741199B2 (en) 2003-02-19 2008-02-29 Method for introducing impurities and apparatus for introducing impurities

Country Status (7)

Country Link
US (5) US7709362B2 (en)
EP (1) EP1596427A4 (en)
JP (1) JP4387355B2 (en)
KR (1) KR100739837B1 (en)
CN (1) CN1751381A (en)
TW (1) TW200423185A (en)
WO (1) WO2004075274A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080035061A1 (en) * 2006-08-14 2008-02-14 Sang Chul Kim Fabricating A Semiconductor Device
US20090035878A1 (en) * 2005-03-31 2009-02-05 Yuichiro Sasaki Plasma Doping Method and Apparatus

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200423185A (en) 2003-02-19 2004-11-01 Matsushita Electric Ind Co Ltd Method of introducing impurity
JP5102495B2 (en) * 2004-12-13 2012-12-19 パナソニック株式会社 Plasma doping method
US7393761B2 (en) * 2005-01-31 2008-07-01 Tokyo Electron Limited Method for fabricating a semiconductor device
WO2006106779A1 (en) 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. Impurity introduction apparatus and method of impurity introduction
US7888245B2 (en) * 2006-05-11 2011-02-15 Hynix Semiconductor Inc. Plasma doping method and method for fabricating semiconductor device using the same
ATE548621T1 (en) * 2006-08-01 2012-03-15 Jscd Holding L P IMPROVED DRYING SYSTEM
US20080075880A1 (en) * 2006-09-26 2008-03-27 Anthony Renau Non-doping implantation process utilizing a plasma ion implantation system
US7820533B2 (en) * 2007-02-16 2010-10-26 Varian Semiconductor Equipment Associates, Inc. Multi-step plasma doping with improved dose control
US9498845B2 (en) * 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
JP2009182076A (en) * 2008-01-30 2009-08-13 Panasonic Corp Semiconductor device and fabrication method for the same
US8372735B2 (en) * 2008-08-14 2013-02-12 Varian Semiconductor Equipment Associates, Inc. USJ techniques with helium-treated substrates
US8124506B2 (en) * 2008-08-14 2012-02-28 Varian Semiconductor Equipment Associates, Inc. USJ techniques with helium-treated substrates
JP2012507867A (en) * 2008-10-31 2012-03-29 アプライド マテリアルズ インコーポレイテッド Adjustment of doping profile in P3i process
US20110300696A1 (en) * 2010-06-02 2011-12-08 Varian Semiconductor Equipment Associates, Inc. Method for damage-free junction formation
JP5826524B2 (en) * 2010-07-16 2015-12-02 住友重機械工業株式会社 Plasma doping apparatus and plasma doping method
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8877602B2 (en) * 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103871848A (en) * 2012-12-14 2014-06-18 中国科学院微电子研究所 Method for improving uniformity of ultra shallow junction
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140342569A1 (en) * 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
CN103500718A (en) * 2013-08-02 2014-01-08 上海华力微电子有限公司 Method for monitoring ion implantation technology for manufacturing of integrated circuit
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170170018A1 (en) * 2015-12-14 2017-06-15 Lam Research Corporation Conformal doping using dopant gas on hydrogen plasma treated surface
US9911660B2 (en) 2016-04-26 2018-03-06 Lam Research Corporation Methods for forming germanium and silicon germanium nanowire devices
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) * 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10147584B2 (en) 2017-03-20 2018-12-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for decelerated ion beam with no energy contamination
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10763338B2 (en) * 2017-08-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Silicide implants
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JPWO2020174731A1 (en) * 2019-02-28 2021-12-23 パナソニックIpマネジメント株式会社 Manufacturing method of infrared sensor, infrared sensor array, and infrared sensor
CN113846384B (en) * 2021-09-22 2023-05-12 中国科学院半导体研究所 Method for surface amorphization of crystalline germanium material

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5496752A (en) * 1992-10-15 1996-03-05 Fujitsu Limited Method of manufacturing thin film transistors in a liquid crystal display apparatus
US5561072A (en) * 1993-11-22 1996-10-01 Nec Corporation Method for producing shallow junction in surface region of semiconductor substrate using implantation of plasma ions
US6265321B1 (en) * 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
US20030077886A1 (en) * 2000-12-18 2003-04-24 Akio Machida Semiconductor layer doping method, thin-film semiconductor device manufacturing method, and thin-film semiconductor device
US20040102017A1 (en) * 2002-11-27 2004-05-27 Tsz-Lin Chang Method of forming trench isolation structure
US20050196961A1 (en) * 2004-03-08 2005-09-08 Da Zhang Method for forming a semiconductor device having metal silicide
US7759254B2 (en) * 2003-08-25 2010-07-20 Panasonic Corporation Method for forming impurity-introduced layer, method for cleaning object to be processed apparatus for introducing impurity and method for producing device

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5897863A (en) * 1981-12-07 1983-06-10 Toshiba Corp Manufacture of semiconductor device
JPS63299328A (en) 1987-05-29 1988-12-06 Matsushita Electric Ind Co Ltd Impurity introduction
JPH03218638A (en) 1989-08-11 1991-09-26 Seiko Instr Inc Manufacture of semiconductor device
JPH05206053A (en) 1992-01-30 1993-08-13 Matsushita Electric Ind Co Ltd Crystal damage remover
JPH05206045A (en) * 1992-01-27 1993-08-13 Hitachi Ltd Manufacture of semiconductor device
JPH0712085B2 (en) 1992-10-22 1995-02-08 株式会社半導体エネルギー研究所 Method for manufacturing insulated gate field effect semiconductor device
JP3437863B2 (en) 1993-01-18 2003-08-18 株式会社半導体エネルギー研究所 Method for manufacturing MIS type semiconductor device
US5738731A (en) * 1993-11-19 1998-04-14 Mega Chips Corporation Photovoltaic device
US5897346A (en) * 1994-02-28 1999-04-27 Semiconductor Energy Laboratory Co., Ltd. Method for producing a thin film transistor
JPH08279475A (en) 1995-04-04 1996-10-22 Murata Mfg Co Ltd Forming method of active layer in compound semiconductor device
US5956581A (en) 1995-04-20 1999-09-21 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
JPH0917867A (en) 1995-06-30 1997-01-17 Nkk Corp Method of forming contact part in semiconductor device
JP2848439B2 (en) * 1995-11-10 1999-01-20 日本電気株式会社 Method for manufacturing semiconductor device
US6391690B2 (en) 1995-12-14 2002-05-21 Seiko Epson Corporation Thin film semiconductor device and method for producing the same
JP3545526B2 (en) * 1996-01-19 2004-07-21 株式会社東芝 Method for manufacturing semiconductor device
US5892235A (en) 1996-05-15 1999-04-06 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
TW548686B (en) 1996-07-11 2003-08-21 Semiconductor Energy Lab CMOS semiconductor device and apparatus using the same
JP3749924B2 (en) 1996-12-03 2006-03-01 富士通株式会社 Ion implantation method and semiconductor device manufacturing method
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US6153524A (en) * 1997-07-29 2000-11-28 Silicon Genesis Corporation Cluster tool method using plasma immersion ion implantation
JPH1154451A (en) * 1997-08-07 1999-02-26 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
TW388087B (en) * 1997-11-20 2000-04-21 Winbond Electronics Corp Method of forming buried-channel P-type metal oxide semiconductor
JP3523093B2 (en) 1997-11-28 2004-04-26 株式会社東芝 Semiconductor device and manufacturing method thereof
US6071782A (en) 1998-02-13 2000-06-06 Sharp Laboratories Of America, Inc. Partial silicidation method to form shallow source/drain junctions
JP3054123B2 (en) 1998-06-08 2000-06-19 アプライド マテリアルズ インコーポレイテッド Ion implantation method
US6037204A (en) 1998-08-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Silicon and arsenic double implanted pre-amorphization process for salicide technology
US6030863A (en) 1998-09-11 2000-02-29 Taiwan Semiconductor Manufacturing Company Germanium and arsenic double implanted pre-amorphization process for salicide technology
KR100316707B1 (en) * 1999-02-05 2001-12-28 윤종용 MOS transistor and manufacturing method thereof
KR100745495B1 (en) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 Semiconductor fabrication method and semiconductor fabrication equipment
US6617226B1 (en) 1999-06-30 2003-09-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
TW423052B (en) * 1999-09-06 2001-02-21 Taiwan Semiconductor Mfg Preprocess of metal silidation manufacturing process
TW484187B (en) 2000-02-14 2002-04-21 Tokyo Electron Ltd Apparatus and method for plasma treatment
KR100839259B1 (en) 2000-03-17 2008-06-17 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. Method of forming ultrashallow junctions by laser annealing and rapid thermal annealing
JP3851752B2 (en) 2000-03-27 2006-11-29 株式会社東芝 Manufacturing method of semiconductor device
JP2001326190A (en) * 2000-05-17 2001-11-22 Nec Corp Method and apparatus for processing thin film
JP4171162B2 (en) * 2000-05-30 2008-10-22 三洋電機株式会社 Photovoltaic element and manufacturing method thereof
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
KR100962054B1 (en) 2000-12-05 2010-06-08 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Method of fabricating a semiconductor device
TW525216B (en) 2000-12-11 2003-03-21 Semiconductor Energy Lab Semiconductor device, and manufacturing method thereof
TW546846B (en) 2001-05-30 2003-08-11 Matsushita Electric Ind Co Ltd Thin film transistor and method for manufacturing the same
JP2003007636A (en) 2001-06-26 2003-01-10 Sony Corp Method of reducing doping volume
US20030040130A1 (en) * 2001-08-09 2003-02-27 Mayur Abhilash J. Method for selection of parameters for implant anneal of patterned semiconductor substrates and specification of a laser system
JP4686945B2 (en) 2001-09-12 2011-05-25 株式会社デンソー Method for manufacturing silicon carbide semiconductor device
US6713819B1 (en) * 2002-04-08 2004-03-30 Advanced Micro Devices, Inc. SOI MOSFET having amorphized source drain and method of fabrication
JP3746246B2 (en) 2002-04-16 2006-02-15 株式会社東芝 Manufacturing method of semiconductor device
US7135423B2 (en) * 2002-05-09 2006-11-14 Varian Semiconductor Equipment Associates, Inc Methods for forming low resistivity, ultrashallow junctions with low damage
JP2004014878A (en) 2002-06-07 2004-01-15 Sharp Corp Method for manufacturing semiconductor substrate and semiconductor device
JP2004063391A (en) 2002-07-31 2004-02-26 Mitsumi Electric Co Ltd Connector for module
JP2004158627A (en) 2002-11-06 2004-06-03 Renesas Technology Corp Method for manufacturing semiconductor device
JP4544447B2 (en) * 2002-11-29 2010-09-15 パナソニック株式会社 Plasma doping method
TW200423185A (en) 2003-02-19 2004-11-01 Matsushita Electric Ind Co Ltd Method of introducing impurity
US20040235281A1 (en) 2003-04-25 2004-11-25 Downey Daniel F. Apparatus and methods for junction formation using optical illumination
EP1626504A1 (en) 2003-05-21 2006-02-15 Sony Corporation Data processing device, encoding device, encoding method, decoding device, decoding method, and program
JP4589606B2 (en) 2003-06-02 2010-12-01 住友重機械工業株式会社 Manufacturing method of semiconductor device
JP2005223218A (en) * 2004-02-06 2005-08-18 Matsushita Electric Ind Co Ltd Method of introducing impurity
US7501332B2 (en) * 2004-04-05 2009-03-10 Kabushiki Kaisha Toshiba Doping method and manufacturing method for a semiconductor device
JP5102495B2 (en) * 2004-12-13 2012-12-19 パナソニック株式会社 Plasma doping method
US20060205192A1 (en) * 2005-03-09 2006-09-14 Varian Semiconductor Equipment Associates, Inc. Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition
WO2006121131A1 (en) * 2005-05-12 2006-11-16 Matsushita Electric Industrial Co., Ltd. Plasma doping method and plasma doping apparatus

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5496752A (en) * 1992-10-15 1996-03-05 Fujitsu Limited Method of manufacturing thin film transistors in a liquid crystal display apparatus
US5561072A (en) * 1993-11-22 1996-10-01 Nec Corporation Method for producing shallow junction in surface region of semiconductor substrate using implantation of plasma ions
US6265321B1 (en) * 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
US20030077886A1 (en) * 2000-12-18 2003-04-24 Akio Machida Semiconductor layer doping method, thin-film semiconductor device manufacturing method, and thin-film semiconductor device
US20040102017A1 (en) * 2002-11-27 2004-05-27 Tsz-Lin Chang Method of forming trench isolation structure
US7759254B2 (en) * 2003-08-25 2010-07-20 Panasonic Corporation Method for forming impurity-introduced layer, method for cleaning object to be processed apparatus for introducing impurity and method for producing device
US20050196961A1 (en) * 2004-03-08 2005-09-08 Da Zhang Method for forming a semiconductor device having metal silicide

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090035878A1 (en) * 2005-03-31 2009-02-05 Yuichiro Sasaki Plasma Doping Method and Apparatus
US20080035061A1 (en) * 2006-08-14 2008-02-14 Sang Chul Kim Fabricating A Semiconductor Device

Also Published As

Publication number Publication date
US7709362B2 (en) 2010-05-04
US20080124900A1 (en) 2008-05-29
WO2004075274A1 (en) 2004-09-02
EP1596427A4 (en) 2009-06-10
JPWO2004075274A1 (en) 2006-06-01
US20080160728A1 (en) 2008-07-03
US7696072B2 (en) 2010-04-13
CN1751381A (en) 2006-03-22
US20050277273A1 (en) 2005-12-15
JP4387355B2 (en) 2009-12-16
US20070254460A1 (en) 2007-11-01
KR20050098923A (en) 2005-10-12
TWI328827B (en) 2010-08-11
US7618883B2 (en) 2009-11-17
US7741199B2 (en) 2010-06-22
US8222128B2 (en) 2012-07-17
TW200423185A (en) 2004-11-01
KR100739837B1 (en) 2007-07-13
EP1596427A1 (en) 2005-11-16

Similar Documents

Publication Publication Date Title
US8222128B2 (en) Method for introducing impurities and apparatus for introducing impurities
US7816237B2 (en) Ultra shallow junction formation by epitaxial interface limited diffusion
US8501605B2 (en) Methods and apparatus for conformal doping
JPWO2005020306A1 (en) Method for forming impurity introduction layer, method for cleaning object to be processed, impurity introduction apparatus and device manufacturing method
Felch et al. Plasma doping for the fabrication of ultra-shallow junctions
US7378335B2 (en) Plasma implantation of deuterium for passivation of semiconductor-device interfaces
US20140144379A1 (en) Systems and methods for plasma doping microfeature workpieces
US20080194086A1 (en) Method of Introducing Impurity
US5387545A (en) Impurity diffusion method
US5256162A (en) Apparatus for forming shallow electrical junctions
US6077751A (en) Method of rapid thermal processing (RTP) of ion implanted silicon
EP1672683A1 (en) Junction forming method and object to be processed and formed by using same
US5674777A (en) Method for forming silicon-boron binary compound layer as boron diffusion source in silicon electronic device
US20020098664A1 (en) Method of producing SOI materials
Felch et al. Characterization of ultra-shallow p+-n junctions formed by plasma doping with BF3 and N2 plasmas
Borland et al. Ge & Ge+ B infusion doping and deposition for ultra-shallow junction, blanket and localized SiGe or Ge formation on Cz and SOI wafers
Nakano P+/N SHALLOW JUNCTION TECHNOLOGIES FOR ULSI

Legal Events

Date Code Title Description
REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20160717