TWI807394B - 半導體裝置以及其製造方法 - Google Patents

半導體裝置以及其製造方法 Download PDF

Info

Publication number
TWI807394B
TWI807394B TW110130420A TW110130420A TWI807394B TW I807394 B TWI807394 B TW I807394B TW 110130420 A TW110130420 A TW 110130420A TW 110130420 A TW110130420 A TW 110130420A TW I807394 B TWI807394 B TW I807394B
Authority
TW
Taiwan
Prior art keywords
layer
tunnel junction
sidewall spacer
magnetic
magnetic tunnel
Prior art date
Application number
TW110130420A
Other languages
English (en)
Other versions
TW202218205A (zh
Inventor
蕭琮介
呂勃陞
溫偉志
王良瑋
王郁仁
陳殿豪
陳燕銘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202218205A publication Critical patent/TW202218205A/zh
Application granted granted Critical
Publication of TWI807394B publication Critical patent/TWI807394B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/10Magnetoresistive devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • G11C11/161Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect details concerning the memory cell structure, e.g. the layers of the ferromagnetic memory cell
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • H10N50/85Magnetic active materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

一種半導體裝置,包含底部電極、磁性穿隧接面元件,位於該底部電極之上、頂部電極,位於該磁性穿隧接面元件之上、以及側壁間隔物,鄰接該磁性穿隧接面元件,其中該底部電極、該頂部電極、以及該側壁間隔物的至少其中之一者包含一磁性材料。

Description

半導體裝置以及其製造方法
本發明係有關於半導體裝置以及製造方法,特別是有關於提供具有MRAM裝置(或者單元)的一個陣列的一個半導體裝置,其中每一個MRAM裝置包含為了改善針對磁性干擾的MRAM裝置的效能的一個磁性屏蔽。
半導體積體電路(IC)產業面臨指數性的成長。IC材料以及設計的科技進展產生了IC世代,其中相比前面的世代,每一個世代具有更小以及更複雜的電路。在IC演進的過程當中,功能性密度(亦即,每晶片面積中的互連裝置數量)大致上增加了,而幾何尺寸(亦即,利用一個製程所能夠產生的最小元件(或者線段))縮小了。這種縮小製程大致上藉由增加生產效率以及降低相關成本提供了好處。如此的縮小亦增加了IC製程以及生產IC的複雜度。
在一些IC設計以及製程的一個進展為非揮發性記憶體(non-volatile memory;NVM),以及具體而言磁性隨機存取記憶體(magnetic random-access memory;MRAM)的研發。在一些實施例中,MRAM相較於揮發性的靜態隨機存取記憶體(static random-access memory;SRAM)可提供類似的效能,而相較於揮發性的動態隨機存取記憶體(dynamic random-access memory;DRAM)可提供相似的密度以及較小的能量消耗。相較於NVM快閃記憶體(Flash memory),MRAM可提供較快的存取以及在一定時間內承受較少的退化。一個MRAM單元由一個磁性穿隧接面(magnetic tunneling junction;MTJ)所形成,包含由一個細絕緣阻障所隔開的兩個鐵磁層,以及藉由兩個鐵磁層之間通過絕緣阻障的電子穿隧進行運作。雖然現存的形成MRAM裝置的方法大致上合適於他們的預期目的,但是他們在所有的層面而言並非令人完全滿意。例如,企望的是對於MRAM裝置而言,針對磁性干擾提供屏蔽改善。
在一個範例樣態中,本揭露導向一個半導體裝置。一種半導體裝置包含底部電極、磁性穿隧接面元件,位於該底部電極之上、頂部電極,位於該磁性穿隧接面元件之上、以及側壁間隔物,鄰接該磁性穿隧接面元件,其中該底部電極、該頂部電極、以及該側壁間隔物的至少其中之一者包含一磁性材料。
在另一個範例樣態中,本揭露導向一個半導體裝置,包含底部電極、磁性穿隧接面元件,位於底部電極之上、頂部電極,位於磁性穿隧接面元件之上、以及側壁間隔物,鄰接磁性穿隧接面元件,其中側壁間隔物包含磁性材料。
在另一個範例樣態中,本揭露導向一個半導體裝置之製造方法,包含形成第一穿孔於第一介電層之中。形成第一阻障層於第一穿孔之中形成第一導電層於第一阻障層之上以及第一穿孔之中。沉積磁性穿隧接面堆疊於第一導電層、第一阻障層、以及第一介電層之上,其中磁性穿隧接面堆疊電性連接至第一導電層。圖案化磁性穿隧接面堆疊,以產生圖案化磁性穿隧接面堆疊。沉積氮化物間隔物於圖案化磁性穿隧接面堆疊的側壁之上。沉積保護性間隔物於氮化物間隔物之上。沉積氧化物間隔物於保護性間隔物之上。沉積第二介電層於氧化物間隔物以及圖案化磁性穿隧接面堆疊之上。形成第二穿孔於第二介電層之中。形成第二阻障層於第二穿孔之中。以及形成第二導電層於第二阻障層之上以及第二穿孔之中,其中第二導電層電性連接至圖案化磁性穿隧接面堆疊,其中第一阻障層、第一導電層、保護性間隔物、第二阻障層、以及第二導電層的至少其中之一者包含磁性材料。
以下揭露內容提供了用於實施所提供標的的不同特徵的許多不同實施例或實例。以下描述了部件以及佈置等的特定實例以簡化本揭露內容。當然,該等僅僅是實例,而並不旨在為限制性的。例如,在以下描述中在第二特徵上方或之上形成第一特徵可以包括第一特徵和第二特徵形成為直接接觸的實施例,並且亦可以包括可以在第一特徵與第二特徵之間形成額外特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本揭露可以在各種實例中重複參考數字及/或字母。該重複是為了簡單和清楚的目的,並且本身並不代表所論述的各種實施例及/或配置之間的關係。
進一步而言,在空間上相對的用語,例如“之下”,“下部”,“下方”,“上部”以及類似用語,如圖式所描繪,可用以使本揭露更容易地描述一個特徵與另一特徵之間的關係。 空間相對術語意在除了涵蓋裝置在圖式所描述的取向,亦涵蓋在操作當中或使用當中的裝置的不同取向。設備可以以其他方式取向(旋轉90度或在其他方向上),並且可以類似地相應解釋在此使用的空間相對描述詞。更進一步,當一數字或一範圍的數字係用「大約」、「近似」以及類似方式形容時,該字彙的目的是,考慮到製程當中固有地產生之變化,如在此領域具通常技術的人員所能理解的,涵蓋包括所述的數字的一定合理範圍內的其他數字。例如,基於有關於製作一特徵的已知製程所能容忍的公差的相關數值,在所述的數字或一範圍的數字為例如+/-10%的範圍之內。舉例來說,一材料層具「大約5nm」的厚度可涵蓋從4.5奈米至5.5奈米,從4.0奈米至5.0奈米的尺寸範圍,等等。
本發明係有關於半導體裝置以及製造方法,特別是有關於提供具有MRAM裝置(或者單元)的一個陣列的一個半導體裝置,其中每一個MRAM裝置包含為了改善針對磁性干擾的MRAM裝置的效能的一個磁性屏蔽。磁性屏蔽可提供為在一個底部電極中的一個磁性材料、一個側壁間隔物中的一個磁性材料、及/或一個頂部電極中的一個磁性材料。
在一些實施例中,MRAM裝置係提供於半導體裝置的一個記憶體裝置區域(或者MRAM區域)之中,以及邏輯裝置係提供於半導體裝置的一個邏輯裝置區域(或者邏輯區域)之中。記憶體裝置區域包含MRAM裝置的一個陣列,排列成多個列以及多個行。在相同列的MRAM裝置係連接至一個共同的字元線,以及在相同行的MRAM裝置係連接至一個共同的位元線。此陣列可被連接至邏輯區域的邏輯裝置且被其所控制。
本揭露的MRAM裝置可形成於一個具有一個半導體基板的半導體結構之上。特定的裝置可形成於半導體基板之上,如具有相關的閘極特徵、源極特徵、以及汲極特徵的場效電晶體(FET)。亦設置於半導體特徵之上的可為一個多層互連(multi-layaer interconnect;MLI)(亦可稱為多層互連結構或內連線結構)的一個或者多個層,其包含水平延伸的導電線(亦即,金屬化層)以及垂直延伸的導電導孔(via)。多層互連可互連一個或者多個形成於基板上的裝置(亦即,FETs)。在一個實施例中,如下所述,MLI的至少一個金屬化層形成於半導體結構上,而MLI的其他金屬化層可在製造MRAM裝置之後(或之上)形成。換句話說,MRAM裝置係設置在MLI的一個金屬化層之中。
第1A圖以及第1B圖描繪具有一個MRAM陣列250的一個半導體裝置200的透視圖。具體而言,第1圖描繪MRAM陣列250的一個組合方塊,例如具有一個磁性穿隧接面150(或者磁性穿隧接面堆疊150)的一個MRAM單元249。磁性穿隧接面150包含一個上鐵磁片152以及一個下鐵磁片154,其由一個細絕緣層156(亦稱為一個穿隧阻障層)所隔開。兩個鐵磁片的其中之一者(亦即,下鐵磁片154)為固定於一個反鐵磁層的一個磁層,而另一個鐵磁片(亦即,上鐵磁片152)為一個“自由”磁層,其磁場可改變至兩個狀態或者更多個狀態之一者,以儲存兩個或者更多之一對應的資料狀態。
磁性穿隧接面150利用穿隧磁阻(tunnel magnetoresistance;TMR)以將磁場儲存於上鐵磁片152以及下鐵磁片154。對於一個足夠細的絕緣層156(亦即,大約10奈米或者更小的厚度),電子可從上鐵磁片152穿隧至下鐵磁片154。資料可以很多方法寫入MRAM單元。在一個方法中,電流在上鐵磁片152以及下鐵磁片154之間流通,而導致一個磁場儲存於自由磁層(亦即,上鐵磁片152)。在另一個方法中,使用了自旋力矩轉移(spin-transfer-torque;STT),其中利用一個旋轉校準或者極化的電子流以改變自由磁層當中相對於固定的磁層的磁場。可以使用其他方法以寫入資料。然而,所有的資料寫入方法包含改變自由磁層當中相對於固定的磁層的磁場。
磁性穿隧接面150的電阻值基於磁性穿隧效應,會根據儲存於上鐵磁片152以及下鐵磁片154的磁場作改變。例如,當上鐵磁片152以及下鐵磁片154的磁場對齊(或者在同一個方向),磁性穿隧接面150在一個低電阻狀態(亦即,一個邏輯“0”狀態)。當上鐵磁片152以及下鐵磁片154的磁場在相反方向,磁性穿隧接面150在一個高電阻狀態(亦即,一個邏輯“1”狀態)。藉由將一個電流通過磁性穿隧接面150,可以改變上鐵磁片152的磁場方向。藉由量測上鐵磁片152以及下鐵磁片154之間的電阻值,耦接至磁性穿隧接面150的一個讀取電路可以辨別“0”狀態以及“1”狀態。第1A圖進一步展示磁性穿隧接面150的上鐵磁片152耦接至位元線,磁性穿隧接面150的下鐵磁片154耦接至在一個電晶體結構101中的一個電晶體的一個源極(或者汲極),電晶體的汲極(或者源極)耦接至一個供應線(supply line;SL),而電晶體的閘極耦接至一個字元線(WL)。可以透過位元線、字元線、以及供應線存取(如讀取或者寫入)磁性穿隧接面150。由於磁性穿隧接面150利用磁化以儲存二進位數位化資訊,其資料可能有受到外在磁場所不利地干擾的風險。本揭露的一個目的為提供可以保護磁性穿隧接面150防止外在磁場影響的結構。
第1B圖描繪一個MRAM陣列250,其包含MRAM單元(或者MRAM裝置)249的M列(字元)以及N行(位元)。每一個MRAM單元249包含一個磁性穿隧接面150。字元線WL 1、WL 2、…WL M延伸橫跨MRAM單元249的各別列,以及位元線BL 1、BL 2、…BL N沿著MRAM單元249的行延伸。
第1C圖根據本揭露的一些實施例,展示沿著MRAM陣列250的位元線方向(亦即,第1B圖中的B-B線)的半導體裝置200的剖面圖,展示MRAM陣列250以及邏輯裝置252在同一個圖式中。參考第1C圖,MRAM陣列250係提供於一個MRAM區域100A中,而邏輯裝置252係提供於一個而邏輯區域100B中。邏輯裝置252可被用於實施存取MRAM陣列250的讀取/寫入邏輯或者執行其他功能。MRAM區域100A以及邏輯區域100B在一個半導體基板100之中或者在一個半導體基板100之上具有一個共同電晶體結構101。
在一些實施例中,半導體基板100可為一個矽基板(如一個矽晶圓),但不限制於此。或者,半導體基板100包含吝一個元素半導體,如鍺(germanium);一個化合物半導體,包含碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)、及/或銻化銦(indium antimonide);一個合金半導體,包含矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷化銦鋁(AlInAs)、砷化鋁鎵(AlGaAs)、砷化銦鎵(GaInAs)、磷化銦鎵(GaInP)、及/或磷砷銦鎵(GaInAsP);或者前述的組合。在另一個可實施例中,半導體基板100為絕緣層上半導體(SOI)。在其他實施例中,半導體基板100可包含一個經摻雜的磊晶層、一個梯度半導體層、及/或一個半導體層覆蓋不同型的另一個半導體層,如一個矽層在一個矽鍺層上。半導體基板100可以包含經摻雜的區域,如一個p井、一個n井、或者前述的組合。半導體基板100亦可以不包含經摻雜的區域,如一個p井、一個n井、或者前述的組合。
半導體基板100進一步包含至少一部份在半導體基板100中的重摻雜區域,例如源極103以及汲極105。閘極107位於半導體基板100的一個頂面之上以及源極103以及汲極105之間。接點插塞108形成於層間電介質(inter-layer dielectric;ILD)(亦可稱為層間介電層)109之中,且可電性耦接至電晶體結構101。在一些實施例中,層間電介質109形成於半導體基板100之上。層間電介質109可由形成此層的各種技術所形成,亦即,化學氣相沈積法(chemical vapor deposition, CVD)、低壓化學氣相沈積(low pressure chemical vapor deposition, LPCVD)、電漿化學氣相沈積(plasma enhanced chemical vapor deposition PECVD)、濺射以及物理氣相沈積法(physical vapor deposition, PVD)、熱生長、以及相似的方法。層間電介質109可由各種介電材料,如氧化物、氮氧化物、二氧化矽(silicon dioxide;SiO 2)、含氮的氧化物(亦即,含氮的二氧化矽)、摻雜氮的氧化物(亦即,植入氮氣的二氧化矽)、氮氧化矽(silicon oxynitride;Si xO yN z)、以及相似的材料。電晶體結構101中的電晶體可為平面電晶體或者非平面電晶體,如鰭片式場效電晶體(FinFET)或者閘極環繞(gate-all-around, GAA)電晶體。
在一些實施例中,提供淺溝槽隔離(shallow trench isolation;STI)111以定義以及電性隔離相鄰的電晶體。一些數量的淺溝槽隔離111形成於半導體基板100之中。淺溝槽隔離111可包含例如氧化物、氮氧化物、二氧化矽、含氮的氧化物(亦即,含氮的二氧化矽)、摻雜氮的氧化物(亦即,植入氮氣的二氧化矽)、氮氧化矽(silicon oxynitride;Si xO yN z)、以及相似的材料。淺溝槽隔離111亦可由任何合適的“高介電係數”或者“高K”材料所形成,其中K大於或者等於大約8,如氧化鈦(titanium oxide;Ti xO y,亦即TiO 2)、氧化鉭(tantalum oxide;Ta xO y,亦即Ta 2O 5)、以及相似的材料。或者,淺溝槽隔離111亦可由任何合適的“低介電係數”或者“低K”介電材料所形成,其中K小於或者等於大約4。
第1C圖進一步描述半導體裝置200包含一個多層互連(MLI)結構308位於電晶體結構101之上。多層互連結構308包含三個相鄰金屬層302、金屬層304與金屬層306,以及其他未圖示的金屬層。例如,在一些實施例中,在金屬層306之上有一些金屬層。例如,在一些實施例中,在金屬層302之下有一個或者多個金屬層。金屬層302為電晶體結構101的頂面之上的第N個金屬層,而金屬層304以及金屬層306分別為第(N+1)個金屬層以及第(N+2)個金屬層。因此,在一些實施例中,金屬層302、金屬層304、以及金屬層306亦可被稱為金屬層M N、金屬層M N+1、以及金屬層M N+2。數字N可為任何的自然數。例如,N可為3、4、5、6、或者另一個自然數。在本揭露中,MRAM單元249施加於金屬層304之中。
金屬層302包含一個金屬間介電(inter-metal dielectric;IMD)層206以及金屬線208,兩者位於MRAM區域100A以及邏輯區域100B中。金屬間介電層206可為氧化物(例如二氧化矽)、低介電係數材料(例如摻雜炭的氧化物),或者極低介電係數材料(例如摻雜多孔碳的二氧化矽)。金屬線208可為金屬所製造,例如鋁、銅、或者前述的組合。
金屬層304包含一介電層210,延伸穿過MRAM區域100A以及邏輯區域100B。例如,在一些實施例中,介電層210可包含一個或者多個介電材料,如氮化矽(Si 3N 4)、氮氧化矽(SiON)、碳化矽(SiC)、矽碳氮(SiCN)、或者前述的一個組合。在MRAM區域100A之中,金屬層304進一步包含被一個或者多個介電層210、介電層212、介電層214、以及介電層216環繞的MRAM單元249。在邏輯區域100B中,金屬層304進一步包含金屬導孔213以及金屬線217,被一個或者多個介電層210以及介電層215所環繞。在金屬層304中的各種材料將在下面進一步敘述。
在一個實施例中,介電層212包含一個金屬基(metal-based)介電材料,如氧化鋁(亦即,AlO x,如Al 2O 3)。在一個實施例中,介電層214包含一個低介電係數材料,如一個基於氧化矽的低介電係數材料。例如,介電層214可包含未摻雜矽酸鹽玻璃(USG)、或者經摻雜的氧化矽,如硼磷矽酸鹽玻璃(borophosphosilicate glass;BPSG)、熔矽石玻璃(fused silica glass;FSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、硼矽酸玻璃(boron doped silicon glass;BSG)、及/或其他合適的介電材料。在一個實施例中,介電層216包含一個或者多個基於氧化物的介電材料,如二氧化矽、四乙氧基矽烷(TEOS)形成的氧化物、未摻雜矽酸鹽玻璃或者經摻雜的氧化矽,如硼磷矽酸鹽玻璃、熔矽石玻璃、磷矽酸鹽玻璃、硼矽酸玻璃、及/或其他合適的介電材料。
在本實施例中,每一個MRAM單元249包含一個底部電極(BE)221。底部電極221包含一底部電極導孔(BEVA)220以及一導電的阻障層218(conductive barrier layer),位於底部電極導孔220的側壁以及一個底面之上。底部電極221在其他實施例中可包含其他層。導電的阻障層218可設置於金屬層302中的金屬線208的其中之一的正上方,其連接至電晶體結構101中的電晶體的源極特徵以及汲極特徵的其中之一者之上的一個導孔(此連接並未展示於第1C圖中,但請參見第1A圖)。底部電極導孔220可包含一個磁性材料(如一個鐵磁性材料或者其他類型的磁性材料)或者一個非磁性材料,如鎢、鈦、鉭、氮化鎢(tungsten nitride)、氮化鈦(titanium nitride)、氮化鉭(tantalum nitride)、前述的組合、或者其他合適的金屬或者金屬化合物。鐵磁性材料的例子包含鐵、鎳、鈷、或者它們的化合物。阻障層218可包含一個磁性材料(如鐵、鎳、鈷、或者它們的化合物)或者一個非磁性材料,如氮化鈦、氮化鉭、及/或其他合適的導電性擴散阻障物。阻障層218設置於底部電極導孔220以及周圍的介電層210、介電層212、以及介電層214之間。在阻障層218、底部電極導孔220、或者阻障層218以及底部電極導孔220兩者之中具有一個磁性材料對於為磁性穿隧接面150屏蔽垂直的外部磁場(亦即,沿著“z”方向上下走的磁場)是有效的。
在本實施例中,每一個MRAM單元249進一步包含一個設置於底部電極221上的底部導電硬遮罩(HM)222(亦可簡稱硬遮罩層),一個設置於底部導電硬遮罩222上的磁性穿隧接面(或者磁性穿隧接面堆疊)150,以及一個設置於磁性穿隧接面150上的頂部導電硬遮罩(HM)228(亦可簡稱硬遮罩層)。在一個實施例中,底部導電硬遮罩222以及頂部導電硬遮罩228之每一者可包含一金屬氮化物,如氮化鉭、氮化鈦、鈦/氮化鈦、氮化鉭/氮化鈦、鉭或者前述的組合。在一些實施例中,磁性穿隧接面150可包含鐵磁層、磁性穿隧接面間隔物、以及一個覆蓋層。覆蓋層形成於鐵磁層上。每一個鐵磁層可包含鐵磁性材料,其可為金屬或者金屬合金,例如,鐵、鈷、鎳、鈷鐵硼(CoFeB)、鐵硼(FeB)、鈷鐵(CoFe)、鐵鉑(FePt)、鐵鈀(FePd)、鈷鉑(CoPt)、鈷鈀(CoPd)、鈷鎳(CoNi)、鋱鐵鈷(TbFeCo)、鉻鎳(CrNi)、或者類似的材料。磁性穿隧接面間隔物可包含非鐵磁性金屬,例如,銀、金、銅、鉭、鎢、錳、鉑、鈀、釩、鉻、鈮、鉬、鎝、釕、或者相似的非鐵磁性金屬。另外一磁性穿隧接面間隔物亦可包含絕緣體,例如三氧化二鋁(Al 2O 3)、氧化鎂(MgO)、氧化鉭(TaO)、氧化釕(RuO)、或者相似的絕緣體。覆蓋層可包含非鐵磁性材料,其可為金屬或者絕緣體,例如,銀、金、銅、鉭、鎢、錳、鉑、鈀、釩、鉻、鈮、鉬、鎝、釕、銥、錸、鋨、三氧化二鋁、氧化鎂、氧化鉭、氧化釕、或者相似的非鐵磁性材料。覆蓋層可縮小其相關的MRAM單元的寫入電流。鐵磁層可作為一個自由層(上鐵磁片152)(第1A圖)的功能,其磁極或者磁性取向在其相關的MRAM單元249的寫入操作之中可能被改變。鐵磁層以及磁性穿隧接面間隔物可作為一個固定層(下鐵磁片154) (第1A圖)的功能,其磁極或者磁性取向在其相關的MRAM單元249的操作之中不能被改變。根據其他的實施例,估計磁性穿隧接面150可包含一個反鐵磁層。
在本實施例中,每一個MRAM單元249進一步包含一個位於磁性穿隧接面150以及底部導電硬遮罩(HM)222之的側壁上的介電間隔物224。介電間隔物224可包含一個或者多個介電材料,如氧化矽(SiO x)、氮化矽(SiN x)、氮氧化矽(Si xO yN z)、或者類似的材料。保護性間隔物226在本實施例中設置於介電間隔物224以及頂部導電硬遮罩228的側壁之上。在實施例中,介電間隔物224、保護性間隔物226、以及介電層216從一個俯視角度而言,以360度環繞於磁性穿隧接面150。在一個實施例中,介電間隔物224、保護性間隔物226、以及介電層216統稱為MRAM單元249的側壁間隔物。在一些實施例中,保護性間隔物226包含一個磁性材料,例如鈷或者鎳鐵。在保護性間隔物226之中具有磁性材料對於為磁性穿隧接面150屏蔽水平的外部磁場(如在“xy”平面的磁場)是有效的。在一些實施例中,保護性間隔物226包含一個非磁性材料,如氧化鋁(alumina;Al 2O 3)。
在本實施例中,在邏輯區域100B中的金屬層304包含金屬導孔213、金屬線217、介電層210以及介電層215。金屬導孔213電性連接至金屬層302中的一些金屬線208。介電層215可為氧化物(例如二氧化矽)、低介電係數材料(例如摻雜炭的氧化物),或者極低介電係數材料(例如摻雜多孔碳的二氧化矽)。金屬導孔213與金屬線217可為金屬所製造,如鋁、銅、或者前述的組合。
金屬層306包含一個或者多個介電層230、介電層232、以及介電層234環繞的導電特徵(或者導電層)260以及導電特徵(或者導電層)262。介電層230、介電層232、以及介電層234延伸橫跨MRAM區域100A以及邏輯區域100B兩者。在MRAM區域100A的導電特徵260以及導電特徵262電性耦接至一個或者多個磁性穿隧接面150,並設置於其上。在邏輯區域100B的導電特徵260以及導電特徵262電性耦接至一個或者多個金屬線217,並設置於其上。在金屬層306中的各種元件將在下面進一步敘述。
介電層230包含相同於或者相似於在介電層210中的材料。例如,介電層230可包含一個或者多個介電材料,如氮化矽、氮氧化矽、碳化矽、矽碳氮(SiCN)、或者前述的一個組合。在一個實施例中,介電層232包含相同於或者相似於在介電層212中的材料。例如,介電層232可包含一個金屬基介電材料,如氧化鋁(亦即,AlO x,如Al 2O 3)或者其他金屬氧化物。在一個實施例中,介電層234包含一個低介電係數材料,如一個基於氧化矽的低介電係數材料。例如,介電層234可包含未摻雜矽酸鹽玻璃、或者經摻雜的氧化矽,如硼磷矽酸鹽玻璃、熔矽石玻璃、磷矽酸鹽玻璃、硼矽酸玻璃、及/或其他合適的介電材料。
在本實施例中,導電特徵260為金屬導孔及/或金屬線,且導電特徵262為導電特徵260的側壁以及底面之上的阻障層。在一個實施例中,導電特徵260包含磁性材料(如鐵、鎳、鈷、或者它們的化合物)或者非磁性材料,如鋁、銅、或者前述的組合。在一個實施例中,導電特徵262包含磁性材料(如鐵、鎳、鈷、或者它們的化合物)或者非磁性材料,如氮化鈦、氮化鉭、及/或其他合適的導電性擴散阻障材料。在導電特徵260、導電特徵262、或者導電特徵260以及導電特徵262兩者之中具有磁性材料對於為磁性穿隧接面150屏蔽垂直的外部磁場(亦即,沿著“z”方向上下走的磁場)是有效的。
在本實施例中,在MRAM區域100A中的導電特徵260以及導電特徵262為MRAM陣列250的一位元線的一部分。每一個導電特徵260可設置於共享相同位元線的MRAM單元249的一行上(參見第1B圖)。在一些實施例中,每一個導電特徵260設置於共享相同位元線的一個MRAM單元249或者複數個連續的MRAM單元249之上(其可為一行MRAM單元249的一子組)。在一些實施例中,導電特徵260以及導電特徵262設置於一行的每一個MRAM單元249的磁性穿隧接面150的正上方,並與之電性耦接,如第2E圖、第2F圖、第2G圖、以及第2H圖所示。在一些實施例中,導電特徵260以及導電特徵262亦稱為MRAM單元249的頂部電極(TE)263。
如上所述,一個或者多個層或者特徵(例如,導電的阻障層218、底部電極導孔220、保護性間隔物226、導電特徵260、以及導電特徵262)可包含磁性材料,如鈷、鐵、鎳、或者它們的化合物,如鎳鐵。這會將磁性穿隧接面150屏蔽外部磁性干擾,如從無線充電器發至承載半導體裝置200的手機的磁性干擾。在一些實施例中,半導體裝置200在層或者特徵(例如,導電的阻障層218、底部電極導孔220、保護性間隔物226、導電特徵260、以及導電特徵262)的至少其中之一者中包含一磁性材料。在一些實施例中,半導體裝置200在層或者特徵(例如,導電的阻障層218、底部電極導孔220、保護性間隔物226、導電特徵260、以及導電特徵262)的至少其中之二者中包含一個磁性材料。例如,半導體裝置200在阻障層218以及底部電極導孔220的其中之一者中可包含一磁性材料以及在層226中可包含另一磁性材料。這可以將磁性穿隧接面150屏蔽於垂直的以及水平的外部磁場的干擾。相似地,半導體裝置200在導電特徵260以及導電特徵262的其中之一者中可包含一磁性材料以及在層226中可包含另一磁性材料。在一些實施例中,半導體裝置200在層或者特徵(例如,導電的阻障層218、底部電極導孔220、保護性間隔物226、導電特徵260、以及導電特徵262)的至少其中之三者中包含一個磁性材料。例如,半導體裝置200在導電特徵260以及導電特徵262的其中之一者中可包含一磁性材料,在層226中可包含另一磁性材料,以及在阻障層218以及底部電極導孔220的其中之一者中可包含另一磁性材料。這可以將磁性穿隧接面150屏蔽於垂直的以及水平的外部磁場的干擾。在各種實施例中,在層或者特徵(例如,導電的阻障層218、底部電極導孔220、保護性間隔物226、導電特徵260、以及導電特徵262)中的磁性材料可以相同或者可以不相同。
第2A圖至第2H圖進一步描繪半導體裝置200的各種非限制性的範例,其中在一個或者多個層或者特徵(例如,導電的阻障層218、底部電極導孔220、保護性間隔物226、導電特徵260、以及導電特徵262)中包含一磁性材料。參考第2A圖,在這個實施例中,阻障層218包含位於一個子層218a上的一個子層218b。在一個實施例中,子層218a包含氮化鉭以及子層218b包含氮化鈦。保護性間隔物226包含一磁性材料,如鈷、鎳鐵、或者前述的組合。保護性間隔物226夾設在介電間隔物224以及介電層216之間。在一個範例中,介電間隔物224包含氮化物,如氮化矽(Si 3N 4),而介電層216(或者介電間隔物)包含氧化物,如二氧化矽。進一步而言,導電層262包含位於一個子層262a上的一個子層262b。在一個實施例中,子層262a包含鉭,而子層262b包含氮化鉭。在一個實施例中,底部電極導孔220包含鎢,而導電特徵206包含銅。
參考第2B圖,在這個實施例中,阻障層218包含位於一個子層218c上的一個子層218b,且子層218c位於子層218a上。在一個實施例中,子層218a包含氮化鉭、子層218b包含氮化鈦、以及子層218c包含磁性材料,如鈷。在一個實施例中,底部電極導孔220包含磁性材料,如鈷。在另一個實施例中,底部電極導孔220包含非磁性材料,如鎢。在一個實施例中,保護性間隔物226包含磁性材料,鈷、鎳鐵、或者前述的組合。在另一個實施例中,保護性間隔物226包含非磁性材料,如氧化鋁(Al 2O 3)。在第2B圖中的實施例的其他層面與第2A圖中的實施例相同。
參考第2C圖,在這個實施例中,導電層262包含位於一個子層262c上的一個子層262b,且子層262c位於子層262a上。在一個實施例中,子層262a包含鉭、子層262b包含氮化鉭、以及子層262c包含磁性材料,如鈷。在一個實施例中,導電層260包含磁性材料,如鈷。在另一個實施例中,導電層260包含非磁性材料,如銅。在一個實施例中,保護性間隔物226包含磁性材料,鈷、鎳鐵、或者前述的組合。在另一個實施例中,保護性間隔物226包含非磁性材料,如氧化鋁(Al 2O 3)。在第2C圖中的實施例的其他層面與第2A圖中的實施例相同。
參考第2D圖,在這個實施例中,阻障層218包含位於一個子層218c上的一個子層218b,且子層218c位於子層218a上。進一步而言,導電層262包含位於一個子層262c上的一個子層262b,且子層262c位於子層262a上。在一個實施例中,子層218a包含氮化鉭、子層218b包含氮化鈦、子層218c包含磁性材料,如鈷、子層262a包含鉭、子層262b包含氮化鉭、子層262c包含磁性材料(例如鈷)、以及保護性間隔物226包含磁性材料(例如鈷、鎳鐵、或者前述的組合)。因此,磁性材料環繞於磁性穿隧接面150(環繞於其側壁、頂面以及底面)。在更進一步的實施例中,每一個底部電極導孔220以及導電層260額外包含磁性材料,例如鈷。
展示於第2E圖、第2F圖、第2G圖、以及第2H圖的實施例實質上分別與展示於第2A圖、第2B圖、第2C圖、以及第2D圖的實施例相同,除了頂部導電硬遮罩228在第2E圖、第2F圖、第2G圖、以及第2H圖所展示的實施例中被移除了,並且導電層260以及導電層262延伸至原先被頂部導電硬遮罩228所佔據的空間。在第2E圖、第2F圖、第2G圖、以及第2H圖所展示的實施例中,導電層262直接接觸磁性穿隧接面150。
第3A圖以及第3B圖根據本揭露的一個實施例,描繪形成具有一個MRAM陣列以及邏輯裝置整合在其中的一個半導體裝置的一個方法500的一個流程圖。方法100僅僅為一個範例,而無意對本揭露的請求項中所明確敘述之外作限制。可在方法500之前、之中、以及之後提供額外的操作,且對於方法的額外實施例而言,所描述的一些操作可被取代、刪除、或者移至其他順序。方法500與第4A圖至第4N-1圖共同在以下作敘述,其根據方法500描繪在製程操作當中半導體裝置200的許多剖面圖。
在操作502,方法500(第3A圖)提供(或是提供於方法500)一個半導體裝置200的結構,半導體裝置200的結構具有一個金屬層302以及設置於金屬層302的介電層210、介電層212、以及介電層214,如第4A圖所示。雖然並未展示於第4A圖,但半導體裝置200的結構進一步包含一個電晶體結構(如在第1C圖中的電晶體結構101),設置於一個基板上或者一個基板之中(如在第1C圖中的基板100)。金屬層302為電晶體結構上方的第N個金屬層,其中N為一個自然數。半導體裝置200的結構包含一個MRAM區域100A,以在其中形成一個MRAM陣列,半導體裝置200的結構亦包含一個邏輯區域100B,以在其中形成邏輯裝置。金屬層302在MRAM區域100A以及邏輯區域100B兩者之中包含金屬間介電(IMD)層206以及金屬線208。金屬間介電層206可為氧化物(例如二氧化矽)、低介電係數材料(例如摻雜炭的氧化物)或者極低介電係數材料(例如摻雜多孔碳的二氧化矽)。金屬線208可為金屬所製造,例如一個鋁、銅、或者前述的組合。金屬間介電層206可由沉積製程所形成,如物理氣相沈積法或者化學氣相沈積法,包含電漿化學氣相沈積。金屬線208可由一個沉積製程所形成,如物理氣相沈積法、化學氣相沈積法、原子層沉積(ALD)、以及電鍍製程。在一個實施例中,介電層210可包含一個或者多個介電材料,如氮化矽(Si 3N 4)、氮氧化矽、碳化矽、矽碳氮(SiCN)、或者前述的一個組合,且可以使用物理氣相沈積法、化學氣相沈積法、原子層沉積、或者其他合適的製程沉積至一個範圍在大約12奈米至大約20奈米的厚度。在一個實施例中,介電層212包含一個金屬基的介電材料,如氧化鋁,且可以利用化學氣相沈積法、原子層沉積、或者其他合適的製程沉積至一個範圍在大約2奈米至大約6奈米的厚度。在一個實施例中,介電層214包含一個基於氧化矽的介電材料,如未摻雜矽酸鹽玻璃,且可以利用化學氣相沈積法、物理氣相沈積法、或者其他合適的製程沉積至一個範圍在大約40奈米至大約100奈米的厚度。依舊參考第4A圖,穿孔219形成於介電層214、介電層212、以及介電層210,以曝露金屬線208以及金屬間介電層206的頂面。穿孔219可利用各種製程形成,包含微影製程以及蝕刻製程。例如,可利用一個微影製程以形成一個蝕刻遮罩,透過蝕刻遮罩以蝕刻介電層214、介電層212、以及介電層210,以形成穿孔219,而在其之後移除蝕刻遮罩。
在操作504,方法500(第3A圖)在穿孔219中形成底部電極導孔220以及阻障層218,且電性連接至在MRAM區域100A中的一些金屬線208,如第4B圖所示。例如,操作504沉積阻障層218於穿孔219的表面上,以及沉積底部電極導孔220於阻障層218上。在此之後,操作504可對底部電極導孔220以及阻障層218執行一個化學機械研磨(CMP)製程,從而移除任何在介電層214的頂面上的多餘的材料。阻障層218可包含磁性材料(例如鈷),或者是非磁性材料(例如氮化鈦、氮化鉭、及/或其他合適的導電性擴散阻障材料),且可使用原子層沉積、物理氣相沈積法、化學氣相沈積法、或者其他合適的沉積方法作沉積。底部電極導孔220可包含磁性材料(例如鈷),或者是非磁性材料(例如鎢、鈦、鉭、氮化鎢、氮化鈦、氮化鉭、前述的組合、或者其他合適的金屬或者金屬化合物),且可使用化學氣相沈積法、物理氣相沈積法、原子層沉積、電鍍、或者其他合適的沉積方法作沉積。
在操作506,方法500(第3A圖)在介電層214、阻障層218、以及底部電極導孔220上沉積一個底部導電硬遮罩222、一個磁性穿隧接面150、以及一個頂部導電硬遮罩228,如第4C圖所示。具體而言,底部導電硬遮罩222電性連接至底部電極導孔220。在一個實施例中,底部導電硬遮罩222可包含一個金屬氮化物,如氮化鉭、氮化鈦、鈦/氮化鈦、氮化鉭/氮化鈦、鉭或者一個前述的組合,且可利用化學氣相沈積法、原子層沉積或者其他合適的沉積方法作沉積。根據一些實施例,可形成底部導電硬遮罩222以具有一個範圍在大約1奈米至大約8奈米的厚度。磁性穿隧接面150可利用化學氣相沈積法、物理氣相沈積法、原子層沉積或者其他合適的沉積方法作沉積,且可具有一個範圍在大約20奈米至大約50奈米的厚度。在一個實施例中,頂部導電硬遮罩228可包含金屬氮化物,如氮化鉭、氮化鈦、鈦/氮化鈦、氮化鉭/氮化鈦、鉭或者一個前述的組合且可利用化學氣相沈積法、原子層沉積或者其他合適的沉積方法作沉積。在一些實施例中,可形成頂部導電硬遮罩228以具有一個範圍在大約10奈米至大約25奈米的厚度。
在操作508,方法500(第3A圖)將底部導電硬遮罩222、磁性穿隧接面150、以及頂部導電硬遮罩228圖案化成個別的MRAM單元249。例如,利用微影製程以及蝕刻製程,操作508可形成一個覆蓋對應於個別的MRAM單元249的頂部導電硬遮罩228的面積的蝕刻遮罩,以及曝露剩餘的頂部導電硬遮罩228,如第4D圖所示。接著,操作508透過蝕刻遮罩402蝕刻頂部導電硬遮罩228、磁性穿隧接面150、底部導電硬遮罩222、以及介電層214以形成個別的MRAM單元249,如第4E圖所示。蝕刻製程可為濕蝕刻、乾蝕刻、反應式離子蝕刻、或者其他合適的蝕刻方法。在此之後移除利用蝕刻、剝除、 灰化、或者其他合適的方法移除蝕刻遮罩402。
在操作510,方法500(第3A圖)在MRAM單元249的側壁上形成介電間隔物224,如第4F圖所示。在一些實施例中,介電間隔物224被認定為MRAM單元249的一部份。例如,操作510利用化學氣相沈積法、原子層沉積或者其他合適的方法沉積一個毯式介電層於MRAM區域100A以及邏輯區域100B兩者之中的半導體裝置200的結構之上,接著非等向性地蝕刻毯式介電層以從介電層214以及頂部導電硬遮罩228的頂面移除毯式介電層。殘留於MRAM單元249的側壁的介電層部分成為介電間隔物224。介電間隔物224可含一個或者多個介電材料,如氧化矽(SiO x)、氮化矽(SiN x)、氮氧化矽(Si xO yN z)、或者類似的材料。介電間隔物224在許多實施例中,可包含一個或者複數個介電材料的層。
在操作512,方法500(第3A圖)在介電間隔物224以及介電層214上形成一個保護性間隔物226,以及在MRAM區域100A之中的保護性間隔物226上形成一個介電層216 (或者是另一個介電間隔物),如第4G圖所示。例如,操作512可設置保護性間隔物226以及介電層216於MRAM區域100A以及邏輯區域100B的兩者之中;利用微影製程以及蝕刻製程形成蝕刻遮罩,其中蝕刻遮罩覆蓋MRAM區域100A以及曝露邏輯區域100B;透過蝕刻遮罩以蝕刻保護性間隔物226、介電層216、介電層214、以及介電層212直到介電層210曝露於邏輯區域100B之中;以及移除蝕刻遮罩。保護性間隔物226可以利用化學氣相沈積法、原子層沉積、或者其他合適的製程沉積。介電層216可以利用物理氣相沈積法、原子層沉積、或者其他合適的製程沉積。保護性間隔物226以及介電層216、介電層214、以及介電層212可利用濕蝕刻、乾蝕刻、反應式離子蝕刻、或者其他合適的蝕刻方法作蝕刻。在一些實施例中,保護性間隔物226可包含磁性材料(例如鈷或者鎳鐵),或者非磁性材料(例如三氧化二鋁)。
在蝕刻保護性間隔物226以及介電層216、介電層214、以及介電層212之後,操作512進一步在邏輯區域100B形成一個介電層215,如第4H圖所示。介電層215可為一氧化物(例如二氧化矽)、低介電係數材料(例如摻雜炭的氧化物),或者極低介電係數材料(例如摻雜多孔碳的二氧化矽)。介電層215可利用化學氣相沈積法、物理氣相沈積法、或者其他合適的沉積方法作沉積。操作512進一步執行一個化學機械研磨製程以平面化介電層215以及介電層216、保護性間隔物226、以及頂部導電硬遮罩228的頂面。
在操作514,方法500(第3A圖)在邏輯區域100B形成金屬導孔213以及金屬線217,如第4I圖所示。金屬導孔213以及金屬線217可利用鑲嵌製程、雙鑲嵌製程、或者其他合適的方法所形成。例如,操作514可在介電層215中蝕刻孔洞及/或溝槽以曝露金屬線208的頂面,沉積一個或者多個金屬至孔洞及/或溝槽當中,以及對一個或者多個金屬執行一個化學機械研磨製程。在洞及/或溝槽中剩餘的一個或者多個金屬的部分成為金屬導孔213以及金屬線217。金屬導孔213以及金屬線217可包含鋁、銅、或者其他合適的低電阻金屬,且可利用物理氣相沈積法、化學氣相沈積法、原子層沉積、電鍍、或者其他合適的方法作沉積。在操作514完成之後,金屬線217的頂面實質上與頂部導電硬遮罩228的頂面共面。利用操作504至操作514,金屬層304形成於金屬層302之上。
在操作516,方法500(第3B圖)在MRAM區域100A以及邏輯區域100B兩者之中的金屬層304的上方沉積介電層230、介電層232、以及介電層234,如第4J圖所示。在一個實施例中,介電層230可包含一個或者多個介電材料,例如氮化物(例如氮化矽)或者碳化矽,可以利用原子層沉積、化學氣相沈積法、物理氣相沈積法、以及其他合適的方法作沉積。在一些實施例中,介電層230可具有一個範圍在大約10奈米至大約15奈米的一個厚度。在一個實施例中,介電層232可包含一個金屬基介電材料,如氧化鋁(亦即,AlO x,如Al 2O 3),且可以利用原子層沉積、化學氣相沈積法、物理氣相沈積法、以及其他合適的方法作沉積。在一些實施例中,介電層232可具有一個範圍在大約4奈米至大約10奈米的一個厚度。在一個實施例中,介電層234可包含未摻雜矽酸鹽玻璃、或者經摻雜的氧化矽,如硼磷矽酸鹽玻璃、熔矽石玻璃、磷矽酸鹽玻璃、硼矽酸玻璃、及/或其他合適的介電材料,且可利用化學氣相沈積法、物理氣相沈積法、以及其他合適的方法作沉積。在一些實施例中,介電層234可具有一個範圍在大約40奈米至大約100奈米的一個厚度。
在操作518,方法500(第3B圖)在介電層234上形成一個蝕刻遮罩404,如第4J圖所示。蝕刻遮罩404在MRAM區域100A以及邏輯區域100B上提供開口406。在一個實施例中,在一個蝕刻製程中,蝕刻遮罩404包含相對於介電層234、介電層232、以及介電層230具有蝕刻選擇性的材料。例如,在一個實施例中,蝕刻遮罩404可包含一個阻劑圖案,且可進一步包含在阻劑圖案下方的一個圖案化的硬遮罩。例如,在一個實施例中,圖案化的硬遮罩可包含氮化鈦且可具有一個範圍在大約10奈米至大約40奈米的一個厚度。操作518可包含在介電層234上方沉積一個硬遮罩層,在硬遮罩層上塗一佈光阻層,對光阻層執行微影製程(如曝光以及顯影)以形成一個阻劑圖案,以及透過阻劑圖案蝕刻硬遮罩層以形成一個圖案化的硬遮罩。圖案化的硬遮罩以及阻劑圖案共同形成蝕刻遮罩404。
在操作520,方法500(第3B圖)透過蝕刻遮罩404蝕刻介電層234、介電層232、以及介電層230以曝露MRAM區域100A中的MRAM單元249以及邏輯區域100B中的金屬線217。第4K圖以及第4K-1圖根據一個實施例描繪一個半導體裝置200的成果。第4K圖描繪在第1B圖中沿著B-B線的半導體裝置200的結構(亦即沿著“x”方向),以及第4K-1圖描繪在第1B圖中沿著A-A線的半導體裝置200的結構(亦即沿著垂直於“x”方向的“y”方向)。在一個實施例中,操作520可執行設計以分別地蝕刻每一個介電層234、介電層232、以及介電層230的複數個蝕刻製程。例如,操作520可執行設計以蝕刻介電層234而以最少或沒有對蝕刻遮罩404作蝕刻的一個第一蝕刻製程,執行設計以蝕刻介電層232而以最少或沒有對蝕刻遮罩404作蝕刻的一個第二蝕刻製程,以及執行設計以蝕刻介電層230而以最少或沒有對蝕刻遮罩404作蝕刻的一個第三蝕刻製程。此複數個蝕刻製程可包含濕蝕刻、乾蝕刻、或者濕蝕刻以及乾蝕刻的一個組合。在一些實施例中,在操作520中的蝕刻製程可蝕刻不只一個介電層。
在另外一些實施例中,頂部導電硬遮罩228亦經過蝕刻,且磁性穿隧接面150為曝露的,如第4M圖以及第4M-1圖所示,第4M圖以及第4M-1圖分別描繪在第1B圖中的沿著B-B線以及A-A線的半導體裝置200的結構。如第4K圖、第4K-1圖、第4M圖、以及第4M-1圖所示,操作520將開口406延伸至介電層234/介電層232/介電層230之中以曝露MRAM單元249以及金屬線217。接著,可以移除蝕刻遮罩404。
在操作522,方法500(第3B圖)在開口406中形成導電層262以及導電層260。例如,操作522可沉積一個或者多個導電層262至開口406中以及沉積一個或者多個金屬材料於導電層262之上以及至開口406中,如第4L圖以及第4L-1圖所示,第4L圖以及第4L-1圖分別描繪在第1B圖中的沿著B-B線以及A-A線的半導體裝置200的結構。在由操作520局部或者全部移除頂部導電硬遮罩228(如第4M圖以及第4M-1圖所示)的實施例中,導電層260以及導電層262亦填充磁性穿隧接面150的正上方以及兩個相對的MRAM單元249的側壁上的保護性間隔物226之間的空間,如第4N圖以及第4N-1圖所示,第4N圖以及第4N-1圖分別描繪在第1B圖中的沿著B-B線以及A-A線的半導體裝置200的結構。在一個實施例中,導電層260包含金屬材料(例如鈷),或者非磁性材料(例如鋁、銅、或者前述的組合)。在一個實施例中,導電層262包含金屬材料(例如鈷),或者非磁性材料(例如氮化鈦、氮化鉭、及/或其他合適的導電性擴散阻障材料)。導電層262以及導電層260可利用化學氣相沈積法、物理氣相沈積法、原子層沉積、電鍍、或者其他合適的沉積方法作沉積。接著,操作522對導電層262以及導電層260執行一個化學機械研磨製程以將其從介電層234的頂面移除。在許多實施例中,方法500在層或者特徵(例如,導電的阻障層218、底部電極導孔220、保護性間隔物226、導電特徵260、以及導電特徵262)的至少其中之一者之中沉積一個磁性材料。
在操作524,方法500(第3B圖)對半導體裝置200執行進一步的製程,如在金屬層306上形成一個或者多個金屬層,形成鈍化層,以及執行更多的後端製程。
雖然不意為限制性的,本揭露的一個或者多個實施例對一個半導體裝置以及其形成提供許多好處。例如,本揭露的實施例提供一種半導體裝置,其在一個MRAM區域中具有MRAM單元的一個陣列。每一個MRAM單元包含一個磁性阻障以將MRAM單元屏蔽於外在的磁場,從而改善MRAM單元的可靠性。磁性阻障可以一個底部電極中的磁性材料、一個側壁間隔物中的磁性材料、及/或一個頂部電極中的磁性材料的方式提供。底部電極或者頂部電極中的磁性材料可以阻擋垂直的磁性干擾,而側壁間隔物中的磁性材料可以阻擋水平的磁性干擾。本揭露的MRAM單元可以實施為一個獨立的記憶體裝置或者實施為一個與邏輯裝置整合的嵌入式記憶體。除此之外,此半導體裝置的形成可以容易地整合進現存的半導體製程。
在一個範例樣態中,本揭露導向一個半導體裝置。一種半導體裝置包含底部電極、磁性穿隧接面元件,位於該底部電極之上、頂部電極,位於磁性穿隧接面元件之上、以及側壁間隔物,鄰接磁性穿隧接面元件,其中底部電極、頂部電極、以及側壁間隔物的至少其中之一者包含磁性材料。
在半導體裝置的一個實施例中,頂部電極包含該磁性材料。在進一步的實施例中,磁性材料形成為導電層下的阻障層。在一個實施例中,導電層包含銅。在另一個進一步的實施例中,頂部電極包含阻障層,以及形成於阻障層之上的磁性材料的導電層,且阻障層包含鉭。
在半導體裝置的另一個實施例中,底部電極包含磁性材料。在進一步的實施例中,底部電極包含磁性材料的阻障層,以及形成於阻障層之上的導電材料。在另一個進一步的實施例中,底部電極包含阻障層,阻障層包含氮化鈦以及氮化鉭中之至少一者,且磁性材料形成於阻障層之上。
在半導體裝置的一個實施例中,側壁間隔物包含複數個層,且層的其中之一者包含磁性材料。在進一步的實施例中,磁性材料為鈷或者鎳鐵。在另一個進一步的實施例中,磁性材料設置於氮化物間隔物以及氧化物間隔物之間。
在半導體裝置的一個實施例中,底部電極、頂部電極、以及側壁間隔物的至少其中之二者包含一個或者多個磁性材料。在另一個實施例中,底部電極、磁性穿隧接面元件、以及側壁間隔物皆包含一個或者多個磁性材料。
在另一個範例樣態中,本揭露導向一個半導體裝置,包含底部電極、磁性穿隧接面元件,位於底部電極之上、頂部電極,位於磁性穿隧接面元件之上、以及側壁間隔物,鄰接磁性穿隧接面元件,其中側壁間隔物包含磁性材料。
在半導體裝置的一個實施例中,磁性材料為鈷以及鎳鐵的至少其中之一。在另一個實施例中,側壁間隔物包含氮化物間隔物、該磁性材料、以及氧化物間隔物之堆疊。在另一個實施例中,底部電極以及頂部電極的至少其中之一者包含另一磁性材料。
在另一個範例樣態中,本揭露導向一個半導體裝置之製造方法,包含形成第一穿孔於第一介電層之中。形成第一阻障層於第一穿孔之中形成第一導電層於第一阻障層之上以及第一穿孔之中。沉積磁性穿隧接面堆疊於第一導電層、第一阻障層、以及第一介電層之上,其中磁性穿隧接面堆疊電性連接至第一導電層。圖案化磁性穿隧接面堆疊,以產生圖案化磁性穿隧接面堆疊。沉積氮化物間隔物於圖案化磁性穿隧接面堆疊的側壁之上。沉積保護性間隔物於氮化物間隔物之上。沉積氧化物間隔物於保護性間隔物之上。沉積第二介電層於氧化物間隔物以及圖案化磁性穿隧接面堆疊之上。形成第二穿孔於第二介電層之中。形成第二阻障層於第二穿孔之中。以及形成第二導電層於第二阻障層之上以及第二穿孔之中,其中第二導電層電性連接至圖案化磁性穿隧接面堆疊,其中第一阻障層、第一導電層、保護性間隔物、第二阻障層、以及第二導電層的至少其中之一者包含磁性材料。
在製造方法的一個實施例中,磁性材料包含鈷。在一個實施例中,在圖案化磁性穿隧接面堆疊之前,沉積具有氮化鈦的硬遮罩層於磁性穿隧接面堆疊之上,其中第二穿孔的形成包含移除曝露於第二穿孔之中的硬遮罩層的部份,從而曝露磁性穿隧接面堆疊的頂面。
前述內容概述了幾個實施例的特徵。本領域技術人員應該理解,他們可以容易地將本揭露用作設計的基礎或修改其他製程和結構以實現與本文介紹的實施例相同的目的和/或實現相同的優點。本領域技術人員還應該認知到,等效的構造不脫離本揭露的精神和範圍,並且在不脫離本揭露的精神和範圍的情況下,它們可以進行各種改變,替換和變更。
100:半導體基板 100A:MRAM區域 100B:邏輯區域 101:電晶體結構 103:源極 105:汲極 107:閘極 108:接點插塞 109:層間電介質 111:淺溝槽隔離 150:磁性穿隧接面 152:上鐵磁片 154:下鐵磁片 156:絕緣層 200:半導體裝置 206:金屬間介電層 208:金屬線 210:介電層 212,214,215,216:介電層 213:金屬導孔 217:金屬線 218:阻障層 218a,218b,218c,262a,262b,262c:子層 219:穿孔 220:底部電極導孔 221:介電層/底部電極 222:底部導電硬遮罩 224:介電間隔物 226:保護性間隔物 228:頂部導電硬遮罩 230,232,234:介電層 249:MRAM單元/ MRAM裝置 250:MRAM陣列 252:邏輯裝置 260,262:導電特徵/導電層 263:頂部電極 302,304,306:金屬層 308:多層互連結構 402,404:蝕刻遮罩 406:開口 500:方法 502-524:操作 WL:字元線 SL:供應線
本揭露的各項層面在以下的實施方式搭配附帶的圖式一同閱讀會有最好的理解。需要強調的是,依據產業的標準慣例,許多特徵並沒有按比例描繪且僅用為例圖目的。事實上,為了討論的清晰度,許多特徵的尺寸可為任意的增加或縮減。 第1A圖以及第1B圖描繪具有一個MRAM整合在其中的一個半導體裝置的透視圖。第1C圖根據一個實施例,描繪在第1A圖以及第1B圖中的半導體裝置的一個剖面圖。 第2A圖、第2B圖、第2C圖、第2D圖、第2E圖、第2F圖、第2G圖、以及第2H圖根據一些實施例,描繪在第1A圖-第1C圖中的半導體裝置的一部分的剖面圖。 第3A圖以及第3B圖根據本揭露的一個實施例,展示形成具有一個MRAM陣列整合在其中的一個半導體裝置的一個方法的一個流程圖。 第4A圖、第4B圖、第4C圖、第4D圖、第4E圖、第4F圖、第4G圖、第4H圖、第4I圖、第4J圖、第4K圖、第4K-1圖、第4L圖、第4L-1圖、第4M圖、第4M-1圖、第4N圖、以及第4N-1圖根據一些實施例,描繪根據第3A圖-第3B圖的方法,在一個製程當中的一個半導體結構的剖面圖。
100:半導體基板
100A:MRAM區域
100B:邏輯區域
101:電晶體結構
103:源極
105:汲極
107:閘極
108:接點插塞
109:層間電介質
111:淺溝槽隔離
150:磁性穿隧接面
200:半導體裝置
206:金屬間介電層
208:金屬線
210:介電層
212,214,215,216:介電層
213:金屬導孔
217:金屬線
218:阻障層
220:底部電極導孔
221:介電層/底部電極
222:底部導電硬遮罩
224:介電間隔物
226:保護性間隔物
228:頂部導電硬遮罩
230,232,234:介電層
249:MRAM單元/MRAM裝置
250:MRAM陣列
252:邏輯裝置
260,262:導電特徵/導電層
263:頂部電極
302,304,306:金屬層
308:多層互連結構

Claims (13)

  1. 一種半導體裝置,包含:一底部電極;一磁性穿隧接面元件,位於該底部電極之上;一頂部電極,位於該磁性穿隧接面元件之上;以及一側壁間隔物,鄰接該磁性穿隧接面元件,其中該側壁間隔物包括與該磁性穿隧接面元件直接接觸的一第一側壁間隔物層,以及設置於該第一側壁間隔物層上的一第二側壁間隔物層,該第一側壁間隔物層的一底部部分與該第二側壁間隔物層的一底部部分延伸至低於該磁性穿隧接面元件,並且該第二側壁間隔物層的一頂部部分與該頂部電極直接接觸;其中該底部電極、該頂部電極、以及該側壁間隔物的至少其中之一者包含一磁性材料。
  2. 如請求項1的半導體裝置,其中該頂部電極包含該磁性材料。
  3. 如請求項2的半導體裝置,其中該磁性材料形成為一導電層下的一阻障層;該導電層包含銅。
  4. 如請求項2的半導體裝置,其中該頂部電極包含一阻障層以及形成於該阻障層之上的該磁性材料的一導電層,且該阻障層包含鉭。
  5. 如請求項1的半導體裝置,其中該底部電極包含該磁性材料;該底部電極包含該磁性材料的一阻障層,以及形成於該阻障層之上的一導電材料。
  6. 如請求項1的半導體裝置,其中該底部電極包含該磁性材料; 該底部電極包含一阻障層,該阻障層包含氮化鈦以及氮化鉭中之至少一者,且該磁性材料形成於該阻障層之上。
  7. 如請求項1的半導體裝置,其中該側壁間隔物包含包括該第一側壁間隔物層與該第二側壁間隔物層在內的複數個層,且該等層的其中之一者包含該磁性材料。
  8. 如請求項1的半導體裝置,其中該底部電極、該頂部電極、以及該側壁間隔物的至少其中之二者包含一個或者多個磁性材料。
  9. 一種半導體裝置,包含:一底部電極;一磁性穿隧接面元件,位於該底部電極之上;一頂部電極,位於該磁性穿隧接面元件之上;以及一側壁間隔物,鄰接該磁性穿隧接面元件,其中該側壁間隔物包括與該磁性穿隧接面元件直接接觸的一第一側壁間隔物層,以及設置於該第一側壁間隔物層上的一第二側壁間隔物層,該第一側壁間隔物層的一底部部分與該第二側壁間隔物層的一底部部分延伸至低於該磁性穿隧接面元件,並且該第二側壁間隔物層的一頂部部分與該頂部電極直接接觸;其中該側壁間隔物包含一磁性材料。
  10. 如請求項9的半導體裝置,其中該磁性材料為鈷以及鎳鐵的至少其中之一者;該第一側壁間隔物層包含一氮化物材料,該第二側壁間隔物層包含該磁性材料,並且該側壁間隔物更包括包含一氧化物材料的一第三側壁間隔物層。
  11. 一種半導體裝置之製造方法,包含: 形成一第一穿孔於一第一介電層之中;形成一第一阻障層於該第一穿孔之中;形成一第一導電層於該第一阻障層之上以及該第一穿孔之中;沉積一磁性穿隧接面堆疊於該第一導電層、該第一阻障層、以及該第一介電層之上,其中該磁性穿隧接面堆疊電性連接至該第一導電層;圖案化該磁性穿隧接面堆疊,以產生一圖案化磁性穿隧接面堆疊;沉積一氮化物間隔物於該圖案化磁性穿隧接面堆疊的側壁之上;沉積一保護性間隔物於該氮化物間隔物之上;沉積一氧化物間隔物於該保護性間隔物之上;沉積一第二介電層於該氧化物間隔物以及該圖案化磁性穿隧接面堆疊之上;形成一第二穿孔於該第二介電層之中;形成一第二阻障層於該第二穿孔之中;以及形成一第二導電層於該第二阻障層之上以及該第二穿孔之中,其中該第二導電層電性連接至該圖案化磁性穿隧接面堆疊,其中該第一阻障層、該第一導電層、該保護性間隔物、該第二阻障層、以及該第二導電層的至少其中之一者包含一磁性材料。
  12. 如請求項11的半導體裝置之製造方法,其中該磁性材料包含鈷。
  13. 如請求項11的半導體裝置之製造方法,進一步包含:在圖案化該磁性穿隧接面堆疊之前,沉積具有氮化鈦的一硬遮罩層於該磁性穿隧接面堆疊之上,其中該第二穿孔的形成包含移除曝露於該第二穿孔之中的該硬遮罩層的一部份,從而曝露該磁性穿隧接面堆疊的一頂面。
TW110130420A 2020-10-30 2021-08-18 半導體裝置以及其製造方法 TWI807394B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063198620P 2020-10-30 2020-10-30
US63/198,620 2020-10-30
US17/206,527 2021-03-19
US17/206,527 US12004431B2 (en) 2020-10-30 2021-03-19 Structure and method for MRAM devices

Publications (2)

Publication Number Publication Date
TW202218205A TW202218205A (zh) 2022-05-01
TWI807394B true TWI807394B (zh) 2023-07-01

Family

ID=80283181

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110130420A TWI807394B (zh) 2020-10-30 2021-08-18 半導體裝置以及其製造方法

Country Status (5)

Country Link
US (2) US12004431B2 (zh)
KR (1) KR102650778B1 (zh)
CN (1) CN114079002A (zh)
DE (1) DE102021106961A1 (zh)
TW (1) TWI807394B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220310903A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic tunnel junction device and method of forming the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190165261A1 (en) * 2017-11-28 2019-05-30 Samsung Electronics Co., Ltd. Magnetic memory device and method of fabricating the same
KR20200049440A (ko) * 2018-10-25 2020-05-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Mtj 제조에서의 구배 보호 층
US20200303629A1 (en) * 2016-09-30 2020-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6873535B1 (en) 2004-02-04 2005-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple width and/or thickness write line in MRAM
US6946698B1 (en) 2004-04-02 2005-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. MRAM device having low-k inter-metal dielectric
US7099176B2 (en) 2004-04-19 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Non-orthogonal write line structure in MRAM
US7170775B2 (en) 2005-01-06 2007-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. MRAM cell with reduced write current
JP2007273493A (ja) 2006-03-30 2007-10-18 Fujitsu Ltd 磁気メモリ装置及びその製造方法
JP2008171882A (ja) 2007-01-09 2008-07-24 Sony Corp 記憶素子及びメモリ
US7626245B2 (en) 2008-01-02 2009-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme low-k dielectric film scheme for advanced interconnect
JP5127861B2 (ja) 2010-03-24 2013-01-23 株式会社東芝 磁気メモリ
JP2013201343A (ja) 2012-03-26 2013-10-03 Toshiba Corp 半導体記憶装置およびその製造方法
US9123886B2 (en) 2013-03-05 2015-09-01 Headway Technologies, Inc. High moment wrap-around shields for magnetic read head improvements
US8828875B1 (en) 2013-03-08 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for improving CMP planarity
US9449841B2 (en) 2013-12-19 2016-09-20 Taiwan Semicondcutor Manufacturing Company, Ltd. Methods and systems for chemical mechanical polish and clean
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US10096768B2 (en) * 2015-05-26 2018-10-09 Globalfoundries Singapore Pte. Ltd. Magnetic shielding for MTJ device or bit
US20170114465A1 (en) 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
US10270025B2 (en) 2015-12-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having magnetic tunneling junction (MTJ) layer
US10043705B2 (en) * 2016-12-05 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method of forming thereof
US11189658B2 (en) 2017-11-22 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Magnetic random access memory and manufacturing method thereof
US11563167B2 (en) 2018-09-26 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an MRAM device with a multi-layer top electrode
US11476415B2 (en) * 2018-11-30 2022-10-18 International Business Machines Corporation Patterning magnetic tunnel junctions and the like while reducing detrimental resputtering of underlying features
US10756137B2 (en) 2018-12-10 2020-08-25 Headway Technologies, Inc. MTJ patterning without etch induced device degradation assisted by hard mask trimming
US10707413B1 (en) * 2019-03-28 2020-07-07 International Business Machines Corporation Formation of embedded magnetic random-access memory devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200303629A1 (en) * 2016-09-30 2020-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device
US20190165261A1 (en) * 2017-11-28 2019-05-30 Samsung Electronics Co., Ltd. Magnetic memory device and method of fabricating the same
KR20200049440A (ko) * 2018-10-25 2020-05-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Mtj 제조에서의 구배 보호 층

Also Published As

Publication number Publication date
DE102021106961A1 (de) 2022-05-05
US20230389447A1 (en) 2023-11-30
TW202218205A (zh) 2022-05-01
CN114079002A (zh) 2022-02-22
US12004431B2 (en) 2024-06-04
US20220140228A1 (en) 2022-05-05
KR102650778B1 (ko) 2024-03-22
KR20220058377A (ko) 2022-05-09

Similar Documents

Publication Publication Date Title
US11005032B2 (en) Techniques for MRAM MTJ top electrode to metal layer interface including spacer
KR102297452B1 (ko) Mram mtj 상부 전극 대 비아 계면을 위한 기술
US11437433B2 (en) Techniques for MRAM top electrode via connection
US11665911B2 (en) Method of forming memory cell
US11856866B2 (en) Magnetic tunnel junction devices
US11910620B2 (en) Magnetic tunnel junction device with residue-protection sidewall spacer and the method for forming a magnetic tunnel junction device with residue-protection sidewall spacer
US20230301194A1 (en) Structure and method for integrating mram and logic devices
TWI798772B (zh) 半導體結構及其形成方法
US20220093684A1 (en) Techniques for mram mtj top electrode to via interface
US20230389447A1 (en) Structure and method for mram devices
CN117898042A (zh) 高度减小的mram堆叠
US20220271087A1 (en) Memory device and method for forming thereof
TW202310467A (zh) 半導體結構