TWI766525B - 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積 - Google Patents

在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積 Download PDF

Info

Publication number
TWI766525B
TWI766525B TW110100208A TW110100208A TWI766525B TW I766525 B TWI766525 B TW I766525B TW 110100208 A TW110100208 A TW 110100208A TW 110100208 A TW110100208 A TW 110100208A TW I766525 B TWI766525 B TW I766525B
Authority
TW
Taiwan
Prior art keywords
layer
film layer
film
silicon nitride
silicon oxide
Prior art date
Application number
TW110100208A
Other languages
English (en)
Other versions
TW202130847A (zh
Inventor
普拉凱特P 加
艾倫 葛
新海 韓
湯姆士容萬 鄺
秉憲 金
貞炳河
金雷一
相奕 金
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202130847A publication Critical patent/TW202130847A/zh
Application granted granted Critical
Publication of TWI766525B publication Critical patent/TWI766525B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本公開案的實現方式一般涉及結合高深寬比特徵定義的薄膜及其形成方法。隨著柵極高度增加,3D NAND柵極堆疊經受更高深寬比蝕刻。由於蝕刻技術的電流限制,豎直的蝕刻輪廓通常隨著進入柵極堆疊中的深度增加而逐漸變尖細。本發明人已設想出通過新穎的電漿增強化學氣相沉積(PECVD)膜沉積方法來補償深溝槽中的蝕刻性能降級的獨特的沉積方案。本發明人已經發現,通過使沉積態膜(例如,氮化矽)的各種性質(例如,折射率、膜的應力、膜中的摻雜劑濃度)分級,可通過補償乾法蝕刻速率和濕法蝕刻速率兩者的變化來實現更均勻的蝕刻輪廓。

Description

在3D NAND存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積
本公開案的實現方式一般涉及結合高深寬比特徵定義的薄膜及其形成方法。
隨著下一代設備的電路密度增大,諸如過孔、溝槽、觸點、柵極結構以及其他特徵的互連件以及互連件之間的介電材料的寬度的尺寸會減小至45 nm和32 nm,而介電層的厚度保持基本上恆定,這造成特徵的深寬比增大。為了能夠製造下一代設備和結構,通常利用半導體晶圓的三維(3D)堆疊來改善電晶體的性能。通過以三維而非常規二維來佈置電晶體,可將多個電晶體以非常靠近彼此的方式佈置在積體電路(IC)中。半導體晶圓的三維(3D)堆疊減小導線長度,並使佈線延遲保持處於較低水準。在製造半導體晶圓的三維(3D)堆疊過程中,通常利用階梯狀結構來允許多個互連結構設置在這種階梯狀結構上,從而形成高密度的豎直型電晶體設備。
隨著豎直堆疊的設備的密度增大,特徵的深寬比也會相應地增大。隨著深寬比的增大,實現均勻的蝕刻輪廓變得越來越難。一種用於實現均勻的蝕刻輪廓的傳統方法是使用多操作式蝕刻制法。第一操作打開側壁,接著是高能轟擊的後續操作以形成具有均勻的蝕刻輪廓的直壁。然而,隨著深寬比增大,使用傳統的多操作式蝕刻制法實現均勻的蝕刻輪廓變得越來越難。在另一傳統方法中,具有非常高的離子轟擊的單操作式蝕刻制法被用於提供各向異性蝕刻。然而,使用傳統的單操作式蝕刻制法實現筆直的蝕刻輪廓所需要的高能會導致對結構的頂表面造成電漿損傷。
因此,需要用於實現均勻的蝕刻輪廓的附加的方法。
本公開案的實現方式一般涉及結合高深寬比特徵定義的薄膜及其形成方法。在一個實現方式中,提供一種用於形成高深寬比特徵的方法。該方法包括將基板材定位在處理腔室中,在存在真空的情況下,在基板材上沉積一個或多個含氧化矽/氮化矽堆疊。沉積該一個或多個含氧化矽/氮化矽堆疊包括:將第一工藝氣體激發(energize)成第一電漿;用該第一電漿在該基板材上沉積第一膜層;將第二工藝氣體激發成第二電漿;用該第二電漿在該第一膜層上沉積第二膜層,其中該第二膜層具有第一折射率。重複以上操作,直到已經在基板材上沉積預定數量的第一膜層和第二膜層為止,其中該第一膜層和該第二膜層是氧化矽層或氮化矽層,並且其中該第一膜層不同於該第二膜層。沉積該一個或多個含氧化矽/氮化矽堆疊進一步包括:將第三工藝氣體激發成第三電漿;用該第三電漿在前一層上沉積第三膜層;將第四工藝氣體激發成第四電漿;用該第四電漿在該第三膜層上沉積第四膜層,其中該第四膜層具有的折射率大於該第二膜層的折射率;以及重複以上操作,直到已經在基板材上沉積預定數量的第三膜層和第四膜層為止,其中該第三膜層和該第四膜層是氧化矽層或氮化矽層,並且其中該第三膜層不同於該第四膜層。
在另一實現方式中,提供一種具有高深寬比特徵的膜結構。該膜結構包括:一個或多個第一含氧化矽/氮化矽堆疊,該一個或多個第一含氧化矽/氮化矽堆疊形成在基板材上,其中該一個或多個第一含氧化矽/氮化矽堆疊包括:第一膜層,該第一膜層形成在該基板材上;第二膜層,該第二膜層形成在該第一膜層上,其中該第二膜層具有第一折射率,並且其中該第一膜層和該第二膜層是氧化矽層或氮化矽層,並且其中該第一膜層不同於該第二膜層。該膜結構進一步包括:一個或多個第二含氧化矽/氮化矽堆疊,該一個或多個第二含氧化矽/氮化矽堆疊形成在該一個或多個第一含氧化矽/氮化矽堆疊上,其中該一個或多個第二含氧化矽/氮化矽堆疊包括:第三膜層,該第三膜層形成在前一層上;以及第四膜層,該第四膜層形成在該第三膜層上,其中該第四膜層具有的折射率大於該第二膜層的折射率,並且其中該第三膜層和該第四膜層是氧化矽層或氮化矽層,並且其中該第三膜層不同於該第四膜層。
在又一實現方式中,提供一種具有高深寬比特徵的膜結構。該膜結構包括:一個或多個第一含氧化矽/氮化矽堆疊,該一個或多個第一含氧化矽/氮化矽堆疊形成在基板材上,其中該一個或多個第一含氧化矽/氮化矽堆疊包括:第一膜層,該第一膜層形成在該基板材上;以及第二膜層,該第二膜層形成在該第一膜層上,其中該第二膜層具有第一折射率,並且其中該第一膜層和該第二膜層是氧化矽層或氮化矽層,並且其中該第一膜層不同於該第二膜層。該膜結構進一步包括:一個或多個第二含氧化矽/氮化矽堆疊,該一個或多個第二含氧化矽/氮化矽堆疊形成在該一個或多個第一含氧化矽/氮化矽堆疊上,其中該一個或多個第二含氧化矽/氮化矽堆疊包括:第三膜層,該第三膜層形成在前一層上;以及第四膜層,該第四膜層形成在該第三膜層上,其中該第四膜層具有的折射率大於該第二膜層的折射率,並且其中該第三膜層和該第四膜層是氧化矽層或氮化矽層,並且其中該第三膜層不同於該第四膜層。該膜結構進一步包括:一個或多個第三含氧化矽/氮化矽堆疊,該一個或多個第三含氧化矽/氮化矽堆疊形成在該一個或多個第二含氧化矽/氮化矽堆疊上,其中該一個或多個第三含氧化矽/氮化矽堆疊包括:第五膜層,該第五膜層形成在前一層上;以及第六膜層,該第六膜層形成在該第五膜層上,其中該第六膜層具有的折射率大於該第四膜層的折射率,並且其中該第五膜層和該第六膜層是氧化矽層或氮化矽層,並且其中該第五膜層不同於該第六膜層,其中該第二膜層的折射率從約1.85至約1.90,該第四膜層的折射率從約1.91至約1.95,並且該第六膜層的折射率從約1.95至約2.1。
以下公開內容描述了具有形成在其中的高深寬比特徵的薄膜、用於薄膜沉積和在其中形成高深寬比特徵的工藝、以及用於實現上述工藝的設備。在以下描述中並且在圖1至圖5中闡述特定細節,以提供對本公開案的各種實現方式的透徹理解。描述通常與薄膜的沉積相關聯的眾所周知的方法和系統的其他細節並未在以下公開內容中闡述,以避免不必要地模糊對各種實現方式的描述。
本文中描述的許多細節、部件以及其他特徵僅僅說明特定實現方式。因此,在不背離本公開案的精神或範圍的情況下,其他實現方式可具有其他細節、部件以及特徵。另外,可在沒有若干以下所描述的細節的情況下實踐本公開案的另外實現方式。
其他沉積腔室還可受益於本公開案,並且本文中公開的參數可根據用於形成本文中描述的3D NAND柵極堆疊的特定沉積腔室而變化。例如,其他沉積腔室可具有更大或更小的容積,從而需要比針對可從應用材料公司(Applied Materials, Inc)獲得的沉積腔室詳述的氣體流速更大或更小的氣體流速。
隨著柵極高度增加,3D NAND柵極堆疊涉及非常高的深寬比蝕刻。由於蝕刻技術的電流限制,豎直的蝕刻輪廓通常隨著進入柵極堆疊中的深度增加而逐漸變尖細。本發明人已設想出通過新穎的電漿增強化學氣相沉積(PECVD)膜沉積方法來補償深溝槽中的蝕刻性能降級的獨特的沉積方案。本發明人已經發現,通過使沉積態膜(例如,氮化矽)的各種性質(例如,折射率、膜的應力、膜中的摻雜劑濃度)分級,可通過補償乾法蝕刻速率和濕法蝕刻速率兩者的變化來實現更均勻的蝕刻輪廓。例如,通過隨著堆疊高度增加而使折射率分級為從1.90至2.1之間,已經發現,可調製乾法蝕刻速率和濕法蝕刻速率高達20%,從而導致更均勻的蝕刻輪廓。雖然本文中針對3D NAND設備進行描述,但是本文中描述的實現方式還適用於展示在厚度增大情況下蝕刻輪廓均勻性減小的其他沉積-蝕刻整合方案。
圖1描繪了根據本文中所描述的實現方式的可被用來形成膜層的處理腔室100的示意性側視圖。處理腔室100以腔室主體102、設置在腔室主體102內部的基板材支撐件104、以及耦接至腔室主體102並將基板材支撐件104封圍在處理容積120中的蓋元件106為特徵。通過開口126將基板材302提供至處理容積120,通常可使用門密封該開口126以供處理。基板材支撐件104可為可沿著基板材支撐件104的軸144所位於的軸線147旋轉的,如由箭頭145所指示的。替代地,基板材支撐件104可在沉積工藝期間根據需要向上提升,以便進行旋轉。
電漿輪廓調製器111可被設置在處理腔室100中,以便控制設置在基板材支撐件104上的基板材302上的電漿分佈。電漿輪廓調製器111包括第一電極108,該第一電極108可毗鄰於腔室主體102設置並將腔室主體102與蓋元件106的其他部件分離。第一電極108可為蓋組件106的一部分,或者可為單獨的側壁電極。第一電極108可為環形或類環形構件,並且可為環形電極。第一電極108可為圍繞處理腔室100的周邊(其圍著處理容積120)的連續環路,或者根據需要在所選的位置處可以是不連續的。第一電極108還可以是穿孔電極,諸如穿孔環或網狀電極。第一電極108還可以是板材狀電極,例如,二次氣體分配器(secondary gas distributor)。
一個或多個隔離器110a、110b(統稱110)(該一個或多個隔離器可為介電材料,諸如陶瓷或金屬氧化物,例如,氧化鋁和/或氮化鋁)接觸第一電極108,並將第一電極108與氣體分配器112和腔室主體102電分離和熱分離。氣體分配器112以用於允許工藝氣體進入處理容積120中的開口118為特徵。氣體分配器112可被耦接至電功率的第一源(第一電功率源)142,諸如RF發生器、RF電源、DC電源、脈衝DC電源和脈衝RF電源也可被使用。在一個實現方式中,電功率的第一源142是RF電源。
氣體分配器112可為導電氣體分配器或非導電氣體分配器。氣體分配器112也可由導電部件和非導電部件製成。例如,氣體分配器112的主體可以是導電的,而氣體分配器112的面板材為非導電的。氣體分配器112可諸如由如圖1中所示的電功率的第一源142供電,或者氣體分配器112可被耦接至地面。
第一電極108可被耦接至控制處理腔室100的接地通路的第一調諧電路128。第一調諧電路128包括第一電子感測器130和第一電子控制器134。第一電子控制器134可為或可包括可變電容器或其他電路元件(多個)。第一調諧電路128可為或可包括一個或多個電感器132。第一調諧電路128可為處理期間在處理容積120中存在的電漿條件下實現可變或可控的阻抗的任何電路。在圖1的實現方式中,第一調諧電路128以在地面與第一電子感測器130之間並聯耦接的第一電路臂和第二電路臂為特徵。第一電路臂包括第一電感器132A。第二電路臂包括與第一電子控制器134串聯耦接的第二電感器132B。第二電感器132B設置在第一電子控制器134與節點之間,該節點將第一電路臂和第二電路臂兩者連接至第一電子感測器130。第一電子感測器130可為電壓或電流感測器,並且可被耦接至第一電子控制器134以提供對處理容積120內的電漿條件的一定程度的閉環控制。
第二電極122可被耦接至基板材支撐件104。第二電極122可被嵌入在基板材支撐件104內,或被耦接至基板材支撐件104的表面。第二電極122可為板材、穿孔板材、網、金屬絲網篩,或導電元件的任何其他分佈佈置。第二電極122可為調諧電極,並且可通過設置在基板材支撐件104的軸144中的導管146(例如,具有諸如50歐姆(Ω)的所選電阻的線纜)來耦接至第二調諧電路136。第二調諧電路136可具有第二電子感測器138和第二電子控制器140,該第二電子控制器140可為第二可變電容器。第二電子感測器138可為電壓或電流感測器,並且可被耦接至第二電子控制器140以提供對處理容積120中的電漿條件的進一步控制。
第三電極124可被耦接至基板材支撐件104,該第三電極124可為偏壓電極和/或靜電夾持電極。第三電極可通過濾波器148耦接至電功率的第二源(第二電功率源)150,該濾波器148可為阻抗匹配電路。電功率的第二源150可為DC電源、脈衝DC電源、RF偏壓電源、脈衝RF源或偏壓電源或它們的組合。在一個實現方式中,電功率的第二源150是RF偏壓電源。
圖1的蓋元件106和基板材支撐件104可以與用於電漿或熱處理的任何處理腔室一起使用。可有益地與蓋元件106和基板材支撐件104一起使用的電漿處理腔室的一個示例是可從位於加利福尼亞州聖克拉拉市的應用材料公司購得的PRODUCER® 或PRECISION® 平臺和腔室。來自其他製造商的腔室也可與上述部件一起使用。
在操作中,處理腔室100提供對處理容積120中的電漿條件的即時控制。基板材302被設置在基板材支撐件104上,並且按照任何所需流動規劃使用入口114使工藝氣體流過蓋元件106。氣體通過出口152離開處理腔室100。電功率被耦接至氣體分配器112,以便在處理容積120中形成電漿。如果需要的話,可使用第三電極124來使基板材經受電偏壓。
一旦在處理容積120中激發電漿,在電漿與第一電極108之間形成電勢差。在電漿與第二電極122之間同樣形成電勢差。隨後可使用電子控制器134、140來調節由兩個調諧電路128和136所代表的接地路徑的流動性質。可將設定點遞送至第一調諧電路128和第二調諧電路136,以提供對沉積速率以及從中心到邊緣的電漿密度均勻性的獨立控制。在兩個電子控制器都是可變電容器的實現方式中,電子感測器可調節可變電容器,以獨立地最大化沉積速率和最小化厚度不均勻性。
調諧電路128、136中的每一個具有可使用相應的電子控制器134、140調節的可變阻抗。在電子控制器134、140是可變電容器的情況中,這些可變電容器中的每一個的電容範圍以及第一電感器132A和第二電感器132B的電感被選擇成提供阻抗範圍,這取決於具有每個可變電容器的電容範圍中的最小值的電漿的頻率和電壓特性。因此,當第一電子控制器134的電容處於最小值或最大值時,第一調諧電路128的阻抗為高的,從而導致在基板材支撐件上具有最小覆空(aerial)(橫向)範圍的電漿形狀。當第一電子控制器134的電容接近使第一調諧電路128的阻抗最小化的值時,電漿的覆空範圍增長至最大值,從而有效地覆蓋基板材支撐件104的整個工作區域。隨著第一電子控制器134的電容偏離最小阻抗設定,電漿形狀從腔室壁開始收縮並且基板材支撐件的覆空範圍衰退。第二電子控制器140具有類似效果,從而隨著第二電子控制器140的電容改變而增加或減少電漿在基板材支撐件上的覆空範圍。
電子感測器130、138可被用於調諧閉環中的相應電路128、136。電流或電壓的設定點(這取決於所使用的感測器的類型)可被安裝在每個感測器中,並且感測器可被設置有控制軟體,該控制軟體確定對每個相應的電子控制器134、140的調節以最小化與設定點的偏差。以此方式,可在處理期間選擇並動態地控制電漿形狀。應當注意,雖然以上論述是基於為可變電容器的電子控制器134、140,但具有可調節的特性的任何電子部件可被用來給調諧電路128和136提供可調節的阻抗。
圖2A至圖2B描繪了根據本文中描述的實現方式的在薄膜中形成高深寬比特徵定義的方法200的流程圖。高深寬比特徵定義包括具有至少約5:1或更大(例如,6:1或更大、7:1或更大、8:1或更大、9:1或更大、10:1或更大、11:1或更大、12:1、16:7或更大、或甚至約10:1至約20:1的高寬比)的高的高寬比(裸孔的高度除以孔的寬度的比率)的特徵。可使用本文中描述的實現方式形成的示例性特徵定義包括用於半導體、太陽能或其他電子設備(諸如高比率接觸插頭)中的過孔、溝槽、線路、接觸孔、通孔或其他特徵定義。
圖3A至圖3C描繪了具有利用圖2A至圖2B中所描繪的方法在基板材302上形成的高深寬比特徵的膜結構300的截面圖。在一個實現方式中,膜結構300可被用來形成三維(3D)NAND半導體應用的柵極結構。在製造三維(3D)NAND半導體應用中,階梯狀的氧化物-氮化物對的結構通常被用於高深寬比柵極堆疊NAND單元,以便增加電路密度。
膜結構300可形成在基板材302的可選基層304上。可選基層304可為包括第一材料304a和第二材料304b的圖案化層。膜結構300具有順序地形成在可選基層304上的多個材料層堆疊3061 、3062 、3063 ……306n (統稱306)。每個材料層堆疊306可包括形成在其上的第一膜層3081 、3082 、3083 ……308n (統稱308)和第二膜層3101 、3102 、3103 ……310n (統稱310),使得膜結構300包括交替形成的多個第一膜層308和第二膜層310。膜的各種膜性質(例如,折射率、膜的應力、膜中的摻雜劑濃度)可以在整個膜結構300上分級,以便通過在特徵深度增加時補償乾法蝕刻速率和濕法蝕刻速率兩者的變化來實現更均勻的蝕刻輪廓。在一個實施方式中,該多個第一膜層308是氧化矽層,並且該多個第二膜層310是氮化矽層。可以通過PECVD沉積技術在一個處理腔室(諸如處理腔室100)中形成該多個材料層堆疊306。
在進一步實現方式中,第一材料層/第二材料層堆疊可為氧化物/矽、矽/摻雜矽或矽/氮化物。所有這些材料組合都可被用於位元成本可擴展設備(Bit-Cost Scalable;BiCS)、兆百萬位元元胞陣列電晶體(Terabit Cell Array Transistor;TCAT)以及其他3D存儲結構。在其他實現方式中,第一材料層/第二材料層堆疊可為其他材料組合。第一膜層308和第二膜層310在基板材302上的的沉積順序也可被顛倒。
層數可取決於被製造的存放裝置。在一個實施例中,堆疊數可為8x或16x或24x或甚至更高,其中具有8、16、24、32、64、128或更多層的每個堆疊對應於一個存放裝置。不同材料的兩個層形成每個堆疊,因此8x堆疊數的對應層數可為16,16x堆疊數可具有32層,24x堆疊數可具有48層,並且更高的堆疊數可具有相應更高的層數。
方法200在操作210處開始,其中基板材(諸如圖3A中所描繪的基板材302)被定位到諸如圖1中所描繪的處理腔室100之類的處理腔室或其他合適的處理腔室中。圖3A中所示的基板材302包括形成在基板材302上的可選基層304。在可選基層304不存在的實現方式中,膜結構300可直接形成在基板材302的表面上。在一個實現方式中,基板材302可具有基本上平坦的表面、不均勻的表面、或其上形成有結構的基本上平坦的表面。基板材302可為以下材料,諸如晶體矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜的或無摻雜的多晶矽、摻雜的或無摻雜的矽晶圓和圖案化或非圖案化的晶圓、絕緣體上的矽(silicon on insulator;SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石。基板材302可具有各種尺寸,諸如200 mm或300 mm直徑晶圓,以及矩形或方形的面板材。除非另外指出,本文中描述的實現方式和示例在具有200 mm直徑、300 mm直徑或450 mm直徑的基板材上進行。在一個實現方式中,基板材302可為晶體矽基板材。
在操作220處,在基板材302上形成第一材料層堆疊3061 。第一材料層堆疊3061 包括第一膜層3081 和形成在第一膜層3081 上的第二膜層3101 。第二膜層3101 具有第一折射率。應當理解,雖然在本實現方式中,各種膜層的折射率在整個膜結構上變化,但是本文中描述的實現方式也適用於改變膜結構300內的其他膜性質(例如,膜應力、摻雜劑濃度)。
在操作222處,在基板材302上形成第一膜層3081 。第一膜層3081 可為含氧化矽的層、含氮化矽的層、含矽層,諸如非晶矽、多晶矽或任何合適的晶體矽層。在圖3A中所描繪的實現方式中,第一材料層堆疊3061 是含氧化矽的層,諸如氧化矽層。
在操作222期間,將沉積氣體混合物提供到處理腔室中。沉積氣體混合物可包括含矽氣體和反應氣體。含矽氣體的合適的示例包括但不限於矽烷(SiH4 )、乙矽烷(Si2 H6 )、四氟化矽(SiF4 )、四氯化矽(SiCl4 )、二氯矽烷(SiH2 Cl2 )、原矽酸四乙酯(TEOS)等等。
反應氣體可為:含氧氣體,用於形成含氧化矽的層;含氮氣體,用於形成含氮化矽的層;或者含碳氣體,用於形成含碳化矽的層。含氧氣體的合適的示例包括O2 、N2 O、NO2 、O3 、H2 O等等。含氮氣體的合適的示例包括N2 、N2 O、NO2 、NH3 、N2 H2 等等。含碳氣體的合適的示例包括CO2 、CO、CH4 、CF4 、其他合適的碳基聚合物氣體等等。
在本文中所描繪的一個實現方式中,含矽氣體為TEOS,並且反應氣體為諸如N2 O之類的含氧氣體,以形成圖3A中所描繪的第一膜層3081 ,諸如含氧化矽的層。
在一個實現方式中,維持含矽氣體(諸如TEOS氣體)和反應氣體(諸如含氧氣體(O2 、N2 O、NO2 、O3 和H2 O))的氣體比率以控制氣體混合物的反應行為,由此允許所形成的矽膜中的氧元素的期望比例。在一個實現方式中,可針對300 mm基板材以約500 mgm與約3500 mgm之間(例如,在約500 mgm與約1000 mgm之間;在約1100 mgm與約2000 mgm之間;在約2100 mgm與約3500 mgm之間)的流速供應含矽氣體(例如,TEOS氣體),並且可針對300 mm基板材以約500 sccm與約9000 sccm之間(例如,在約500 sccm與約2500 sccm之間;在約3000 sccm與約6000 sccm之間;在約6500 sccm與約9500 sccm之間)的流速供應含氧氣體(例如,N2 O)。可以在約1:1與約1:150之間(諸如在約1:1與約1:120之間,例如,約1:100)的TEOS與N2 O比率供應TEOS氣體和N2 O氣體的氣體混合物。
替代地,可將一種或多種惰性氣體包括在提供至處理腔室100的沉積氣體混合物中。惰性氣體可包括但不限於稀有氣體,諸如Ar、He和Xe、或N2 等等。可針對300 mm基板材以在約0 sccm與約5000 sccm之間(在約100 sccm與約1500 sccm之間;在約2000 sccm與約4000 sccm之間)的流速向處理腔室100供應惰性氣體。可以在約1:1與約1:150之間的惰性氣體與TEOS氣體的流量比率向處理腔室100供應惰性氣體。在一些實現方式中,可在將沉積氣體流供應至工藝腔室中之前開始惰性氣體的流動。
在本文中所描繪的一個實現方式中,含矽氣體為TEOS,反應氣體為諸如N2 O之類的含氧氣體,並且惰性氣體為氬氣,以形成圖3A中所描繪的第一膜層3081 ,諸如含氧化矽的層。
可在將沉積氣體混合物供應至處理腔室中時調節若干工藝參數。在一個實現方式中,沉積處理腔室中的工藝氣體混合物的壓力被調節成在約10 mTorr至約15 mTorr之間,並且基板材溫度被維持在約200攝氏度與約700攝氏度之間。
在操作222期間,當將沉積氣體混合物供應至處理腔室中時,RF源功率可由電功率的第一源142(圖1中所描繪的)生成,並且被耦合至氣體混合物以幫助將沉積氣體混合物離解成電漿中的反應物質。在一些實現方式中,可在將沉積氣體供應至工藝腔室中之前生成RF源功率。
RF源和/或偏壓功率激發處理容積120內的沉積氣體混合物,使得可維持電漿。在一個實現方式中,可操作電功率的第一源142以提供在約0.3 MHz與約14 MHz之間的頻率(諸如約13.56 MHz)下的RF功率。電功率的第一源142可生成在約10瓦特至約5000瓦特(例如,在約300瓦特至約1500瓦特之間;約500瓦特)下的RF功率。在一些實現方式中,除了RF源功率之外,還可在沉積工藝期間利用由電功率的第二源150(圖1中所描繪的)所提供的RF偏壓功率以幫助使沉積氣體混合物離解,從而形成電漿。在一個實現方式中,可操作電功率的第一源142以提供在約0.3 MHz與約14 MHz之間的頻率(諸如約13.56 MHz)下的RF功率。可在300 kHz的頻率下供應約0瓦特與約1000瓦特之間(例如,在約10瓦特與約100瓦特之間)的RF偏壓功率。在一個實現方式中,可在約500 Hz與約10 kHz之間的RF頻率下以約10%至約95%的占空比使RF偏壓功率脈動。
此外,電流/電壓可被供應至電漿輪廓調製器111,以説明控制電漿在基板材302上的輪廓和分佈。在一個實現方式中,側壁調諧電極電流目標(例如,被供應至第一電極108)被設定為在約0.5安培與約40安培之間,諸如約6安培,並且基板材支撐件調諧電極電流目標(例如,被供應至第二電極122)被設定為在約0.5安培與約40安培之間,諸如約6安培,以幫助控制如在處理容積120中生成的電漿。
在一些實現方式中,在操作222期間,可在沉積工藝期間施加約300瓦特至約1500瓦特之間的高頻RF與約0瓦特至約1000瓦特之間的低頻RF的組合。
在沉積第一膜層3081 的期望厚度後,隨後可終止沉積工藝。在一個實現方式中,第一膜層3081 可具有在約10 nm與約60 nm之間(諸如約30 nm)的厚度。在其中第一膜層3081 為含氧化矽的層(諸如氧化矽層)的實現方式中,含氧化矽的層可具有在約0 MPa與約+1000 MPa之間的應力範圍。
可在於第一膜層3081 上形成第二膜層3101 之前執行用於從處理腔室中泵送/淨化殘餘氣體或沉積副產物的可選的泵送/淨化工藝。在操作222期間使用惰性氣體的一些實現方式中,惰性氣體可被用作施加或不施加RF功率的情況下的淨化氣體。
在操作224處,在第一膜層3081 上形成第二膜層3101 。第二膜層3101 可為含氧化矽的層、含氮化矽的層、含矽層,諸如非晶矽、多晶矽或任何合適的晶體矽層。在圖3A中描繪的實現方式中,第二膜層3101 是含氮化矽的層,諸如氮化矽層。
在操作224期間,將沉積氣體混合物提供到處理腔室中。沉積氣體混合物可包括含矽氣體和反應氣體。含矽氣體的合適的示例包括但不限於矽烷(SiH4 )、乙矽烷(Si2 H6 )、四氟化矽(SiF4 )、四氯化矽(SiCl4 )、二氯矽烷(SiH2 Cl2 )、原矽酸四乙酯(TEOS)等等。
反應氣體可為:含氧氣體,用於形成含氧化矽的層;含氮氣體,用於形成含氮化矽的層;或者含碳氣體,用於形成含碳化矽的層。含氧氣體的合適的示例包括O2 、N2 O、NO2 、O3 、H2 O等等。含氮氣體的合適的示例包括N2 、N2 O、NO2 、NH3 、N2 H2 等等。含碳氣體的合適的示例包括CO2 、CO、CH4 、CF4 、其他合適的碳基聚合物氣體等等。
在本文中所描繪的一個實現方式中,含矽氣體為SiH4 ,並且反應氣體為諸如NH3 和N2 之類的含氮氣體,以形成圖3A中所描繪的第二膜層3101 ,諸如含氮化矽的層。
在一個實現方式中,維持含矽氣體(諸如SiH4 氣體)和反應氣體(諸如含氮氣體(N2 、N2 O或NH3 ))的氣體比率以控制氣體混合物的反應行為,由此允許所形成的矽膜中的氮元素的期望比例。在一個實現方式中,可針對300 mm基板材以約30 sccm與約400 sccm之間(例如,在約30 sccm與約100 sccm之間;在約150 sccm與約300 sccm之間)的流速供應含矽氣體(例如,SiH4 氣體),並且可針對300 mm基板材以約200 sccm與約9000 sccm之間(例如,在約200 sccm與約7000 sccm之間;在約500 sccm與約2500 sccm之間;在約3000 sccm與約6000 sccm之間;在約6500 sccm與約9500 sccm之間)的流速供應含氮氣體(例如,NH3 )。可以在約1:1與約1:150之間(諸如在約1:1與約1:120之間,例如,約1:100)的SiH4 與NH3 比率供應SiH4 氣體和NH3 氣體的氣體混合物。
替代地,可將一種或多種惰性氣體包括在提供至處理腔室100的沉積氣體混合物中。惰性氣體可包括但不限於稀有氣體,諸如Ar、He和Xe、或N2 等等。可針對300 mm基板材以在約0 sccm與約5000 sccm之間(在約100 sccm與約1500 sccm之間;在約2000 sccm與約4000 sccm之間)的流速向處理腔室100供應惰性氣體。可以在約1:1與約1:150之間的惰性氣體與TEOS氣體的流量比率向處理腔室100供應惰性氣體。在一些實現方式中,可在將沉積氣體流供應至工藝腔室中之前開始惰性氣體的流動。
在本文中所描繪的一個實現方式中,含矽氣體為SiH4 ,反應氣體為諸如NH3 和N2 之類的含氮氣體,並且惰性氣體為氬氣,以形成圖3A中所描繪的第二膜層3101 ,諸如含氧化矽的層。
可在將沉積氣體混合物供應至處理腔室中時調節若干工藝參數。在一個實現方式中,沉積處理腔室中的工藝氣體混合物的壓力被調節成在約10 mTorr至約15 mTorr之間,並且基板材溫度被維持在約200攝氏度與約700攝氏度之間。
在操作222期間,當將沉積氣體混合物供應至處理腔室中時,RF源功率可由電功率的第一源142(圖1中所描繪的)生成,並且被耦合至氣體混合物以幫助將沉積氣體混合物離解成電漿中的反應物質。
RF源和/或偏壓功率激發處理容積120內的沉積氣體混合物,使得可維持電漿。在一個實現方式中,可操作電功率的第一源142以提供在約0.3 MHz與約14 MHz之間的頻率(諸如約13.56 MHz)下的RF功率。電功率的第一源142可生成在約10瓦特至約5000瓦特(例如,在約300瓦特至約1500瓦特之間;約500瓦特)下的RF功率。在一些實現方式中,除了RF源功率之外,還可在沉積工藝期間利用由電功率的第二源150(圖1中所描繪的)所提供的RF偏壓功率以幫助使沉積氣體混合物離解,從而形成電漿。在一個實現方式中,可操作電功率的第一源142以提供在約0.3 MHz與約14 MHz之間的頻率(諸如約13.56 MHz)下的RF功率。可在300 kHz的頻率下供應約0瓦特與約1000瓦特之間(例如,在約10瓦特與約100瓦特之間)的RF偏壓功率。在一個實現方式中,可在約500 Hz與約10 kHz之間的RF頻率下以約10%至約95%的占空比使RF偏壓功率脈動。
此外,電流/電壓可被供應至電漿輪廓調製器111,以説明控制電漿在基板材302上的輪廓和分佈。在一個實現方式中,側壁調諧電極電流目標(例如,被供應至第一電極108)被設定為在約0.5安培與約40安培之間,諸如約6安培,並且基板材支撐件調諧電極電流目標(例如,被供應至第二電極122)被設定為在約0.5安培與約40安培之間,諸如約6安培,以幫助控制如在處理容積120中生成的電漿。
在沉積第二膜層3101 的期望厚度後,隨後可終止沉積工藝。在一個實現方式中,第二膜層3101 可具有在約10 nm與約60 nm之間(諸如約30 nm)的厚度。在其中第二膜層3101 為含氮化矽的層(諸如氮化矽層)的實現方式中,含氮化矽的層可具有在約0 MPa與約1000 MPa之間的應力範圍。第二膜層3101 具有從約1.85至約2.1的折射率(例如,約1.85至約1.90的折射率;約1.90的折射率)。
可在形成第二膜層3101 之後執行用於從處理腔室中泵送/淨化殘餘氣體或沉積副產物的可選的泵送/淨化工藝。在操作224期間使用惰性氣體的一些實現方式中,惰性氣體可被用作施加或不施加RF功率的情況下的淨化氣體。
可連續地執行圖2的操作222和224,以形成具有交替的第一膜層3081 和第二膜層3101 的材料層堆疊3061 ,直到實現第一材料層堆疊3061 的期望厚度。在其中第一膜層3081 為氧化矽層並且第二膜層3101 為氮化矽層的一個實現方式中,可通過切換不同的沉積氣體混合物以形成具有不同組分的膜層3081 和3101 而不從處理腔室100移除基板材302(例如,沒有破壞真空)來執行圖2的方法200。
例如,可通過以下方式來形成第一材料層堆疊3061 :首先供應具有第一工藝參數集合(在操作222處調節)的第一沉積氣體混合物,以形成第一膜層3081 (諸如氧化矽層)。第一沉積氣體混合物可包括至少含矽氣體和含氧氣體。在達到第一膜層3081 的厚度後,可將第一沉積氣體混合物切換成具有第二工藝參數集合的第二沉積氣體混合物,以形成第二膜層3101 ,諸如氮化矽層。第二沉積氣體混合物可包括至少含矽氣體和含氮氣體。第一沉積氣體混合物與第二沉積氣體混合物之間的切換可任選地具有泵送/淨化工藝,以在於基板材302上形成下一膜層之前,從處理腔室中泵送/淨化殘餘氣體或沉積副產物。
在操作230處,在於基板材上沉積第一材料層堆疊3061 後,在第一材料層堆疊3061 上形成第二材料層堆疊3062 。第二材料層堆疊3062 包括第三膜層3082 和形成在第三膜層3082 上的第四膜層3102 。第四膜層3102 具有大於第二膜層3101 的第一折射率的第二折射率。
在操作232處,在第一材料層堆疊3061 上形成第三膜層3082 。第三膜層3082 可為含氧化矽的層、含氮化矽的層、含矽層,諸如非晶矽、多晶矽或任何合適的晶體矽層。在圖3A中所描繪的實現方式中,第三膜層3082 是含氧化矽的層,諸如氧化矽層。第三膜層3082 可類似於第一膜層3081 ,並且可使用操作222中所描述的工藝條件來形成。
可在於第三膜層3082 上形成第四膜層3102 之前執行用於從處理腔室中泵送/淨化殘餘氣體或沉積副產物的可選的泵送/淨化工藝。在操作232期間使用惰性氣體的一些實現方式中,惰性氣體可被用作施加或不施加RF功率的情況下的淨化氣體。
在操作234處,在第三膜層3082 上形成第四膜層3102 。第四膜層3102 可為含氧化矽的層、含氮化矽的層、含矽層,諸如非晶矽、多晶矽或任何合適的晶體矽層。在圖3A中所描繪的實現方式中,第四膜層3102 是含氮化矽的層,諸如氮化矽層。第四膜層3102 具有的折射率大於第二膜層3101 的折射率。可使用類似於針對操作224描述的工藝條件的工藝條件沉積第四膜層3102 。然而,為了實現比第二膜層3101 的折射率更大的折射率,可相對於操作224中所描述的工藝參數改變各種工藝參數。
在一些實現方式中,相對於操作224中所描述的流速改變含矽氣體(例如,SiH4 )的流速或含氮氣體(例如,NH3 )的流速,以便實現第四膜層3102 相對於第二膜層3101 的更大的折射率。可調節其他工藝條件(諸如腔室壓力和/或基板材302與氣體分配器112之間的間距),以便實現增加的折射率。例如,在一個實現方式中,相對於用於形成操作224中描述的第二膜層3101 的含矽氣體(例如,SiH4 )的流速增加含矽氣體(例如,SiH4 )的流速。在另一實現方式中,相對於用於形成操作224中描述的第二膜層3101 的含氮氣體(例如,NH3 )的流速增加含氮氣體(例如,NH3 )的流速。
在沉積第四膜層3102 的期望厚度後,隨後可終止沉積工藝。在一個實現方式中,第四膜層3102 可具有在約10 nm與約60 nm之間(諸如約30 nm)的厚度。在其中第四膜層3102 為含氮化矽的層(諸如氮化矽層)的實現方式中,含氮化矽的層可具有在約0 MPa與約1000 MPa之間的應力範圍。第四膜層3102具有從約1.85至約2.1的折射率(例如,約1.91至約1.95的折射率;約1.93的折射率)。
可在形成第四膜層3102 之後執行用於從處理腔室中泵送/淨化殘餘氣體或沉積副產物的可選的泵送/淨化工藝。在操作234期間使用惰性氣體的一些實現方式中,惰性氣體可被用作施加或不施加RF功率的情況下的淨化氣體。
可連續地執行圖2的操作232和234,以形成具有交替的第三膜層3082 和第四膜層3102 的第二材料層堆疊3062 ,直到實現第二材料層堆疊3062 的期望厚度。在其中第三膜層3082 為氧化矽層並且第四膜層3102 為氮化矽層的一個實現方式中,可通過切換不同的沉積氣體混合物以形成具有不同組分的膜層3082 和3102 而不從處理腔室100移除基板材302(例如,沒有破壞真空)來執行圖2的方法200。
在操作240處,在於基板材上沉積第二材料層堆疊3062 後,在第二材料層堆疊3062 上形成第三材料層堆疊3063 。第三材料層堆疊3063 包括第五膜層3083 和形成在第五膜層3083 上的第六膜層3103 。第六膜層3103 具有大於第四膜層3102 的第二折射率的第三折射率。
在操作242處,在第二材料層堆疊3062 上形成第五膜層3083 。第五膜層3083 可為含氧化矽的層、含氮化矽的層、含矽層,諸如非晶矽、多晶矽或任何合適的晶體矽層。在圖3A中所描繪的實現方式中,第五膜層3083 是含氧化矽的層,諸如氧化矽層。第五膜層3083 可類似於第一膜層3081 和第三膜層3082 ,並且可使用操作222和232中所描述的工藝條件來形成。
可在於第五膜層3083 上形成第六膜層3103 之前執行用於從處理腔室中泵送/淨化殘餘氣體或沉積副產物的可選的泵送/淨化工藝。在操作242期間使用惰性氣體的一些實現方式中,惰性氣體可被用作施加或不施加RF功率的情況下的淨化氣體。
在操作244處,在第五膜層3083 上形成第六膜層3103 。第六膜層3103 可為含氧化矽的層、含氮化矽的層、含矽層,諸如非晶矽、多晶矽或任何合適的晶體矽層。在圖3A中所描繪的實現方式中,第六膜層3103 是含氮化矽的層,諸如氮化矽層。第六膜層3103 具有的折射率大於第四膜層3102 的折射率。可使用類似於針對操作224和234描述的工藝條件的工藝條件沉積第六膜層3103 。然而,為了實現比第四膜層3102 的折射率更大的折射率,可相對於操作224和234中所描述的工藝參數改變各種工藝參數。在一些實現方式中,相對於操作234中所描述的流速改變含矽氣體(例如,SiH4 )的流速或含氮氣體(例如,NH3 )的流速,以便實現相對於第四膜層3102 的折射率更大的第六膜層3103 的折射率。例如,在一個實現方式中,相對於用於形成操作234中描述的第四膜層3102 的含矽氣體(例如,SiH4 )的流速增加含矽氣體(例如,SiH4 )的流速。在另一實現方式中,相對於用於形成操作234中描述的第四膜層3102 的含氮氣體(例如,NH3 )的流速增加含氮氣體(例如,NH3 )的流速。
在沉積第六膜層3103 的期望厚度後,隨後可終止沉積工藝。在一個實現方式中,第六膜層3103 可具有在約10 nm與約60 nm之間(諸如約30 nm)的厚度。在其中第六膜層3103 為含氮化矽的層(諸如氮化矽層)的實現方式中,含氮化矽的層可具有在約0 MPa與約1000 MPa之間的應力範圍。第六膜層3103 具有從約1.85至約2.1的折射率(例如,約1.95至約2.1的折射率;約1.95的折射率)。
可在形成第六膜層3103 之後執行用於從處理腔室中泵送/淨化殘餘氣體或沉積副產物的可選的泵送/淨化工藝。在操作244期間使用惰性氣體的一些實現方式中,惰性氣體可被用作施加或不施加RF功率的情況下的淨化氣體。
可連續地執行圖2B的操作242和244,以形成具有交替的第五膜層3083 和第六膜層3103 的第三材料層堆疊3063 ,直到實現第二材料層堆疊3062 的期望厚度。在其中第五膜層3083 為氧化矽層並且第六膜層3103 為氮化矽層的一個實現方式中,可通過切換不同的沉積氣體混合物以形成具有不同組分的膜層3083 、3103 而不從處理腔室100移除基板材302(例如,沒有破壞真空)來執行圖2的方法200。
在操作250處,在膜結構300上形成一個或多個圖案化層320。該一個或多個圖案化層可包括例如硬掩模層322(例如,非晶碳層)、抗反射性塗層324以及光刻膠層326。可使用本領域已知的技術來圖案化該一個或多個圖案化層,以形成開口330。例如,可使用已知的光刻技術將圖案的圖像引入至光刻膠層326中。可在適當的顯影劑中使引入光刻膠層326中的圖案的圖像顯影,以通過此層限定圖案。此後,在光刻膠層326中限定的圖案被轉移通過抗反射塗層324和硬掩模層322兩者。使用光刻膠層326作為掩模來將圖案轉移通過抗反射塗層324和硬掩模層322兩者。可使用包括例如以下各項的氣體混合物來將圖案轉移通過抗反射塗層324:含氫氟碳(Cx Fy Hz )以及選自由氫(H2 )、氮(N2 )、氧(O2 )、氬(Ar)和氦(He)組成的組中的一種或多種氣體。可單獨使用臭氧、氧氣或氨電漿或結合溴化氫(HBr)、氮(N2 )、四氟化碳(CF4 )、氬(Ar)以及其他來蝕刻硬掩模層322。
在操作260處,在膜結構300中形成一個或多個高深寬比特徵340。可使用硬掩模層322來將一個或多個圖案化層320中限定的開口330轉移通過膜結構300,以形成一個或多個高深寬比特徵340。可使用反應離子蝕刻技術或其他各向異性蝕刻技術來形成高深寬比特徵340。在一個實現方式中,蝕刻氣體的電漿或離子束可指向基板材302以形成一個或多個高深寬比特徵340。蝕刻氣體可包括SF6 、C3 F8 、CF4 、BF3 、BI3 、N2 、Ar、PH3 、AsH3 、B2 H6 、H2 、Xe、Kr、Ne、He、SiH4 、SiF4 、GeH4 、GeF4 、CH4 、AsF5 、PF3 、PF5 或它們的組合。
在操作270處,在於膜結構300中形成高深寬比特徵340後,可通過單獨在臭氧、氧、氨電漿中或結合含氟化合物、氮或氫電漿來蝕刻硬掩模層322來從膜結構300剝離硬掩模層322。
圖4是描繪了當氮化矽材料的乾法蝕刻速率(Å/秒)與氮化矽材料的折射率有關時的氮化矽材料的乾法蝕刻速率的繪圖400。如繪圖400中所描繪的,隨著氮化矽膜的折射率增大,乾法蝕刻速率減小。
圖5A是描繪了根據現有技術方法的沒有形成折射率梯度的含氮化矽結構中形成的溝槽的蝕刻輪廓的顯微照片。如圖5A中所描繪的,沒有折射率的氮化矽膜的蝕刻輪廓是不均勻的。
圖5B是描繪了根據本文中所描述的實現方式的具有折射率梯度的含氮化矽結構中形成的溝槽的蝕刻輪廓的顯微照片。如圖5B中所描繪的,具有折射率為1.9的多個氮化矽層和折射率為2.0的多個氮化矽層的結構中所形成的溝槽的蝕刻輪廓相對於圖5A中所描繪的蝕刻輪廓而言更為均勻。
圖5C是描繪了根據本文中所描述的實現方式的具有折射率梯度的含氮化矽結構中形成的另一溝槽的蝕刻輪廓的顯微照片。如圖5C中所描繪的,具有折射率為1.9的多個氮化矽層、折射率為1.95的多個氮化矽層和折射率為2.0的多個氮化矽層的結構中所形成的溝槽的蝕刻輪廓相對於圖5A和圖5B兩者中所描繪的蝕刻輪廓而言更為均勻。
如本文中所使用的,以下術語具有以下所闡述的含義,除非另外指明或從它們使用的上下文中清楚。
當介紹本公開案或者示例性方面或其實現方式的要素時,冠詞「一」、「一個」、「該」和「該」旨在表示存在一個或多個要素。
術語「包含」、「包括」和「具有」旨在為包括性的,並且表示除了所列要素之外,還可能有另外要素。
術語「基板材」是指具有或不具有形成在其上的層的支撐基板材。支撐基板材可為具有各種各樣的摻雜濃度和摻雜分佈的絕緣體或半導體,並且可例如為用於積體電路製造中的類型的半導體基板材。
儘管上述內容是針對本公開案的實現方式,但是可在不背離本公開案的基本範圍的情況下構想出本公開案的其他和進一步實現方式,並且本公開案的範圍是由隨附權利要求書來確定。
100:處理腔室 102:腔室主體 104:基板材支撐件 106:蓋元件 108:第一電極 110:隔離器 110a:隔離器 110b:隔離器 111:電漿輪廓調製器 112:氣體分配器 114:入口 118:開口 120:處理容積 122:第二電極 124:第三電極 126:開口 128:第一調諧電路 130:第一電子感測器 132A:第一電感器 132B:第二電感器 132:電感器 134:第一電子控制器 136:第二調諧電路 138:第二電子感測器 140:第二電子控制器 142:第一源 144:軸 145:箭頭 146:導管 147:軸線 148:濾波器 150:第二源 152:出口 200:方法 210:操作 220:操作 222:操作 224:操作 230:操作 232:操作 234:操作 240:操作 242:操作 244:操作 250:操作 260:操作 270:操作 300:膜結構 302:基板材 304:可選基層 304a:第一材料 304b:第二材料 306:材料層堆疊 3061:第一材料層堆疊 3062 :第二材料層堆疊 3063 :第三材料層堆疊 308:第一膜層 3081 :第一膜層 3082 :第三膜層 3083 :第五膜層 310:第二膜層 3101 :第二膜層 3102 :第四膜層 3103 :第六膜層 320:圖案化層 322:硬掩模層 324:抗反射性塗層 326:光刻膠層 330:開口 340:高深寬比特徵 400:繪圖
為了可詳細理解本公開的上述特徵的方式,可通過參照實現方式對簡要概述於上的本公開進行更加詳細的描述,這些實現方式中的一些實現方式圖示於附圖中。然而應注意的是,這些附圖僅圖示本公開的典型實現方式且因此不被視為限制本公開的範疇,因為本公開可允許其他等效實現方式。
圖1描繪了根據本文中描述的實現方式的可被用來形成膜層的處理腔室的示意性側視圖;
圖2A至圖2B描繪了根據本文中描述的實現方式的在薄膜中形成高深寬比特徵定義的方法的流程圖;
圖3A至圖3C描繪了利用圖2中描繪的方法在基板材上形成的膜結構的橫截面圖;
圖4是描繪了當氮化矽材料的乾法蝕刻速率(Å/秒)與氮化矽材料的折射率有關時的氮化矽材料的乾法蝕刻速率的繪圖;
圖5A是描繪了根據現有技術方法的具有恆定折射率的含氮化矽結構中形成的溝槽的蝕刻輪廓的顯微照片;
圖5B是描繪了根據本文中描述的實現方式的具有折射率梯度的含氮化矽結構中形成的溝槽的蝕刻輪廓的顯微照片;以及
圖5C是描繪了根據本文中描述的實現方式的具有折射率梯度的含氮化矽結構中形成的另一溝槽的蝕刻輪廓的顯微照片。
為了便於理解,已經在可能的地方使用相同的附圖標記來指示諸圖所共有的相同元件。可構想,一個實現方式中所公開的元件可有利地用於其他實現方式而無需具體詳述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:膜結構
302:基板材
304:可選基層
304a:第一材料
304b:第二材料
3061:第一材料層堆疊
3062:第二材料層堆疊
3063:第三材料層堆疊
3081:第一膜層
3082:第三膜層
3083:第五膜層
3101:第二膜層
3102:第四膜層
3103:第六膜層
340:高深寬比特徵

Claims (20)

  1. 一種膜結構,包括:一個或多個第一含氧化矽/氮化矽堆疊,形成於一基板材上,其中該一個或多個第一含氧化矽/氮化矽堆疊包括:一第一膜層,形成於該基板材上;及一第二膜層,形成於該第一膜層上,其中該第二膜層具有一第一折射率,且其中該第一膜層與該第二膜層係氧化矽層或氮化矽層,且其中該第一膜層不同於該第二膜層;一個或多個第二含氧化矽/氮化矽堆疊,形成於該一個或多個第一含氧化矽/氮化矽堆疊上,其中該一個或多個第二含氧化矽/氮化矽堆疊包括:一第三膜層,形成於一前一層上;及一第四膜層,形成於該第三膜層上,其中該第四膜層具有的一折射率大於該第二膜層的該折射率,且其中該第三膜層與該第四膜層係氧化矽層或氮化矽層,且其中該第三膜層不同於該第四膜層,其中該第二膜層的該折射率係從約1.85至約1.90,而該第四膜層的該折射率係從約1.91至約1.95。
  2. 如請求項1所述之膜結構,其中該第二膜層具有從約10奈米至約60奈米的一厚度,而該第四膜層具有從約10奈米至約60奈米的一厚度。
  3. 如請求項1所述之膜結構,進一步包括一個或多個圖案化層,形成於該一個多個第二含氧化矽/氮化 矽堆疊上。
  4. 如請求項1所述之膜結構,進一步包括:一個或多個第三含氧化矽/氮化矽堆疊,形成於該一個或多個第二含氧化矽/氮化矽堆疊上,其中該一個或多個第三含氧化矽/氮化矽堆疊包括:一第五膜層,形成於一前一層上;及一第六膜層,形成於該第五膜層上,其中該第六膜層具有的一折射率大於該第四膜層的該折射率,且其中該第五膜層與該第六膜層係氧化矽層或氮化矽層,且其中該第五膜層不同於該第六膜層。
  5. 如請求項4所述之膜結構,進一步具有一個或多個特徵,形成於該一個多個含氧化矽/氮化矽堆疊中。
  6. 如請求項5所述之膜結構,其中該一個或多個特徵具有約10:1至約20:1的一高寬比。
  7. 如請求項1所述之膜結構,其中該第二膜層與該第四膜層係氮化矽層。
  8. 一種膜結構,包括:一個或多個第一含氧化矽/氮化矽堆疊,形成於一基板材上,其中該一個或多個第一含氧化矽/氮化矽堆疊包括:一第一膜層,形成於該基板材上;及一第二膜層,形成於該第一膜層上,其中該第二膜層具有一第一折射率,且其中該第一膜層與該第二膜層係氧化矽層或氮化矽層,且其中該第一膜層不同於該第二 膜層;一個或多個第二含氧化矽/氮化矽堆疊,形成於該一個或多個第一含氧化矽/氮化矽堆疊上,其中該一個或多個第二含氧化矽/氮化矽堆疊包括:一第三膜層,形成於一前一層上;及一第四膜層,形成於該第三膜層上,其中該第四膜層具有的一折射率大於該第二膜層的該折射率,且其中該第三膜層與該第四膜層係氧化矽層或氮化矽層,且其中該第三膜層不同於該第四膜層;及一個或多個第三含氧化矽/氮化矽堆疊,形成於該一個或多個第二含氧化矽/氮化矽堆疊上,其中該一個或多個第三含氧化矽/氮化矽堆疊包括:一第五膜層,形成於一前一層上;及一第六膜層,形成於該第五膜層上,其中該第六膜層具有的一折射率大於該第四膜層的該折射率,且其中該第五膜層與該第六膜層係氧化矽層或氮化矽層,且其中該第五膜層不同於該第六膜層,其中該第二膜層的該折射率係從約1.85至約1.90,該第四膜層的該折射率係從約1.91至約1.95,而該第六膜層的該折射率係從約1.95至約2.1。
  9. 如請求項8所述之膜結構,其中該第二膜層的該折射率係約1.90,該第四膜層的該折射率係約1.93,而該第六膜層的該折射率係約1.95。
  10. 如請求項8所述之膜結構,其中該第二膜層 具有從約10奈米至約60奈米的一厚度,該第四膜層具有從約10奈米至約60奈米的一厚度,而該第六膜層具有從約10奈米至約60奈米的一厚度。
  11. 如請求項8所述之膜結構,進一步具有一個或多個特徵,形成於該一個多個含氧化矽/氮化矽堆疊中。
  12. 如請求項11所述之膜結構,其中該一個或多個特徵具有約10:1至約20:1的一高寬比。
  13. 如請求項8所述之膜結構,進一步包括一個或多個圖案化層,形成於該一個多個第三含氧化矽/氮化矽堆疊上。
  14. 如請求項8所述之膜結構,其中該第二膜層、該第四膜層與該第六膜層係氮化矽層。
  15. 一種膜結構,包括:一個或多個第一含氧化矽/氮化矽堆疊,形成於一基板材上,其中該一個或多個第一含氧化矽/氮化矽堆疊包括:一第一膜層,形成於該基板材上;及一第二膜層,形成於該第一膜層上,其中該第二膜層具有一第一折射率,且其中該第一膜層與該第二膜層係氧化矽層或氮化矽層,且其中該第一膜層不同於該第二膜層;一個或多個第二含氧化矽/氮化矽堆疊,形成於該一個或多個第一含氧化矽/氮化矽堆疊上,其中該一個或多個第二含氧化矽/氮化矽堆疊包括: 一第三膜層,形成於一前一層上;及一第四膜層,形成於該第三膜層上,其中該第四膜層具有的一折射率大於該第二膜層的該折射率,且其中該第三膜層與該第四膜層係氧化矽層或氮化矽層,且其中該第三膜層不同於該第四膜層;及一個或多個第三含氧化矽/氮化矽堆疊,形成於該一個或多個第二含氧化矽/氮化矽堆疊上,其中該一個或多個第三含氧化矽/氮化矽堆疊包括:一第五膜層,形成於一前一層上;及一第六膜層,形成於該第五膜層上,其中該第六膜層具有的一折射率大於該第四膜層的該折射率,且其中該第五膜層與該第六膜層係氧化矽層或氮化矽層,且其中該第五膜層不同於該第六膜層。
  16. 如請求項15所述之膜結構,其中該第二膜層、該第四膜層與該第六膜層係氮化矽層。
  17. 如請求項16所述之膜結構,其中該第二膜層具有從約10奈米至約60奈米的一厚度,該第四膜層具有從約10奈米至約60奈米的一厚度,而該第六膜層具有從約10奈米至約60奈米的一厚度。
  18. 如請求項16所述之膜結構,進一步具有一個或多個特徵,形成於該一個多個含氧化矽/氮化矽堆疊中。
  19. 如請求項18所述之膜結構,其中該一個或多個特徵具有約10:1至約20:1的一高寬比。
  20. 如請求項15所述之膜結構,進一步包括一個或多個圖案化層,形成於該一個多個第三含氧化矽/氮化矽堆疊上。
TW110100208A 2015-04-01 2016-03-16 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積 TWI766525B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562141606P 2015-04-01 2015-04-01
US62/141,606 2015-04-01

Publications (2)

Publication Number Publication Date
TW202130847A TW202130847A (zh) 2021-08-16
TWI766525B true TWI766525B (zh) 2022-06-01

Family

ID=57016085

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110100208A TWI766525B (zh) 2015-04-01 2016-03-16 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積
TW105108159A TWI719015B (zh) 2015-04-01 2016-03-16 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW105108159A TWI719015B (zh) 2015-04-01 2016-03-16 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積

Country Status (5)

Country Link
US (2) US10246772B2 (zh)
JP (2) JP6820153B2 (zh)
KR (1) KR102456460B1 (zh)
CN (1) CN106057636A (zh)
TW (2) TWI766525B (zh)

Families Citing this family (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102275051B1 (ko) * 2014-01-21 2021-07-07 어플라이드 머티어리얼스, 인코포레이티드 3d 플래시 메모리 애플리케이션을 위한 유전체-금속 스택
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017127233A1 (en) 2016-01-20 2017-07-27 Applied Materials, Inc. Hybrid carbon hardmask for lateral hardmask recess reduction
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102629466B1 (ko) * 2016-09-21 2024-01-26 에스케이하이닉스 주식회사 반도체 장치의 제조 방법
US10128116B2 (en) * 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106920798B (zh) * 2017-03-07 2018-06-26 长江存储科技有限责任公司 一种三维存储器堆栈结构及其堆叠方法及三维存储器
JP2018160303A (ja) * 2017-03-23 2018-10-11 東芝メモリ株式会社 半導体記憶装置
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
CN107564800B (zh) * 2017-08-31 2020-02-18 长江存储科技有限责任公司 一种氮化硅层的制备方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN107946311B (zh) * 2017-11-21 2020-09-25 长江存储科技有限责任公司 控制3d nand闪存结构中沟道关键尺寸的方法
US10515796B2 (en) * 2017-11-21 2019-12-24 Applied Materials, Inc. Dry etch rate reduction of silicon nitride films
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
JP7137927B2 (ja) 2017-12-20 2022-09-15 キオクシア株式会社 半導体装置の製造方法
CN111566780B (zh) * 2018-01-15 2023-12-01 应用材料公司 添加氩至远程等离子体氧化
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10840086B2 (en) * 2018-04-27 2020-11-17 Applied Materials, Inc. Plasma enhanced CVD with periodic high voltage bias
US11603591B2 (en) * 2018-05-03 2023-03-14 Applied Materials Inc. Pulsed plasma (DC/RF) deposition of high quality C films for patterning
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
TWI830751B (zh) * 2018-07-19 2024-02-01 美商應用材料股份有限公司 低溫高品質的介電膜及其形成方法
JP7461926B2 (ja) 2018-07-31 2024-04-04 アプライド マテリアルズ インコーポレイテッド 3d nandのためのon積層体オーバレイの改善
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) * 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
JP2020064924A (ja) * 2018-10-16 2020-04-23 東京エレクトロン株式会社 窒化膜の成膜方法および半導体装置の製造方法
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11557509B1 (en) 2018-12-21 2023-01-17 Applied Materials, Inc. Self-alignment etching of interconnect layers
US11145504B2 (en) * 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR102419373B1 (ko) * 2019-04-19 2022-07-12 주식회사 히타치하이테크 플라스마 처리 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
WO2020258224A1 (en) 2019-06-28 2020-12-30 Yangtze Memory Technologies Co., Ltd. Methods of semiconductor device fabrication
CN110379817B (zh) 2019-06-28 2020-05-19 长江存储科技有限责任公司 用于三维存储器的叠层结构、三维存储器及其制备方法
CN112289801B (zh) 2019-06-28 2024-02-23 长江存储科技有限责任公司 用于三维存储器的叠层结构、三维存储器及其制备方法
CN110349971A (zh) 2019-06-28 2019-10-18 长江存储科技有限责任公司 用于三维存储器的叠层结构、三维存储器及其制备方法
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11384428B2 (en) 2019-07-19 2022-07-12 Applied Materials, Inc. Carbon layer covered mask in 3D applications
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US10964811B2 (en) 2019-08-09 2021-03-30 Micron Technology, Inc. Transistor and methods of forming transistors
US11024736B2 (en) 2019-08-09 2021-06-01 Micron Technology, Inc. Transistor and methods of forming integrated circuitry
US10923593B1 (en) * 2019-08-09 2021-02-16 Micron Technology, Inc. Transistor and methods of forming transistors
EP4010930A4 (en) 2019-08-09 2023-03-01 Micron Technology, Inc. TRANSISTOR AND METHOD OF MAKING TRANSISTORS
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) * 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP7343461B2 (ja) * 2019-11-08 2023-09-12 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
SG10202010798QA (en) 2019-11-08 2021-06-29 Tokyo Electron Ltd Etching method and plasma processing apparatus
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
CN114175214B (zh) * 2019-11-08 2023-01-31 东京毅力科创株式会社 蚀刻方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
CN114829670A (zh) * 2019-12-19 2022-07-29 旭硝子欧洲玻璃公司 氧化硅涂覆的聚合物膜以及用于生产其的低压pecvd方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
US11658041B2 (en) 2020-05-28 2023-05-23 Applied Materials, Inc. Methods of modifying portions of layer stacks
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11637175B2 (en) 2020-12-09 2023-04-25 Micron Technology, Inc. Vertical transistors
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112928065B (zh) * 2021-03-24 2023-06-02 长江存储科技有限责任公司 一种深孔形成方法以及三维存储器的形成方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240096641A1 (en) * 2022-09-20 2024-03-21 Applied Materials, Inc. In-situ carbon liner for high aspect ratio features

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104022121A (zh) * 2014-06-23 2014-09-03 中国科学院微电子研究所 三维半导体器件及其制造方法
CN104046955A (zh) * 2013-03-14 2014-09-17 Asmip控股有限公司 用于在低温下沉积SiN的Si前体
US8946023B2 (en) * 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6067679A (ja) 1983-09-21 1985-04-18 Hitachi Ltd 絶縁膜の加工方法
JP2842892B2 (ja) * 1989-07-04 1999-01-06 株式会社日立製作所 薄膜トランジスタとその製造方法ならびにそれを用いたマトリクス回路基板と画像表示装置
JPH06260428A (ja) 1993-03-05 1994-09-16 Mitsubishi Electric Corp プラズマcvd装置
US7166544B2 (en) 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
KR20070102764A (ko) * 2006-04-17 2007-10-22 주식회사 엘지화학 Pecvd 법에 기반한 다층 박막 구조의 제조방법
US7776516B2 (en) 2006-07-18 2010-08-17 Applied Materials, Inc. Graded ARC for high NA and immersion lithography
US7745268B2 (en) * 2007-06-01 2010-06-29 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device with irradiation of single crystal semiconductor layer in an inert atmosphere
US20110101442A1 (en) 2009-11-02 2011-05-05 Applied Materials, Inc. Multi-Layer Charge Trap Silicon Nitride/Oxynitride Layer Engineering with Interface Region Control
US20110272024A1 (en) 2010-04-13 2011-11-10 Applied Materials, Inc. MULTI-LAYER SiN FOR FUNCTIONAL AND OPTICAL GRADED ARC LAYERS ON CRYSTALLINE SOLAR CELLS
WO2011140355A2 (en) * 2010-05-07 2011-11-10 Applied Materials, Inc. Oxide nitride stack for backside reflector of solar cell
US8076250B1 (en) * 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
JP2012151187A (ja) * 2011-01-17 2012-08-09 Toshiba Corp 半導体記憶装置の製造方法
US8524589B2 (en) * 2011-01-26 2013-09-03 Applied Materials, Inc. Plasma treatment of silicon nitride and silicon oxynitride
JP2012174961A (ja) * 2011-02-23 2012-09-10 Toshiba Corp 半導体記憶装置の製造方法
US20130161629A1 (en) * 2011-12-27 2013-06-27 Applied Materials, Inc. Zero shrinkage smooth interface oxy-nitride and oxy-amorphous-silicon stacks for 3d memory vertical gate application
JP5808708B2 (ja) 2012-04-10 2015-11-10 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
US8895415B1 (en) * 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US9018064B2 (en) * 2013-07-10 2015-04-28 Varian Semiconductor Equipment Associates, Inc. Method of doping a polycrystalline transistor channel for vertical NAND devices
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9018103B2 (en) * 2013-09-26 2015-04-28 Lam Research Corporation High aspect ratio etch with combination mask
KR20160108555A (ko) 2014-01-21 2016-09-19 어플라이드 머티어리얼스, 인코포레이티드 임의의 기판 상의 막 두께의 측정

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8946023B2 (en) * 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
CN104046955A (zh) * 2013-03-14 2014-09-17 Asmip控股有限公司 用于在低温下沉积SiN的Si前体
CN104022121A (zh) * 2014-06-23 2014-09-03 中国科学院微电子研究所 三维半导体器件及其制造方法

Also Published As

Publication number Publication date
KR20160118961A (ko) 2016-10-12
US11365476B2 (en) 2022-06-21
US10246772B2 (en) 2019-04-02
US20160293609A1 (en) 2016-10-06
JP2016197719A (ja) 2016-11-24
JP6820153B2 (ja) 2021-01-27
JP7244552B2 (ja) 2023-03-22
JP2021077895A (ja) 2021-05-20
TW202130847A (zh) 2021-08-16
KR102456460B1 (ko) 2022-10-19
US20190185996A1 (en) 2019-06-20
CN106057636A (zh) 2016-10-26
TWI719015B (zh) 2021-02-21
TW201700766A (zh) 2017-01-01

Similar Documents

Publication Publication Date Title
TWI766525B (zh) 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積
US11676812B2 (en) Method for forming silicon nitride film selectively on top/bottom portions
US10790140B2 (en) High deposition rate and high quality nitride
TWI830751B (zh) 低溫高品質的介電膜及其形成方法
TWI766014B (zh) 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
US20180350596A1 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
US11784229B2 (en) Profile shaping for control gate recesses
US20230360906A1 (en) Silicon-and-carbon-containing materials with low dielectric constants
US20220020599A1 (en) Integration processes utilizing boron-doped silicon materials
US20230090426A1 (en) Germanium and silicon stacks for 3d nand
TW202412066A (zh) 低溫氧化矽間隙填充
JP2024503627A (ja) 3d nandのためのドープされた窒化ケイ素