TWI710015B - 基板處理方法 - Google Patents

基板處理方法 Download PDF

Info

Publication number
TWI710015B
TWI710015B TW106105832A TW106105832A TWI710015B TW I710015 B TWI710015 B TW I710015B TW 106105832 A TW106105832 A TW 106105832A TW 106105832 A TW106105832 A TW 106105832A TW I710015 B TWI710015 B TW I710015B
Authority
TW
Taiwan
Prior art keywords
oxide layer
layer
etching
gas
silicon oxide
Prior art date
Application number
TW106105832A
Other languages
English (en)
Other versions
TW201740448A (zh
Inventor
今井宗幸
戶田聰
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201740448A publication Critical patent/TW201740448A/zh
Application granted granted Critical
Publication of TWI710015B publication Critical patent/TWI710015B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • H01L21/76205Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO in a region being recessed from the surface, e.g. in a recess, groove, tub or trench region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Robotics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

在蝕刻膜質互相相異的第1SiO2層與第2SiO2層時,調整蝕刻後之彼此SiO2層的表面高度。
對於表面具有第1SiO2層與第2SiO2層的晶圓,使用包含NH3氣體與HF氣體之處理氣體並藉由COR處理來進行蝕刻(第1蝕刻工序)。接著,從NF3氣體與NH3氣體的混合氣體來得到活性基係以自由基為主的電漿,並藉由此自由基來進行蝕刻(第2蝕刻工序)。由於該等第1蝕刻工序及第2蝕刻工序會相對於第1SiO2層及第2SiO2層而蝕刻選擇性會互相相異,故可分別控制第1SiO2層及第2SiO2層的蝕刻速度,而調整蝕刻後之彼此矽氧化層的表面高度。

Description

基板處理方法
本發明係關於一種藉由處理氣體來蝕刻基板表面部之矽氧化層的技術。
由於半導體元件係朝多樣化、立體化進展,故元件構造會複雜化、微細化,即便在半導體製造工序中之各程序中,亦需要對應於各種新表面構造以及膜質。例如,在使用處理氣體之蝕刻工序中,作為相對於基板而損傷較小的方法,係已知有一種化學性氧化物去除處理(Chemical Oxide Removal:COR)。
此方法係適用於例如蝕刻為分離各電晶體之絕緣層的SiO2(矽氧化)層之情況。另外,在電路圖案成為三維構造化或複雜化時,便會有為蝕刻對象的SiO2層會於基板表面並列有膜質互相相異之SiO2層,而蝕刻該等的情況。所謂互相相異之膜質係例如製法有所差異之SiO2層,作為一範例係可舉有藉由CVD所形成之SiO2層,以及在氧化氛圍下氧化Si(矽)層的SiO2層。
然而,在對該等SiO2層進行COR處理時,由於處理氣體之蝕刻速度會互相相異,故隨著蝕刻進行便會使得彼此之表面高度無法一致。因此,在例如之後的工序中於SiO2層上面形成閘極電極而構成電晶體的情況,便會有對電氣特性造成不良影響之顧慮。
專利文獻1係記載有一種使用NF3(三氟化氮)氣體與He(氦)氣體的混合氣體來蝕刻氧化膜,而藉由此混合氣體所包含之F(氟)並以使用氫電漿的蝕刻來去除生成在氧化膜上面之雜質的方法。然而,專利文獻1係與本發明構成有所差異,故無法解決本發明之課題。
【先前技術文獻】 【專利文獻】
專利文獻1:日本特開2005-303247號公報(段落0032~0034等)
本發明係在此般情形下所構成者,其目的係提供一種在對於表面部並排形成有膜質互相相異的第1矽氧化層與第2矽氧化層的基板進行蝕刻處理時,可調整蝕刻後之彼此矽氧化層的表面高度之技術。
本發明之基板處理方法,係蝕刻基板的基板處理方法,該基板係於表面部並排形成有第1矽氧化層以及膜質與該第1矽氧化層相異的第2矽氧化層,包含有:
第1蝕刻工序,係不將含鹵素之氣體活性化而供給至該基板,並讓與矽氧化層反應而生成的反應生成物昇華;以及
第2蝕刻工序,係藉由將含鹵素之氣體活性化所得到的自由基,來對基板進行蝕刻。
在對於表面部並排形成有膜質互相相異的第1矽氧化層與第2矽氧化層的基板進行蝕刻處理時,實施相對於第1矽氧化層及第2矽氧化層而蝕刻選擇性相互相異的第1蝕刻工序與第2蝕刻工序。藉此,便可分別控制第1矽氧化層與第2矽氧化層的蝕刻速度,以調整蝕刻後之彼此矽氧化層的表面高度。
11‧‧‧矽基板
12‧‧‧突壁部
13‧‧‧第1矽氧化層(SiO2層)
14‧‧‧第2矽氧化層
2‧‧‧COR處理
6‧‧‧自由基處理
圖1係顯示為基板的晶圓之表面構造的部分立體圖。
圖2係說明本發明之基板處理方法一實施形態的工序圖。
圖3係顯示蝕刻量與時間的關係之特性圖。
圖4係顯示本發明之基板處理方法的作用之縱切側視圖。
圖5係顯示實施本發明之第1蝕刻工序的COR處理裝置一實施形態的縱切側視圖。
圖6係顯示實施本發明第2蝕刻工序的自由基處理裝置一實施形態的縱切側視圖。
圖7係顯示具備有COR處理裝置與自由基處理裝置的半導體製造裝置一實施形態的平面圖。
圖8係顯示自由基處理中之蝕刻量與基礎量的關係之特性圖。
圖9顯示晶圓表面之蝕刻後模樣的SEM影像。
就將本發明適用於三維構造之FET電晶體的製造工序之中途階段的實施形態來加以說明。首先,於圖1顯示FET之閘極部分的表面構造。圖中之11係矽基板,其表面部係隔有間隔且平行狀地配列有矽層之複數突壁部12。此圖1中之13係形成為披覆突壁部12表面之第1矽氧化層(第1SiO2層),14係被填埋於互相鄰接之突壁部12彼此之間的第2矽氧化層(第2SiO2層)。
第1SiO2層13係例如在氧化氛圍下加熱矽層而得到之熱氧化層,第2SiO2層14係藉由例如CVD(Chemical Vapor Deposition)法來讓原料氣體與氧化氣體反應而成膜之矽氧化層。因此,第1SiO2層13係具有相較於第2SiO2層14而密度要高且雜質較少的膜質,而使得互相的膜質有所差異。
接著,便參照圖2~圖4,就蝕刻處理來加以說明。在就進行蝕刻處理前之為基板的半導體晶圓(以下稱為「晶圓」)來加以闡述時,第1SiO2層會以披覆矽基板11之突壁部12表面的方式來形成,且於此第1SiO2層13上面以填埋互相鄰接之突壁部12彼此之間的方式來形成第2SiO2層14。接著,便進行化學性研磨處理(CMP:Chemical Mechanical Polishing),來研磨第1及第2SiO2層13、14表面,而如圖2(a)所示,使得突壁部12、第1SiO2層13及第2SiO2層14的上面高度一致。
然後,例如先對晶圓W實施第1蝕刻工序。此第1蝕刻工序係例如藉由COR處理,而不使用電漿來蝕刻第1及第2SiO2層13、14者。COR處理係藉由將包含鹵素之氣體,例如包含HF(氟化氫)氣體與NH3(氨氣)氣體的處理氣體供給至晶圓W,而讓與SiO2層反應所生成之反應生成物昇華來加以進行。 具體而言,係在後述COR處理裝置中,將收納有晶圓W之處理容器內成為接近真空狀態之低壓狀態,並在將晶圓W溫度加熱至例如25℃~150℃的狀態下,將包含HF氣體與NH3氣體之處理氣體供給至處理容器內。藉此,第1及第2SiO2層13、14便會與HF分子及NH3分子產生化學反應,而生成為反應生成物之(NH4)2SiF6(六氟矽酸銨)及H2O(水)等。由於此反應生成物會因晶圓W被加熱至昇華溫度以上的溫度,而被昇華去除,以進行第1及第2SiO2層13、14的蝕刻。
第1SiO2層13會較第2SiO2層14要緻密,且活化能較高。因此,在讓SiO2層與處理氣體反應的COR處理中,第2SiO2層14者會較第1SiO2層13要容易與NH3氣體及HF氣體反應,而使得蝕刻速度變大。如此般,在進行COR處理之第1蝕刻工序中,便是以第1SiO2層13之蝕刻速度會較第2SiO2層14的蝕刻速度要慢的條件來進行蝕刻。
從而,在實施此COR處理時,便如圖3(a)所示,第2SiO2層14的蝕刻量會較第1SiO2層13要多,而在進行COR處理既定時間時,便如圖2(b)所示,第1SiO2層13會成為從第2SiO2層14表面露出之狀態。另外,圖3(a)、(b)中,橫軸及縱軸係分別表示「時間」及「蝕刻量」。「蝕刻量」係從各第1及第2SiO2層13、14上面來蝕刻之深度。
如此一來,便在實施第1蝕刻工序後,實施第2實施工序。此工序係藉由將包含鹵素之氣體,例如NF3氣體與NH3氣體的混合氣體活性化所得到之自由基來進行蝕刻者。例如將混合氣體活性化所得到之電漿會藉由例如通過導電性之離子捕集構件來去除離子,而將活性基係以自由基為主的電漿供給至晶圓W。亦即,此工序係進行以自由基來進行SiO2層的蝕刻之自由基處理者,並會在例如後述自由基處理裝置中被實施。
在活性化NF3氣體與NH3氣體的混合氣體時,便推測會以下列(1)反應式及(2)反應式來生成包含F自由基、N自由基、NH3及NH4F之離子成分的電漿。
NF3+NH3+e→3F*+2N*+3H*...(1)
F*+N*+4H*→NH4F...(2)
然後,藉由讓電漿通過離子捕集構件,來從電漿去除離子成分,而藉由包含F自由基(F*)及N自由基(N*)之電漿來進行第1及第2SiO2層13、14之蝕 刻。SiO2層係藉由包含在電漿中主要之F自由基來被蝕刻,SiO2之蝕刻係推測為會依照下列(3)反應式與(4)反應式來進行。
NF3+3NH3→NH4F+N2...(3)
6NH4F+SiO2→(NH4)2SiF6+2H2O+4NH3...(4)
如此般,在自由基處理中,第1及第2SiO2層13、14亦會生成(NH4)2SiF6及H2O等的反應生成物。然後,藉由以自由基能量來讓該等反應生成物昇華而去除來進行蝕刻。在此自由基處理中,蝕刻速度係第1SiO2層13會較第2SiO2層14要大。這可推測是因為自由基具有超越第1SiO2層13之活化能量的能量,而讓第1SiO2層13的蝕刻快速進行之故。
如此一來,進行自由基處理之第2蝕刻工序便會在第2SiO2層14之蝕刻速度會較第1SiO2層13之蝕刻速度要慢的條件下來進行蝕刻。從而,在實施此自由基處理時,便如圖3(b)所示,第1SiO2層13之蝕刻量會較第2SiO2層14要多,而使得第1SiO2層13上面逐漸靠近於第2SiO2層14上面。
如上述記載般,第1蝕刻工序中,第2SiO2層14之蝕刻速度會較第1SiO2層13要大,第2蝕刻工序中,第1SiO2層13之蝕刻速度會較第2SiO2層14要大。因此,便可藉由設定蝕刻條件,來在結束第1蝕刻工序及第2蝕刻工序結束時,如圖2(c)所示般,使得第1SiO2層13及第2SiO2層14的上面高度一致。
具體而言,各第1蝕刻工序及第2蝕刻工序中,會藉由處理氣體的種類或流量、處理容器內壓力、晶圓溫度、蝕刻處理時間等,來改變第1SiO2層13及第2SiO2層14的蝕刻速度。因此,例如關於第1蝕刻工序及第2蝕刻工序便會預先對應於處理氣體的種類,來設定其流量及處理容器內壓力、晶圓溫度,而預先取得各第1SiO2層13及第2SiO2層14的蝕刻速度。然後,便會在結束第1蝕刻工序及第2蝕刻工序時,以讓第1SiO2層13及第2SiO2層14的上面高度一致的方式來分別調整第1蝕刻工序及第2蝕刻工序的各處理時間。
圖4(a)、圖4(b)、圖4(c)係分別顯示實施COR處理之前、結束COR處理時、結束自由基處理時之晶圓W表面構造者。利用COR處理之第1SiO2層13的蝕刻量為D1,第2SiO2層之蝕刻量為d1,利用自由基處理的第1SiO2層13的蝕刻量為D2,第2SiO2層14之蝕刻量為d2。蝕刻有此(D1+D2)量後的SiO2層厚度為目標厚度。
從而,便會在將SiO2膜蝕刻到成為此目標厚度為止時,以第1SiO2層13與第2SiO2層14的上面高度會一致的方式,亦即以成為(D1+D2)=(d1+d2)的方式來分別決定COR處理(第1蝕刻工序)與自由基處理(第2蝕刻工序)的處理時間。如此一來,便會在實施第1蝕刻工序及第2蝕刻工序後,例如形成閘極電極,以製造三維元件構造之FET的閘極部分。
接著,便就實施上述第1蝕刻工序及第2蝕刻工序的裝置來加以說明。首先,便參照圖5,就實施第1蝕刻工序之COR處理裝置2一實施形態來加以說明。圖5中,20係例如形成為圓筒形狀之為真空腔室的處理容器,21係頂板部,22係晶圓搬出入口,23係閘閥。處理容器20內部係設置有載置部3,晶圓W係被支撐在載置部3之支撐銷31上。此載置部3係內建有加熱部32,並藉由升降機構331來升降自如地設置有晶圓W收授用的上突銷33。332係波紋管。處理容器20底面之排氣口24係藉由介設有壓力調整閥251、開閉閥252之排氣管25來連接於為真空排氣機構的真空排氣泵26。
頂板部21之下面側中央區域係設置有凹部41,並以對向於阻塞此凹部41的載置部3的方式,來設置有縱橫地配列有氣體供給孔421的擴散板42。圖5中的43係例如等間隔地設置於周圍方向的複數扁平圓筒形狀之氣體擴散部,431係形成於氣體擴散部43側面的複數噴出口。44係形成於頂板部21之內部流道,45係用以分散氣體之分散室,且會連接於各內部流道44。46係突出構造體,其內部係形成有上端側會分歧為2個且下端側會朝分散室開口之外部流道47。
外部流道47一邊的分歧會從下游側透過具備有閥V1、閥V3、流量調整部511的NH3氣體供給路徑51來連接有NH3氣體供給源52,另邊的分歧會從下游側透過具備有閥V2、閥V4、流量調整部531的HF氣體供給路徑53來連接有HF氣體供給源54。在NH3氣體供給路徑51中之閥V1與閥V3之間會藉由具備有流量調整部551及閥V5的供給路徑55來連接於為載體氣體(稀釋氣體)之N2(氮)氣體供給源56。在HF氣體供給路徑53中之閥V2與閥V4之間會藉由具備有流量調整部571及閥V6的供給路徑57來連接於為載體氣體之Ar(氬)氣體供給源58。
此COR處理裝置2中會藉由例如未圖示之外部搬送臂與上突銷33的連 動作用來將晶圓W載置於載置部3上,而藉由加熱部32來加熱至例如25℃~150℃。然後,例如供給N2氣體及Ar氣體,但該等N2氣體及Ar氣體卻會在外部流道47匯流,如此一來所混合之氣體便會從分散室45分散至內部流道44,而從氣體擴散部43之噴出口431來放射狀地噴出至凹部41內。在凹部41內擴散之氣體會從擴散板42之各氣體供給孔421來噴出至處理容器20內。
接著,便供給NH3氣體及HF氣體。該等NH3氣體與HF氣體會在外部流道47混合而成為處理氣體,並與N2氣體及Ar氣體一同地透過凹部41來從氣體供給孔421噴出至處理容器20內。如此一來,便會將包含NH3氣體及HF氣體之處理氣體供給至晶圓W,而讓晶圓W上之第1及第2SiO2層13、14與處理氣體反應,以生成反應生成物。此反應生成物會因晶圓W被加熱至昇華溫度以上,而快速地昇華並與處理氣體一同地透過排氣口24來從處理容器20排出而被加以去除。
如此一來,在進行COR處理既定時間後,便例如停止NH3氣體及HF氣體之供給,接著,例如在經過既定時間後停止N2氣體及Ar氣體之供給,而將晶圓W從處理容器20搬出。接著,晶圓W便會被搬送至例如加熱模組,而被加熱至反應生成物之昇華溫度以上的溫度,進一步地進行反應生成物之昇華。
接著,便參照圖6,就實施第2蝕刻工序之自由基處理裝置6一實施形態來加以說明。圖6中,60係例如為真空腔室之處理容器,61係例如由石英所構成之穿透窗,62係晶圓搬出入口,63係閘閥。處理容器60內部係設置有載置晶圓W之載置部7,例如載置部7係形成有溫控流道71,且會構成為將藉由後述電漿所加熱之晶圓W溫度調整至例如10℃~120℃。另外,晶圓W收授用之上突銷以及上突銷之升降機構係省略圖示。處理容器60底面之排氣口72會藉由介設有壓力調整閥731、開閉閥732的排氣管73來連接於為真空排氣機構之真空排氣泵74。
穿透窗61上方側係設置有構成電漿產生部之ICP天線部64。例如ICP天線部64會由將導電性構件平面性地捲繞為漩渦狀而構成之漩渦天線所構成,其一端部641會透過匹配電路65來連接於高頻電源部66,其另端部642係接地。穿透窗61下方側係以對向於穿透窗61並在與穿透窗61之間形成電 漿生成室68的方式來設置有導電性離子捕集板67,此離子捕集板67係形成有複數氣體通過用開口部671。
例如處理容器60之側壁部601係設置有將氣體供給至電漿生成室68的氣體供給管75。此氣體供給管75會例如透過閥V11、流量調整部761來連接於NF3氣體供給源76,透過閥V12、流量調整部771來連接於NH3氣體供給源77,透過閥V13、流量調整部781來連接於為添加氣體之例如Ar氣體供給源78。
此自由基處理裝置6中,係透過例如未圖示之外部搬送臂與上突銷的連動作用來將晶圓W載置於載置部7上,而藉由讓溫控流體流通於溫控流道71來溫度調整為例如10℃~120℃。又,將處理容器60內之壓力調整為例如13.3Pa~399Pa(100mTorr~3000mTorr),並從高頻電源部66來將例如100W~1200W的高頻電力供給至ICP天線部641。然後,在氣體供給管75的途中混合NF3氣體、NH3氣體及Ar氣體而供給至電漿生成室68。NF3氣體及NH3氣體的流量分別為例如50sccm~2000sccm,Ar氣體的流量為例如50sccm~2000sccm。
在電漿生成室68中,所供給之氣體會藉由生成於穿透窗61下方側的ICP電漿來被活性化,此電漿會透過離子捕集板67之開口部671而朝向下方側的載置部7側,但在通過導電性之離子捕集板67時,電漿中之離子成分會被捕集而被去除。藉此,處理容器60內之晶圓W便會藉由活性基係以自由基為主的電漿來進行蝕刻。晶圓W上之第1及第2SiO2層13、14主要的會與F自由基反應而生成反應生成物,但此反應生成物卻會因自由基能量而昇華,並透過排氣口72來被排出而被去除。如此一來,在進行自由基處理既定時間,例如10秒~180秒後,便例如停止來自高頻電源部66之高頻電力的供給以及NF3氣體、NH3氣體及Ar氣體的供給,而將晶圓W從處理容器60搬出。
接著,便參照圖7,就具備有COR處理裝置2及自由基處理裝置6的半導體製造裝置8來簡單地說明。此半導體製造裝置8係具備有:進行晶圓W之裝載、卸載的大氣搬送室81;構成為切換大氣氛圍與真空氛圍的裝載室82、83;以及真空搬送室84。真空搬送室84係分別氣密地連接有上述COR處理裝置2以及自由基處理裝置6、加熱模組等的基板處理裝置85,大氣搬送室 81及真空搬送室84係分別設置有第1搬送機構86及第2搬送機構87。圖中之C係收納晶圓W之載具,GT、G係閘門,例如載具C內係收納有具備例如圖2(a)所示之表面構造的晶圓W。
此半導體製造裝置8係設置有例如由電腦所構成之控制部100。此控制部100係具備有由程式、記憶體、CPU所構成之資料處理部等,程式係從控制部100來將控制訊號傳送至半導體製造裝置8的各部,並以進行例如實行COR處理或自由基處理的各步驟之方式來裝設有指令(各步驟)。此程式係被儲存於電腦記憶媒體,例如軟碟、光碟、硬碟、MO(磁光碟)等的記憶部而被安裝於控制部100。
在此般半導體製造裝置8中,係透過大氣搬送室81並藉由第1搬送機構86來將載具C內之晶圓W搬送至大氣氛圍的例如裝載室82,接著,將裝載室82切換為真空氛圍。之後,藉由第2搬送機構87來將晶圓W搬送至COR處理裝置2,而實行上述COR處理(第1蝕刻工序)。接著,藉由第2搬送機構87來將晶圓W搬送至例如加熱模組85,以加熱晶圓W並進一步地讓反應生成物昇華後,將晶圓W藉由第2搬送機構87來搬送至自由基處理裝置6,而進行上述自由基處理(第2蝕刻工序)。如此一來,將蝕刻第1及第2SiO2層13、14後之晶圓W藉由第2搬送機構87來搬送至真空氛圍之例如裝載室83,接著,在將裝載室83切換為大氣氛圍後,將晶圓W藉由第1搬送機構86來移回至例如原載具C。
本發明係藉由觀察到在對並排形成有膜質會互相相異的第1SiO2層13及第2SiO2層14的晶圓W進行蝕刻處理時,於COR處理與自由基處理中,第1SiO2層13第SiO2層14的蝕刻選擇性會相反來完成者。
因此,上述實施形態中,係進行第2SiO2層14的蝕刻速度會較第1SiO2層13的蝕刻速度要大之COR處理(第1蝕刻工序),以及第1SiO2層13的蝕刻速度會較第2SiO2層14的蝕刻速度要大之自由基處理(第2蝕刻工序)。藉此,便可控制第1SiO2層13及第2SiO2層14的蝕刻速度,以調整蝕刻後之彼此SiO2層的表面高度。
從而,藉由調整各第1蝕刻工序及第2蝕刻工序的處理時間,便可在結束第1蝕刻工序及第2蝕刻工序時,以第1SiO2層13及第2SiO2層14的各上面高 度一致的方式,來調整蝕刻後之彼此SiO2層的表面高度。藉此,便可在第1SiO2層13為披覆突壁部12的熱氧化膜,第2SiO2層為藉由被填埋於突壁部12彼此之間的CVD來成膜之SiO2膜的情況,於後續工序中層積閘極氧化膜而形成閘極電極時,確保既定的電氣特性。又,由於可讓突壁部12左右的閘極長度成為一致,故可抑制電晶體之電氣特性產生不均勻。
由上述,亦可在第1蝕刻工序中,使用乙醇(C2H5OH)的蒸氣或水蒸氣(H2O)等來取代作為處理氣體而使用之NH3氣體。然後,第1蝕刻工序係可使用包含有含氮、氫、氟之化合物的處理氣體,例如氟化銨(NH4F)氣體,來取代包含上述HF氣體與NH3氣體之處理氣體,而進行蝕刻,在此情況,此氣體仍可與SiO2膜反應而生成(NH4)2SiF6。又,亦可將包含HF氣體與NH3氣體之處理氣體與包含氮、氫、氟之化合物的處理氣體兩者供給至晶圓W來加以進行。
在此所舉之處理氣體雖為包含以氟為鹵素之氣體的範例,但亦可使用包含溴(Br)之氣體來取代氟。具體而言,係可舉有使用HBr氣體來取代HF氣體之範例,以及使用NH4Br氣體來取代NH4F氣體的範例等。
進一步地,第1蝕刻工序係可在COR處理裝置中,於常溫下讓處理氣體與SiO2層反應後,將晶圓W搬送至加熱腔室,而進行反應生成物之昇華,亦可在COR處理裝置中,以某種程度之高溫(反應生成物會昇華之溫度)讓處理氣體與SiO2層反應,而進行反應生成物之生成與昇華。進一步地,亦可在COR處理裝置中,以某種程度之高溫來讓處理氣體與SiO2層反應而生成反應生成物且昇華,進一步地將晶圓W搬送至加熱腔室以進行加熱處理,來進一步地進行反應生成物之昇華。
更進一步地,第2蝕刻工序除了NF3氣體與NH3氣體的混合氣體之外,亦可使用HF氣體與NH3氣體的混合氣體或ClF3氣體與NH3氣體的混合氣體來加以進行。在此情況,仍可得到活性基係以F自由基為主的電漿,而藉由該電漿來依照例如下述(5)反應式、(6)反應式對晶圓W進行蝕刻。
SiO2+4HF→SiF4+2H2O...(5)
SiF4+2NH3+2HF→(NH4)2SiF6...(6)
混合NF3氣體或HF氣體的氣體並不限於NH3氣體,亦可為乙醇的蒸氣或 水蒸氣等。
又,上述實施形態中,雖在第1蝕刻工序後實施第2蝕刻工序,但亦可先實施第2蝕刻工序,再接著實施第1蝕刻工序。
進一步地,本發明可在相同裝置中實施為第1蝕刻工序之COR處理及為第2蝕刻工序之自由基處理。例如在上述圖6所示之自由基處理裝置的載置部7設置加熱機構,並於電漿生成室68或離子捕集板67下方側設置例如用以供給HF氣體與NH3氣體的氣體供給管。然後,藉由例如加熱載置部7,並對此載置部7所載置之晶圓W供給HF氣體與NH3氣體,以與SiO2層反應來生成反應生成物,並讓此反應生成物昇華來實施第1蝕刻工序。之後,接續第1蝕刻工序,並以上述方法來實施第2蝕刻工序。
[實施例]
就為了檢證本發明實施形態之效果而進行實施例來加以記載。
(實施例1)
使用對圖2(a)所示之表面構造的晶圓實施COR處理(第1蝕刻工序)者,並在圖6所示之自由基處理裝置中,使用NF3氣體與NH3氣體之混合氣體來實施自由基處理(第2蝕刻工序)。此時之蝕刻條件係晶圓W溫度:25℃~150℃,NF3氣體流量:50sccm~500sccm,NH3氣體流量:100sccm~1000sccm,Ar氣體流量:50sccm~500sccm,處理容器內壓力:30Pa~200Pa,高頻電力:100W~1200W。
進行此自由基處理既定時間,並在既定時間點藉由SEM(掃描式電子顯微鏡)來觀察晶圓W表面構造。於圖8顯示自由基處理中之蝕刻量(蝕刻深度)與基礎量的關係,於圖9顯示SEM影像。所謂基礎量係表示蝕刻後之形狀的指標,在為負值時便會成為向下凸之曲面形狀,為正值時則會成為向上凸之曲面形狀。SEM影像在圖9(a)表示進行自由基處理前的狀態,在圖9(b)表示蝕刻量為10.2nm(自由基處理時間為7秒)的狀態,圖9(c)係表示蝕刻量為13.6nm(自由基處理時間為12秒)的狀態。
其結果,便確認到在蝕刻量為0時,亦即實施自由基處理前,基礎量為負3,且從SEM影像來觀察蝕刻形狀亦會成為向下凸之曲面狀。此向下凸之曲面狀係因為於第1SiO2層13的表面高度會較第2SiO2層14的表面高度要 大,而使得從突壁部12所分離之區域的表面高度會較突壁部12附近要小之故而加以形成。從而,確認到藉由COR處理,便會使得第2SiO2層14之蝕刻量會較第1SiO2層13要多。然後,從SEM影像來觀察,便確認到隨著進行自由基處理,則蝕刻形狀會被平坦化,且第1SiO2層13及第2SiO2層14的蝕刻會進行。蝕刻形狀之平坦化係表示第1SiO2層13之表面高度會接近於第2SiO2層14的表面高度,確認到藉由自由基處理,便會使得第1SiO2層13之蝕刻量會較第2SiO2層14要多。
確認到雖然在蝕刻量為13.6nm時,蝕刻形狀會變得相當平坦,但進一步地進行自由基處理時,便會成為向上凸之曲面狀的蝕刻形狀。所謂向上凸之曲面狀係代表著第1SiO2層13之蝕刻過度進行,而使得第1SiO2層13的表面高度會較第2SiO2層14的表面高度要小,且從突壁部12分離之區域的表面高度變大。
由上述,確認到藉由結合COR處理與自由基處理,便可控制第1SiO2層13及第2SiO2層14的蝕刻速度,而調整SiO2的表面高度。又,確認到藉由調整COR處理與自由基處理的各處理時間,便可以第1SiO2層13及第2SiO2層14的上面高度會一致的方式來調整彼此SiO2層的表面高度。
11‧‧‧矽基板
12‧‧‧突壁部
13‧‧‧第1矽氧化層(SiO2層)
14‧‧‧第2矽氧化層

Claims (9)

  1. 一種基板處理方法,係蝕刻基板的基板處理方法,該基板係於表面部並排形成有第1矽氧化層以及膜質與該第1矽氧化層相異的第2矽氧化層,包含有:第1蝕刻工序,係不將含鹵素之氣體活性化而供給至該基板,並讓與矽氧化層反應而生成的反應生成物昇華;以及第2蝕刻工序,係藉由將含鹵素之氣體活性化所得到的自由基,來對基板進行蝕刻;在該第1蝕刻工序中,係以該第1矽氧化層之蝕刻速度會較該第2矽氧化層的蝕刻速度要慢的條件來進行蝕刻,在該第2蝕刻工序中,係以第2矽氧化層之蝕刻速度會較第1矽氧化層的蝕刻速度要慢的條件來進行蝕刻;在結束該第1蝕刻工序及第2蝕刻工序時,以該第1氧化層及該第2矽氧化層的各上面高度會一致的方式來設定各該第1蝕刻工序及第2蝕刻工序的處理時間。
  2. 如申請專利範圍第1項之基板處理方法,其中包含該自由基之電漿係在通過形成有複數氣體通過用之開口部的離子捕集構件後,被供給至該基板。
  3. 如申請專利範圍第1項之基板處理方法,其中該第1矽氧化層係在氧化氛圍下加熱矽層而得到的熱氧化層。
  4. 如申請專利範圍第2項之基板處理方法,其中該第1矽氧化層係在氧化氛圍下加熱矽層而得到的熱氧化層。
  5. 如申請專利範圍第1至4項中任一項之基板處理方法,其中該第2矽氧化層係讓原料氣體與氧化氣體反應而成膜之矽氧化層。
  6. 如申請專利範圍第1至4項中任一項之基板處理方法,其係將矽層之複數突壁部隔有間隔且平行狀地配列於基板表面;該第1矽氧化層係在氧化氛圍下加熱矽層而得到的熱氧化層,且為披覆該突壁部表面的披覆層;該第2矽氧化層係讓原料氣體與氧化氣體反應而成膜之矽氧化層,且會被填埋於互相鄰接之該突壁部彼此之間。
  7. 如申請專利範圍第5項之基板處理方法,其係將矽層之複數突壁部隔有間隔且平行狀地配列於基板表面;該第1矽氧化層係在氧化氛圍下加熱矽層而得到的熱氧化層,且為披覆該突壁部表面的披覆層;該第2矽氧化層係讓原料氣體與氧化氣體反應而成膜之矽氧化層,且會被填埋於互相鄰接之該突壁部彼此之間。
  8. 如申請專利範圍第5項之基板處理方法,其係在結束該第1蝕刻工序及第2蝕刻工序時,以該第1氧化層及該第2矽氧化層的各上面高度會一致的方式來設定各該第1蝕刻工序及第2蝕刻工序的處理時間。
  9. 如申請專利範圍第6項之基板處理方法,其係在結束該第1蝕刻工序及第2蝕刻工序時,以該第1氧化層及該第2矽氧化層的各上面高度會一致的方式來設定各該第1蝕刻工序及第2蝕刻工序的處理時間。
TW106105832A 2016-02-24 2017-02-22 基板處理方法 TWI710015B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016033294A JP2017152531A (ja) 2016-02-24 2016-02-24 基板処理方法
JP2016-033294 2016-02-24

Publications (2)

Publication Number Publication Date
TW201740448A TW201740448A (zh) 2017-11-16
TWI710015B true TWI710015B (zh) 2020-11-11

Family

ID=59631181

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106105832A TWI710015B (zh) 2016-02-24 2017-02-22 基板處理方法

Country Status (4)

Country Link
US (1) US10256107B2 (zh)
JP (1) JP2017152531A (zh)
KR (1) KR101946144B1 (zh)
TW (1) TWI710015B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6692202B2 (ja) * 2016-04-08 2020-05-13 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
CN107464749B (zh) * 2017-07-28 2021-09-17 北京北方华创微电子装备有限公司 蚀刻方法和蚀刻***
US11114443B2 (en) * 2019-08-29 2021-09-07 Micron Technology, Inc. Semiconductor structure formation
CN110993499B (zh) 2019-11-05 2022-08-16 北京北方华创微电子装备有限公司 一种刻蚀方法、空气隙型介电层及动态随机存取存储器
DE102019218727A1 (de) * 2019-12-03 2021-06-10 Robert Bosch Gmbh Vorrichtung und verfahren zum bearbeiten mindestens eines halbleiter-substrates
JP2021180281A (ja) * 2020-05-15 2021-11-18 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US11699620B2 (en) * 2020-05-28 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench isolation structures having uniform step heights
TWI834038B (zh) * 2020-05-28 2024-03-01 台灣積體電路製造股份有限公司 具有均勻階梯高度之淺溝槽隔離結構

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04206719A (ja) * 1990-11-30 1992-07-28 Tokyo Electron Ltd 基板処理装置および基板処理方法
JP4206719B2 (ja) 2002-09-27 2009-01-14 セイコーエプソン株式会社 画像形成装置および画像形成方法
US20100190345A1 (en) * 2009-01-26 2010-07-29 Neng-Kuo Chen Selective Etch-Back Process for Semiconductor Devices

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4507588A (en) * 1983-02-28 1985-03-26 Board Of Trustees Operating Michigan State University Ion generating apparatus and method for the use thereof
DE69024719T2 (de) * 1989-08-14 1996-10-02 Applied Materials Inc Gasverteilungssystem und Verfahren zur Benutzung dieses Systems
US5731241A (en) * 1997-05-15 1998-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned sacrificial oxide for shallow trench isolation
JPH11307512A (ja) * 1998-04-23 1999-11-05 Sony Corp エッチング方法
EP1099244B1 (en) * 1998-07-23 2007-01-24 Surface Technology Systems Plc Method for anisotropic etching
US6541351B1 (en) * 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
KR100554828B1 (ko) 2004-04-08 2006-02-22 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
KR100552588B1 (ko) * 2004-10-26 2006-02-15 삼성전자주식회사 반도체 장치의 제조 방법
JP4290177B2 (ja) 2005-06-08 2009-07-01 キヤノン株式会社 モールド、アライメント方法、パターン形成装置、パターン転写装置、及びチップの製造方法
US8652970B2 (en) * 2009-03-26 2014-02-18 Ulvac, Inc. Vacuum processing method and vacuum processing apparatus
US8927390B2 (en) * 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
CN104350584B (zh) * 2012-05-23 2017-04-19 东京毅力科创株式会社 基板处理装置及基板处理方法
US9034770B2 (en) * 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
KR102168172B1 (ko) * 2014-05-23 2020-10-20 삼성전자주식회사 반도체 소자의 제조 방법
JP2016025195A (ja) * 2014-07-18 2016-02-08 東京エレクトロン株式会社 エッチング方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04206719A (ja) * 1990-11-30 1992-07-28 Tokyo Electron Ltd 基板処理装置および基板処理方法
JP4206719B2 (ja) 2002-09-27 2009-01-14 セイコーエプソン株式会社 画像形成装置および画像形成方法
US20100190345A1 (en) * 2009-01-26 2010-07-29 Neng-Kuo Chen Selective Etch-Back Process for Semiconductor Devices

Also Published As

Publication number Publication date
JP2017152531A (ja) 2017-08-31
TW201740448A (zh) 2017-11-16
US20170243753A1 (en) 2017-08-24
KR20170099778A (ko) 2017-09-01
KR101946144B1 (ko) 2019-02-08
US10256107B2 (en) 2019-04-09

Similar Documents

Publication Publication Date Title
TWI710015B (zh) 基板處理方法
CN107104036B (zh) 用于在沟槽侧壁或平整表面上选择性形成氮化硅膜的方法
TWI392012B (zh) 可變更頂部輪廓之整合順序
KR101165970B1 (ko) 기판의 에칭 방법 및 시스템
US10176980B2 (en) Selective deposition of silicon oxide films
US20100330805A1 (en) Methods for forming high aspect ratio features on a substrate
US8232207B2 (en) Substrate processing method
TW202205394A (zh) 處理裝置及處理系統
JP7176106B2 (ja) 誘電体材料の堆積方法
TWI702647B (zh) 基板處理方法
TWI405260B (zh) A plasma etching treatment method and a plasma etching processing apparatus
JP7374308B2 (ja) 誘電体材料を堆積する方法及び装置
WO2020079903A1 (ja) 窒化膜の成膜方法および半導体装置の製造方法
KR20200013606A (ko) 에칭 방법 및 에칭 장치
KR102229993B1 (ko) 에칭 방법 및 에칭 장치
TWI716818B (zh) 形成氣隙的系統及方法
US20140094036A1 (en) Directional sio2 etch using low-temperature etchant deposition and plasma post-treatment
US8994089B2 (en) Interlayer polysilicon dielectric cap and method of forming thereof
US10170336B1 (en) Methods for anisotropic control of selective silicon removal
CN108447774B (zh) 同时去除热氧化膜和去除沉积氧化膜的方法及设备
US20130095665A1 (en) Systems and methods for processing substrates
KR102663075B1 (ko) 성막 방법 및 성막 장치
US20230073989A1 (en) Method of processing substrate having silicon nitride layer
WO2024090208A1 (ja) 基板処理方法及び基板処理システム
TW202422701A (zh) 高深寬比接點(harc)蝕刻