TWI834038B - 具有均勻階梯高度之淺溝槽隔離結構 - Google Patents

具有均勻階梯高度之淺溝槽隔離結構 Download PDF

Info

Publication number
TWI834038B
TWI834038B TW110118809A TW110118809A TWI834038B TW I834038 B TWI834038 B TW I834038B TW 110118809 A TW110118809 A TW 110118809A TW 110118809 A TW110118809 A TW 110118809A TW I834038 B TWI834038 B TW I834038B
Authority
TW
Taiwan
Prior art keywords
sti material
sti
etch
etching
fin
Prior art date
Application number
TW110118809A
Other languages
English (en)
Other versions
TW202203370A (zh
Inventor
陳奕升
李宜靜
李政衡
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/225,249 external-priority patent/US11699620B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202203370A publication Critical patent/TW202203370A/zh
Application granted granted Critical
Publication of TWI834038B publication Critical patent/TWI834038B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明實施例係關於具有均勻階梯高度之淺溝槽隔離結構。本揭露闡述一種方法,該方法包含形成自一基板突出之一鰭狀物,該鰭狀物包含一第一側壁及形成為與該第一側壁對置之一第二側壁。該方法亦包含在該基板上沉積一淺溝槽隔離(STI)材料。沉積該STI材料包含:沉積該STI材料之與該第一側壁接觸之一第一部分及沉積該STI材料之與該第二側壁接觸之一第二部分。該方法亦包含對該STI材料執行一第一蝕刻製程從而以一第一蝕刻速率蝕刻該STI材料之該第一部分且以大於該第一蝕刻速率之一第二蝕刻速率蝕刻該STI材料之該第二部分。該方法亦包含對該STI材料執行一第二蝕刻製程從而以一第三蝕刻速率蝕刻該STI材料之該第一部分且以小於該第三蝕刻速率之一第四蝕刻速率蝕刻該STI材料之該第二部分。

Description

具有均勻階梯高度之淺溝槽隔離結構
本發明實施例係有關具有均勻階梯高度之淺溝槽隔離結構。
隨著半導體技術之進展,對更高儲存容量、更快處理系統、更高效能及更低成本之需求不斷增長。為滿足此等需求,半導體工業持續按比例縮小半導體裝置之尺寸。已開發鰭型場效應電晶體(finFET)來減小裝置佔用面積並改良裝置效能。FinFET係形成於一鰭狀物(fin)上方之FET,該鰭狀物相對於一晶圓之一平坦表面垂直地定向。
根據本發明的一實施例,一種方法包括:形成自一基板突出之一鰭狀物,該鰭狀物包括一第一側壁及形成為與該第一側壁對置之一第二側壁;在該基板上沉積一淺溝槽隔離(STI)材料,包括:沉積該STI材料之與該第一側壁接觸之一第一部分;及沉積該STI材料之與該第二側壁接觸之一第二部分;對該STI材料執行一第一蝕刻製程從而以一第一蝕刻速率蝕刻該STI材料之該第一部分且以大於該第一蝕刻速率之一第二蝕刻速率蝕刻該STI材料之該第二部分;以及對該STI材料執行一第二蝕刻製程從而以一第三蝕刻速率蝕刻該STI材料之該第一部分且以小於該第三蝕刻速率之一第四蝕刻速率蝕刻該STI材料之該第二部分。
根據本發明的另一實施例,一種方法包括:形成自一基板突出之複數個鰭狀物,該複數個鰭狀物包括第一最外鰭狀物及第二最外鰭狀物,其中:該第一最外鰭狀物包括一第一內側壁及形成為與該第一內側壁對置之一第一外側壁;且該第二最外鰭狀物包括:一第二內側壁,其與該第一內側壁相對;及一第二外側壁,其形成為與該第二內側壁對置;在該基板上沉積一淺溝槽隔離(STI)材料,包括:在該第一內側壁與該第二內側壁之間沉積該STI材料之一第一部分;及沉積該STI材料之與該第一外側壁及該第二外側壁接觸之一第二部分;對該STI材料執行一第一蝕刻製程從而以一第一蝕刻速率蝕刻該STI材料之該第一部分且以大於該第一蝕刻速率之一第二蝕刻速率蝕刻該STI材料之該第二部分;以及對該STI材料執行一第二蝕刻製程從而以一第三蝕刻速率蝕刻該STI材料之該第一部分且以小於該第三蝕刻速率之一第四蝕刻速率蝕刻該STI材料之該第二部分。
根據本發明的又一實施例,一種半導體結構包括:複數個鰭狀物,其自一基板突出且包括第一最外鰭狀物及第二最外鰭狀物,其中:該第一最外鰭狀物包括彼此對置之第一側壁及第二側壁;該第二最外鰭狀物包括彼此對置之第三側壁及第四側壁,其中該第二側壁與該第三側壁面向彼此;且該第一最外鰭狀物及該第二最外鰭狀物之頂部表面在一水平平面上對準;以及一淺溝槽隔離(STI)材料,其位於該基板上,包括:一第一部分,其與該第一側壁接觸且包括一第一頂部表面,其中自該第一頂部表面至該水平平面量測一第一高度;及一第二部分,其介於該第一最外鰭狀物與該第二最外鰭狀物之間且包括一第二頂部表面,其中自該第二頂部表面至該水平平面量測一第二高度且該第二高度大於該第一高度。
以下揭露提供用於實施所提供標的物之不同構件之不同實施例或實例。下文闡述組件及配置之特定實例以簡化本揭露。當然,此等僅係實例且不意在產生限制。舉例而言,在以下說明中第一構件在第二構件上方形成可包含其中第一構件與第二構件直接接觸地形成之實施例且亦可包含其中額外構件放置於第一構件與第二構件之間使得第一構件與第二構件不直接接觸之實施例。另外,本揭露可在各種實例中重複元件符號及/或字母。此重複本質上並不指示所論述之各種實施例及/或組態之間的一關係。
可出於容易說明目的而使用空間相對術語(諸如「下面」、「下方」、「下部」、「上方」、「上部」及諸如此類)來闡述一個元件或構件與另一元件或構件之關係,如圖中所圖解說明。該等空間相對術語意欲囊括在使用或步驟中之裝置之除圖中所繪示定向之外的不同定向。設備可以其他方式定向(旋轉90度或以其他定向)且可因此同樣地理解本文中所使用之空間相對描述語。
如本文中所使用之術語「標稱」係指在一產品或一製程之設計階段期間設定的一組件或一製程步驟之一特性或參數之一所要或目標值,連同高於及/或低於該所要值之一值範圍。該值範圍通常係由於製造製程或公差之微小變化。
在一些實施例中,術語「約」及「實質上」可指示一給定數量之一值,其在該值之5%(例如,該值之±1%、±2%、±3%、±4%、±5%)內變化。當然,此等值僅係實例且並非意欲係限制性的。術語「約」及「實質上」可係指值之一百分比,如由熟習相關技術者鑒於本文中之教示所解釋。
本揭露提供用於形成finFET裝置之方法。術語「finFET」係指形成於一鰭狀物上方之一FET,該鰭狀物相對於一晶圓之一平坦表面垂直地定向。如本文中所使用,術語「垂直」係指標稱地垂直於一基板之表面。該等方法亦可適用於形成任何適合半導體結構,諸如水平或垂直環繞式閘極FET (GAAFET)。
儘管實施各種增強技術(諸如用於經增強靜電控制之新穎裝置架構、藉由應變通道之運輸增強、經改良摻雜物活化以及寄生電阻減小),但基於矽之電晶體之效能及可擴展性已達到基本極限。隨著裝置尺寸按比例縮小以達成較高堆積密度,縮小基於矽之電晶體已成為一挑戰。已開發finFET來減小裝置佔用面積並改良裝置效能。FinFET之鰭狀物可藉由一或多個光微影製程(包含雙圖案化或多圖案化製程)而形成於一半導體基板上。在形成鰭狀物之後,可在基板上沉積環繞鰭狀物之一層淺溝槽隔離(STI)材料,從而在毗鄰鰭狀物之間提供電氣隔離。FET之源極/汲極區域及通道區域可形成於鰭狀物之自STI材料之一頂部表面突出之部分上。然而,隨著裝置尺寸按比例縮小,STI材料之高度可跨越晶圓而變化且導致鰭狀物高度不均勻性。舉例而言,由於負載效應,因此鰭狀物之間的STI材料之階梯高度可大於環繞一組鰭狀物之一最外鰭狀物形成之STI材料之階梯高度。
本揭露中之各種實施例闡述用於形成具有實質上均勻STI階梯高度之半導體裝置之方法。可在一基板上沉積環繞多個鰭狀物之一STI材料,後續接著一回蝕製程以暴露鰭狀物之部分。使用本文中所揭示之方法形成之半導體裝置可跨越多個鰭狀物具有實質上均勻STI階梯高度。舉例而言,一內STI階梯高度(例如,毗鄰鰭狀物之間的STI階梯高度)可實質上等於一外STI階梯高度(例如,最外鰭狀物之STI階梯高度)。可使用多個蝕刻製程來達成實質上均勻STI階梯高度。舉例而言,回蝕製程可包含取決於目標STI材料之位置而以不同速率移除STI材料之蝕刻製程。舉例而言,一熱蝕刻製程可以比形成於一對毗鄰鰭狀物之間的STI材料之蝕刻速率大的一蝕刻速率來蝕刻毗鄰於最外鰭狀物形成之STI材料。一電漿活化之蝕刻製程可以比移除毗鄰於最外鰭狀物之STI材料之速率大的一速率自毗鄰鰭狀物之間移除STI材料。可將經蝕刻STI材料暴露於一自由基處理製程,在此期間可將經蝕刻STI材料之表面暴露於氫自由基。自由基處理製程可調整STI材料之表面輪廓以及進一步蝕刻STI材料。除其他之外,多個蝕刻與處理製程之一組合可提供遍及多個鰭狀物之實質上均勻STI階梯高度之益處。舉例而言,內STI階梯高度可實質上類似於外STI階梯高度。在一些實施例中,可基於裝置需要而使用蝕刻及處理製程來調諧STI階梯高度以達成不同階梯高度。舉例而言,內STI階梯高度可大於外階梯高度。
圖1係根據一些實施例之用於形成具有實質上均勻階梯高度之STI材料之一方法100的一流程圖。出於說明性目的,將參考在圖2至圖6中所圖解說明之實例性製作製程來闡述圖1中所圖解說明之步驟。取決於特定應用,可以一不同次序執行或不執行步驟。應注意,方法100可不產生一完整半導體裝置。因此,應理解,可在方法100之前、期間及之後提供額外製程,且僅可在本文中簡略地闡述某些其他製程。
參考圖1,根據一些實施例,在步驟110中,在一基板上形成鰭狀物結構。如圖2中所展示,多個鰭狀物204可在一基板202上形成且自基板202之一頂部表面突出。在一些實施例中,基板202可為一晶圓且使用適合材料(諸如一元素半導體、一化合物半導體、一合金半導體及任何適合材料)來形成。在一些實施例中,基板202包含一結晶矽基板(例如,晶圓)。在一些實施例中,基板202包含(i)一元素半導體,諸如鍺;(ii)一化合物半導體包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;(iii)一合金半導體,包含碳化矽鍺、矽鍺、磷化鎵砷、磷化鎵銦、砷化鎵銦、磷化鎵銦砷、砷化鋁銦及/或砷化鋁鎵;或(iv)其一組合。此外,基板202可取決於設計要求而進行摻雜(例如,p型基板或n型基板)。在一些實施例中,基板202可用p型摻雜物(例如,硼、銦、鋁或鎵)或n型摻雜物(例如,磷或砷)來摻雜。
鰭狀物204沿著一Y軸進行橫穿。雖然在圖3中圖解說明一對鰭狀物204,但額外鰭狀物形成於該對鰭狀物204之間以形成多個鰭狀物且該對鰭狀物204表示多個鰭狀物之最外鰭狀物。額外鰭狀物在本文中稱為內鰭狀物且為簡單起見而未進行圖解說明。最外鰭狀物204可具有面向內鰭狀物之內側壁204A及位於相對側上之外側壁204B,如圖3中所展示。內鰭狀物可具有與來自毗鄰內鰭狀物之內側壁及最外鰭狀物204之內側壁204A相對之內側壁。鰭狀物204之頂部表面可為實質上共面的(例如,在同一水平平面上對準)。
在一些實施例中,鰭狀物204可包含類似於基板202之材料。在一些實施例中,鰭狀物204可自基板202之一光微影圖案化及一蝕刻形成。基於本文中之本揭露,將認識到,用於鰭狀物204之其他材料在本揭露之範疇及精神內。鰭狀物204係用於finFET之電流攜載結構。FinFET之通道區域(未展示)可形成於鰭狀物結構204之部分中。在一些實施例中,鰭狀物204中之每一鰭狀物可包含用於形成GAAFET裝置之多個奈米線結構(未展示)。可藉由透過形成於未經蝕刻基板202上之經圖案化第一硬遮罩層206及經圖案化第二硬遮罩層208蝕刻基板202而形成鰭狀物204。在一些實施例中,第一硬遮罩層206係包含使用一熱氧化製程來形成之氧化矽之一薄膜。在一些實施例中,第二硬遮罩層208可使用低壓力化學氣相沉積(LPCVD)或電漿輔助CVD (plasma enhanced CVD) (PECVD)由氮化矽形成。在一些實施例中,鰭狀物204可包含沿著X軸具有實質上均勻寬度之一頂部部分204A及具有沿著Z軸逐漸改變之一寬度之一底部部分204B。
參考圖1,根據一些實施例,在步驟120中,在基板上沉積環繞鰭狀物結構之一淺溝槽隔離(STI)材料。如圖3中所展示,STI材料310沉積於基板202上且環繞鰭狀物204。STI材料310可包含沉積於基板202上且介於毗鄰鰭狀物204之間的內部分310A。STI材料310亦可包含沉積於基板202上且位於最外鰭狀物204之外側壁上之外部分310B。內部分310A可形成於最外鰭狀物之間及毗鄰內鰭狀物之間,該等毗鄰內鰭狀物形成於最外鰭狀物之間。STI材料310可將毗鄰鰭狀物與鄰近主動及被動元件(本文中未圖解說明)電氣隔離,該等鄰近主動及被動元件與基板202整合在一起或沉積至該基板上。STI材料310可由一介電材料製成。在一些實施例中,STI材料310可包含氧化矽、氮化矽、氮氧化矽、氟摻雜之矽酸鹽玻璃(FSG)、一低介電係數材料及/或其他適合絕緣材料。在一些實施例中,可藉由沉積一可流動介電材料(諸如可流動氧化矽、可流動氮化矽、可流動氮氧化矽、可流動碳化矽或可流動碳氧化矽)而形成STI材料310。舉例而言,可使用可流動CVD (FCVD)來沉積可流動氧化矽。在一些實施例中,可使用其他適合CVD製程、物理氣相沉積(PVD)、原子層沉積(ALD)或任何適合沉積製程來沉積STI材料310。可在STI材料310之沉積之後使用一平坦化製程來移除硬遮罩層206及208,使得鰭狀物204及STI材料310之頂部表面係實質上共面的(例如,彼此齊平)。
參考圖1,根據一些實施例,在步驟130中,使用一或多個蝕刻製程及處理製程來回蝕淺溝槽隔離(STI)材料。一例示性步驟130可包含:步驟132,其包含執行一第一蝕刻製程;步驟134,其包含執行一第二蝕刻製程;及步驟136,其包含一選用自由基處理製程136。步驟132及134可取決於目標STI材料之位置而以不同蝕刻速率移除STI材料310。舉例而言,步驟132可為一熱蝕刻製程,該熱蝕刻製程以比STI材料310之內部分310A之蝕刻速率大的一蝕刻速率來蝕刻STI材料310之外部分310B。相比而言,步驟134可為一電漿活化之蝕刻製程,該電漿活化之蝕刻製程以比移除外部分310B之速率大的一速率來移除內部分310A。取決於特定應用,可以一不同次序執行或不執行步驟130中所闡述之蝕刻及處理製程。
參考圖1,根據一些實施例,在步驟132中,執行一第一蝕刻製程。如圖4中所展示,可執行一第一蝕刻製程402以回蝕STI材料310之一部分。在一些實施例中,第一蝕刻製程402可為使用氨(NH3 )及氟化氫(HF)作為前驅物之一熱蝕刻製程。第一蝕刻製程402可為一非電漿蝕刻製程且在一高溫下執行。第一蝕刻製程402可取決於目標STI材料之位置而以不同速率蝕刻STI材料310。舉例而言,第一蝕刻製程402在STI材料310之外部分310B中之蝕刻速率E1 大於STI材料310之內部分310A之蝕刻速率E2 。蝕刻速率差異可歸因於圖案負載效應,一種源自由於不同圖案化(例如,圖案密度、構件之縱橫比、構件之組成/反射率等)而在一半導體裝置或晶粒之不同區中之輻射能量吸收差異之現象。在一些實施例中,蝕刻速率E1 與蝕刻速率E2 之一比率N1 可為約1及約2,或任何適合比率。舉例而言,比率N1 可大於或等於約1且小於約2。蝕刻速率差異大於N1 之前述範圍可導致蝕刻不均勻性,此可難以解決。比率N1 之值可取決於多個鰭狀物中之毗鄰鰭狀物之間的間隔。減小鰭狀物之間的間隔可導致蝕刻速率E1 與E2 之間的一較大蝕刻速率差異。前驅物流動速率及蝕刻溫度可經調整使得比率N1 在前述範圍內。
第一蝕刻製程402可繼續進行直至移除STI材料之一標稱蝕刻高度為止。在一些實施例中,自STI材料之外部分310B移除之STI材料之高度可表示為H1 ,其係自鰭狀物204之頂部表面及經蝕刻外部分310B之一頂部平坦表面而量測。類似地,自STI材料之內部分310A移除之STI材料之高度可表示為H2 ,其係自鰭狀物204之頂部表面及經蝕刻內部分310A之一頂部平坦表面而量測。在一些實施例中,待移除之STI材料之一總高度可表示為H,其係自鰭狀物204之一頂部表面及剩餘STI材料之一目標頂部表面而量測。在一些實施例中,高度H1 與H2 之一比率N2 可等於比率N1 。在一些實施例中,第一蝕刻製程402可移除待移除之總STI之大部分。在一些實施例中,高度H1 與總高度H之一比率N3 可介於約0.65與約0.90之間。比率N3 之一值在前述範圍之外可導致有限之裝置效能增益或較低良率。
在一些實施例中,氣體源可以預定流動速率施配前驅物以用於第一蝕刻製程402。舉例而言,一氣體源可以自約5標準立方公分/分鐘(sccm)至約110 sccm、自約10 sccm至約100 sccm之一流動速率或任何適合流動速率將氨前驅物施配至一蝕刻室中。氣體源亦可以自約80 sccm至約1200 sccm、自約90 sccm至約1100 sccm、自約100 sccm至約1000 sccm之一流動速率或任何適合流動速率施配氟化氫前驅物。在前述範圍之外的流動速率之值可導致有限之裝置效能增益或較低良率。在一些實施例中,蝕刻室可為經組態以進行一Siconi蝕刻製程(例如,一電漿輔助之乾式蝕刻製程)之一室。在一些實施例中,可使用氧化矽來形成STI材料310。在第一蝕刻製程402期間之化學反應可包含使用所施配前驅物來產生氟化銨(NH4 F)分子。當被暴露於氟化銨分子時,STI材料310之氧化矽材料可進行反應以產生六氟矽酸銨((NH4 )2 SiF6 ),該六氟矽酸銨繼而與水進行反應且產生四氟化矽(SiF4 )之副產物。可使用以下化學表示來表示第一蝕刻製程402之鏈化學反應中之某些鏈化學反應:NH3 +HF→NH4 F及SiO2 +NH4 F→(NH4 )2 SiF6 +H2 O→SiF4 (g)+NH3 (g)+HF(g)。
在一些實施例中,可在約25℃與約50℃之間的一溫度下執行第一蝕刻製程402。舉例而言,可將用於執行第一蝕刻製程402之蝕刻室維持在約25℃與約30℃之間、約30℃與約35℃之間、約35℃與約40℃之間、約40℃與約45℃之間、約45℃與約50℃之間的一溫度或任何適合溫度下。低於或高於前述範圍之溫度可導致前驅物之低化學反應性,此可繼而導致低蝕刻速率或無效蝕刻。
參考圖1,根據一些實施例,在步驟134中,應用一第二蝕刻製程以進一步移除STI材料。如圖5中所展示,可執行一第二蝕刻製程502以進一步回蝕STI材料310。在一些實施例中,第二蝕刻製程502可為使用氨(NH3 )及三氟化氮(NF3 )作為前驅物之一電漿活化之蝕刻製程。第二蝕刻製程502可為使用一電漿蝕刻設備之一電漿活化之蝕刻製程。可在一電漿產生製程中藉由一電漿產生器(諸如一變壓器耦合之電漿產生器、電感耦合之電漿系統、磁增強之反應離子蝕刻系統、電子迴旋共振系統、遠端電漿產生器或諸如此類)而產生電漿。電漿產生器產生射頻功率,該射頻功率自氨及三氟化氮氟化物產生一電漿(諸如藉由將高於起弧電壓之一電壓施加至含有前驅物之一室中之電極)。與第一蝕刻製程402相比,根據一些實施例,第二蝕刻製程502可在外部分上以比在STI材料之內部分上之速率低的速率移除STI材料310。舉例而言,第二蝕刻製程502在STI材料310之外部分310B中之蝕刻速率E3 可低於內部分310A之蝕刻速率E4 。蝕刻速率差異可歸因於氟化氨自由基之產生,該等氟化氨自由基可幫助在緊湊空間(諸如位於毗鄰鰭狀物之間的STI材料)中進行蝕刻。在一些實施例中,在第一蝕刻製程402及第二蝕刻製程502期間,製程室中之殘留痕量之氧可在鰭狀物204上形成一層自然氧化物。用於第二蝕刻製程502中之電漿種類(例如,NH3 及NF3 )可以比用於第一蝕刻製程402中之電漿種類(例如,NH3 及HF)慢的一蝕刻速率蝕刻自然氧化物,因此在鰭狀物之間的緊湊空間中提供更多自由基以用於增加STI材料310之蝕刻速率。在一些實施例中,蝕刻速率E3 與蝕刻速率E4 之一比率N4 可小於或等於1且大於約0.5。蝕刻速率差異大於N4 之前述範圍可在跨越多個鰭狀物提供一實質上均勻蝕刻時引起挑戰。前驅物流動速率及電漿強度可經調整使得比率N4 在前述範圍內。第二蝕刻製程502可繼續進行直至移除STI材料之一標稱蝕刻高度為止。在一些實施例中,在第二蝕刻製程502期間自STI材料之外部分310B移除之STI材料之高度可表示為H3 。類似地,在第二蝕刻製程502期間自STI材料之內部分310A移除之STI材料之高度可表示為H4 。在一些實施例中,高度H3 與H4 之一比率N5 可等於比率N4 。在一些實施例中,與第一蝕刻製程402相比,第二蝕刻製程502可移除一較小量之STI材料。在一些實施例中,高度H3 與總高度H之一比率N6 可介於約0.10與約0.35之間。在一些實施例中,第二蝕刻製程502可用於抵消由第一蝕刻製程402導致之表面不平坦(例如,高度H1 與H2 之差異),使得在第一蝕刻製程402及第二蝕刻製程502之後,內部分310A及外部分310B之頂部表面係實質上共面的(例如,齊平的)。第二蝕刻製程可繼續進行直至一標稱鰭狀物高度(例如,自STI材料310突出之鰭狀物204之高度)或直至內部分310A及外部分310B之頂部表面實質上共面為止。在一些實施例中,第二蝕刻製程可繼續進行直至內部分310A之頂部表面低於外部分310B之頂部表面為止。
在一些實施例中,氣體源可以預定流動速率施配前驅物以用於第二蝕刻製程502。舉例而言,一氣體源可以自約5 sccm至約320 sccm、自約7 sccm至約310 sccm、自約10 sccm至約300 sccm之一流動速率或任何適合流動速率將氨前驅物施配至一蝕刻室中。氣體源亦可以自約35 sccm至約600 sccm、自約40 sccm至約550 sccm、自約50 sccm至約500 sccm之一流動速率或任何適合流動速率施配三氟化氮前驅物。在一些實施例中,可使用氧化矽來形成STI材料310。在第二蝕刻製程502期間之化學反應可包含使用所施配前驅物且在電漿下產生氟化銨(NH4 F)自由基以及氟化銨分子。當被暴露於氟化銨分子及自由基時,STI材料310之氧化矽材料可進行反應以產生六氟矽酸銨,該六氟矽酸銨繼而與水進行反應且產生四氟化矽之副產物。可使用以下化學表示來表示第二蝕刻製程502之鏈化學反應中之某些鏈化學反應:NH3 +NF4 →電漿(RF)→NH4 F+ NH4 F.HF及SiO2 +NH4 F→(NH4 )2 SiF6 +H2 O→SiF4 (g)+NH3 (g)+HF(g)。在一些實施例中,可在蝕刻製程期間或之後使用一退火製程。舉例而言,可執行一退火製程以增強四氟化矽氣體之產生。在一些實施例中,可在約30℃與約80℃之間的一溫度下執行退火製程。在低於約30℃之一溫度下執行之退火製程可導致(NH4 )2 SiF6 之昇華不足,此繼而可導致不良蝕刻效能(例如,殘餘物或缺陷產生)。在大於約80℃之溫度下執行之退火製程可導致不穩定電漿種類,此繼而可導致電漿降級。
在一些實施例中,第二蝕刻製程502可在一電漿室中執行。可將一射頻(RF)源應用於電漿室以自前驅物激發離子且形成電漿。RF源之功率可介於約25瓦與約75瓦之間。舉例而言,該功率可介於約25瓦與約40瓦之間、約40瓦與約50瓦之間、約50瓦與約75瓦之間或任何適合RF功率。RF功率低於前述範圍可導致前驅物之低化學反應性,此可繼而導致低蝕刻速率或無效蝕刻。RF功率大於前述範圍可在提供一致蝕刻速率時引起挑戰。
參考圖1,根據一些實施例,在步驟136中,將一自由基處理製程應用於STI材料。如圖6中所展示,將一自由基處理製程602應用於STI材料310之頂部表面。在一些實施例中,自由基處理製程可為選用的。自由基處理製程可為一氫自由基處理製程,其中STI材料310之表面暴露於氫自由基。氫自由基容易與IV族材料進行反應以形成四氫化合物。氫自由基處理製程可在一室(諸如一蝕刻室)中執行。在一些實施例中,自由基處理製程602可在用於執行第一蝕刻製程402及第二蝕刻製程502之處理室中執行。在一些實施例中,自由基處理製程602可為一電漿輔助之自由基處理製程。舉例而言,電漿輔助之自由基處理製程可使用氫氣或氦氣作為前驅物。舉例而言,在蝕刻室中施配一或多個氣體源。氣體源包含一前驅物氣體及一惰性氣體。前驅物氣體可包含氫氣(H2 ),且惰性氣體可包含氬氣(Ar)、氦氣(He)或其一組合。在將前驅物氣體施配至處理室中之後產生一電漿。可在一電漿產生製程中藉由一電漿產生器而產生電漿。當被暴露於氫電漿時,STI材料310之表面處之材料(例如,氧化矽)破裂且與自由氫離子重新組合以形成矽烷(SiH4 )及水(H2 O),從而移除STI材料310之頂部表面處之介電材料。可在副產物之形成期間藉由真空處理而自處理室移除副產物。如圖6中所展示,可自STI材料310之內部分310A及外部分310B均勻地移除介電材料。在一些實施例中,在自由基處理製程602期間自STI材料之內部分310A及外部分310B移除之STI材料之高度可表示為H5 。在一些實施例中,高度H5 與總高度H之一比率N7 可小於約0.05。
圖7至圖11係根據一些實施例之用於回蝕STI材料以暴露多個鰭狀物之方法之流程圖。出於說明性目的,圖7至圖11中所圖解說明之步驟可類似於圖2至圖6中所圖解說明之步驟。舉例而言,圖7至圖11中所闡述之方法中之每一者可用於執行圖1中所闡述之步驟130。取決於特定應用,可以一不同次序執行或不執行步驟。應注意,圖7至圖11中所闡述之方法係用於回蝕STI材料且可不產生一完整半導體裝置。因此,應理解,可在本文中所揭示之方法之前、期間及之後提供額外製程,且僅可簡略地闡述某些其他製程。
參考圖7,方法700可包含:步驟702,其包含一電漿活化之蝕刻製程;步驟704,其包含一熱蝕刻製程;及步驟706,其包含一自由基處理製程。在一些實施例中,步驟702中之電漿活化之蝕刻製程可類似於參考圖5所闡述之第二蝕刻製程。舉例而言,步驟702中之電漿活化之蝕刻製程可在STI材料之內部分及外部分上具有不同蝕刻速率。在一些實施例中,自STI材料之外部分之經移除材料可具有介於待移除之STI材料之總高度之約10%與35%之間的一高度。在一些實施例中,步驟704之熱蝕刻製程可類似於圖4中所闡述之第一蝕刻製程402。舉例而言,步驟704之熱蝕刻製程可在STI材料之外部分中以比在STI材料之內部分中大的一速率移除STI材料。在一些實施例中,在步驟704期間自STI材料之外部分之經移除材料可介於待移除之STI材料之總高度之約65%與約90%之間。第二步驟702及704可後續接著步驟706,步驟706包含執行類似於圖6中所闡述之自由基處理製程602之一自由基處理製程。舉例而言,可執行一氫自由基處理製程以進一步移除STI材料。在方法700中所闡述之步驟之後,經回蝕STI材料之內部分及外部分之頂部表面可為實質上共面。在一些實施例中,內部分之頂部表面可低於外部分之頂部表面。
參考圖8,方法800可包含:步驟802,其包含一熱蝕刻製程;步驟804,其包含一自由基處理製程;及步驟806,其包含一電漿活化之蝕刻製程。在一些實施例中,步驟802之熱蝕刻製程可類似於圖4中所闡述之第一蝕刻製程402。舉例而言,步驟802之熱蝕刻製程可在STI材料之外部分中以比在STI材料之內部分中大的一速率移除STI材料。在一些實施例中,在步驟802期間自STI材料之外部分之經移除材料可介於待移除之STI材料之總高度之約65%與約90%之間。步驟804可包含類似於圖6中所闡述之自由基處理製程602之一自由基處理製程。舉例而言,可執行一氫自由基處理製程以進一步移除STI材料。在一些實施例中,步驟804中之電漿活化之蝕刻製程可類似於參考圖5所闡述之第二蝕刻製程502。舉例而言,步驟804中之電漿活化之蝕刻製程可在STI材料之內部分及外部分上具有不同蝕刻速率。在一些實施例中,自STI材料之外部分之經移除材料可具有介於待移除之STI材料之總高度之約10%與35%之間的一高度。
參考圖9,方法900可包含:步驟902,其包含一電漿活化之蝕刻製程;步驟904,其包含一自由基處理製程;及步驟906,其包含一熱蝕刻製程。在一些實施例中,步驟902中之電漿活化之蝕刻製程可類似於參考圖5所闡述之第二蝕刻製程502。舉例而言,步驟902中之電漿活化之蝕刻製程可在STI材料之內部分及外部分上具有不同蝕刻速率。在一些實施例中,自STI材料之外部分之經移除材料可具有介於待移除之STI材料之總高度之約10%與35%之間的一高度。步驟904可包含類似於圖6中所闡述之自由基處理製程602之一自由基處理製程。舉例而言,可執行一氫自由基處理製程以進一步移除STI材料。在一些實施例中,步驟906之熱蝕刻製程可類似於圖4中所闡述之第一蝕刻製程402。舉例而言,步驟906之熱蝕刻製程可在STI材料之外部分中以比在STI材料之內部分中大的一速率移除STI材料。在一些實施例中,在步驟906期間自STI材料之外部分之經移除材料可介於待移除之STI材料之總高度之約65%與約90%之間。
參考圖10,方法1000可包含:步驟1002,其包含一熱蝕刻製程;步驟1004,其包含一第一自由基處理製程;步驟1006,其包含一電漿活化之蝕刻製程;及步驟1008,其包含一第二熱蝕刻製程。在一些實施例中,步驟1002之熱蝕刻製程可類似於圖4中所闡述之第一蝕刻製程402。舉例而言,步驟1002之熱蝕刻製程可在STI材料之外部分中以比在STI材料之內部分中大的一速率移除STI材料。在一些實施例中,在步驟1002期間自STI材料之外部分之經移除材料可介於待移除之STI材料之總高度之約65%與約90%之間。步驟1004可包含類似於圖6中所闡述之自由基處理製程602之一第一自由基處理製程。舉例而言,可執行一氫自由基處理製程以進一步移除STI材料。在一些實施例中,步驟1006中之電漿活化之蝕刻製程可類似於參考圖5所闡述之第二蝕刻製程502。舉例而言,步驟1006中之電漿活化之蝕刻製程可在STI材料之內部分及外部分上具有不同蝕刻速率。在一些實施例中,自STI材料之外部分之經移除材料可具有介於待移除之STI材料之總高度之約10%與35%之間的一高度。在一些實施例中,步驟1008中之第二自由基處理製程可類似於步驟1004中之第一自由基處理。在一些實施例中,步驟1004及1008中之第一自由基處理製程及第二自由基處理製程中之每一者可回蝕待移除之總STI材料之約1%至約5%。
參考圖11,方法1100可包含:步驟1102,其包含一電漿活化之蝕刻製程;步驟1104,其包含一第一自由基處理製程;步驟1106,其包含一熱蝕刻製程;及步驟1108,其包含一第二自由基處理製程。在一些實施例中,步驟1102中之電漿活化之蝕刻製程可類似於參考圖5所闡述之第二蝕刻製程502。舉例而言,步驟1102中之電漿活化之蝕刻製程可在STI材料之內部分及外部分上具有不同蝕刻速率。在一些實施例中,自STI材料之外部分之經移除材料可具有介於待移除之STI材料之總高度之約10%與35%之間的一高度。步驟1104可包含類似於圖6中所闡述之自由基處理製程602之一第一自由基處理製程。舉例而言,可執行一氫自由基處理製程以進一步移除STI材料。在一些實施例中,步驟1106之熱蝕刻製程可類似於圖4中所闡述之第一蝕刻製程402。舉例而言,步驟1106之熱蝕刻製程可在STI材料之外部分中以比在STI材料之內部分中大的一速率移除STI材料。在一些實施例中,在步驟1002期間自STI材料之外部分之經移除材料可介於待移除之STI材料之總高度之約65%與約90%之間。在一些實施例中,步驟1108中之第二自由基處理製程可類似於步驟1104中之第一自由基處理。在一些實施例中,步驟1104及1108中之第一自由基處理製程及第二自由基處理製程中之每一者可回蝕待移除之總STI材料之約1%至約5%。
熱蝕刻製程及電漿活化之蝕刻製程可為經回蝕STI材料提供實質上共面之頂部表面。在一些實施例中,蝕刻製程亦可為經回蝕STI材料之內部分提供比經回蝕STI材料之外部分之頂部表面低的頂部表面。如圖12中所展示,內部分310A之一頂部表面可低於外部分310B之一頂部表面。舉例而言,自鰭狀物204之間移除之STI材料之一高度H6 可大於自鰭狀物204之外側移除之STI材料之高度H。在一些實施例中,H6 與H之一比率可大於或等於約1且小於約1.2。在一些實施例中,高度H6 與H之間的一差可為小於約5奈米。舉例而言,該差可介於約3奈米與約5奈米之間。除其他之外,增加高度H6 與H之間的差可提供如下益處:更大有效鰭狀物面積及鰭狀物-鰭狀物間距之間的更小閘極至通道電容(Cgc )。
參考圖1,根據一些實施例,在步驟140中,可在STI材料上及鰭狀物結構上形成半導體裝置之結構。如圖13中所展示,在已將STI材料回蝕之後,可在突出鰭狀物上形成額外結構。
圖13係根據本揭露之一些實施例之一半導體結構1300之一等角視圖。半導體結構1300包含使用鰭狀物及STI材料來形成之finFET,該等鰭狀物及STI材料係使用參考圖1至圖12所闡述之方法及結構來形成的。舉例而言,半導體結構1300包含基板202、多個鰭狀物204、STI材料310及一閘極結構1380。閘極結構1380放置於鰭狀物204中之每一者之側壁及一頂部表面上。閘極結構1380包含一閘極介電層1302及一閘極電極1307。在一些實施例中,一或多個額外層或結構可包含於閘極結構1380中。
圖13展示放置於閘極電極1307之一頂部表面上之一硬遮罩1320。硬遮罩1320用於圖案化(諸如藉由蝕刻)閘極結構1380。在一些實施例中,硬遮罩1320包含一介電材料,諸如氮化矽。在進行一閘極介電層及一閘極電極層之圖案化製程(例如,蝕刻)以形成閘極結構1380之後獲得圖13之等角視圖。積體電路可包含此等及類似閘極結構中之多個閘極結構。
多個鰭狀物204中之每一者包含一對源極/汲極(S/D)端子S/D 1308。S/D 1308在鰭狀物204中、其上及/或環繞該等鰭狀物形成。鰭狀物204之一通道區域位於閘極結構1380之下。S/D 1308可使用經摻雜半導體材料(諸如經摻雜結晶矽)來形成。在一些實施例中,S/D 1308可使用矽鍺來形成。
STI材料310可部分地填充凹槽且可包含一介電材料,例如,氧化矽、旋塗玻璃、氮化矽、氮氧化矽、氟摻雜之矽酸鹽玻璃(FSG)、一低介電係數材料、其他適合絕緣材料及/或其組合。STI材料310可包含一多層結構,例如,具有一或多個襯層之一結構。STI材料310亦可藉由以下步驟而形成:使用多步驟沉積及處理製程來沉積一經增強間隙填充層以消除間隙填充材料中之空隙及接縫。可使用圖1至圖12中所闡述之方法來回蝕STI材料310。
根據一些實施例,閘極結構1380可包含一閘極介電層1302、一閘極電極1307及/或一或多個額外層。在一些實施例中,閘極結構1380使用多晶矽作為閘極電極1307。雖然將閘極結構1380闡述為將多晶矽或非晶矽用於閘極電極1307,但閘極結構1380可為一犧牲閘極結構,諸如在針對一金屬閘極結構之一替換閘極製程中形成之一閘極結構。金屬閘極結構可包含阻障層、閘極介電層、工作功能層、填充金屬層及/或用於一金屬閘極結構之其他適合材料。在一些實施例中,金屬閘極結構可包含帽蓋層、蝕刻停止層及/或其他適合材料。
P型及n型工作功能金屬可包含於金屬閘極結構中。一工作功能與工作功能層之材料組成相關聯。因此,一工作功能層之材料可經選擇以調諧其工作功能,使得藉由形成於各別區域中之一裝置而達成一所要臨限電壓Vth
一填充金屬層可沉積於工作功能金屬層上方。填充金屬層填充於藉由移除犧牲閘極結構而形成之溝槽或開口之剩餘部分中。填充金屬層可包含Al、W、銅(Cu)及/或其他適合材料。填充金屬可藉由ALD、CVD、物理氣相沉積(PVD)、鍍覆、其他適合製程及/或其組合而形成。
上文所闡述之半導體結構1300包含鰭狀物204及閘極結構1380。半導體結構1300可包含形成於鰭狀物204上方之多個閘極結構108。半導體結構1300可包含用以形成各種構件(例如,經輕摻雜汲極(LDD)區域及經摻雜S/D結構)之額外處理。術語「LDD區域」用於闡述放置於一電晶體之一通道區域與電晶體之S/D區域中之至少一者之間的經輕摻雜區域。LDD區域可藉由摻雜而形成於鰭狀物204中。舉例而言,離子佈植可用於摻雜製程。其他製程可用於摻雜LDD區域。
本揭露中之各種實施例闡述用於形成具有實質上均勻STI階梯高度之半導體裝置之方法。可在一基板上沉積環繞多個鰭狀物之一STI材料,後續接著一回蝕製程以暴露鰭狀物之部分。使用本文中所揭示之方法形成之半導體裝置可跨越多個鰭狀物具有實質上均勻STI階梯高度。在一些實施例中,一內STI階梯高度可實質上等於或小於一外STI階梯高度。可使用多個蝕刻製程來達成實質上均勻STI階梯高度。舉例而言,回蝕製程可包含取決於目標STI材料之位置而以不同速率移除STI材料之蝕刻製程。可將經蝕刻STI材料暴露於一自由基處理製程,該自由基處理製程可調整STI材料之表面輪廓以及進一步蝕刻STI材料。
在一些實施例中,一種方法包含:形成自一基板突出之一鰭狀物,該鰭狀物包含一第一側壁及形成為與該第一側壁對置之一第二側壁。該方法亦包含在該基板上沉積一淺溝槽隔離(STI)材料。沉積該STI材料包含:沉積該STI材料之與該第一側壁接觸之一第一部分及沉積該STI材料之與該第二側壁接觸之一第二部分。該方法亦包含對該STI材料執行一第一蝕刻製程從而以一第一蝕刻速率蝕刻該STI材料之該第一部分且以大於該第一蝕刻速率之一第二蝕刻速率蝕刻該STI材料之該第二部分。該方法亦包含對該STI材料執行一第二蝕刻製程從而以一第三蝕刻速率蝕刻該STI材料之該第一部分且以小於該第三蝕刻速率之一第四蝕刻速率蝕刻該STI材料之該第二部分。
在一些實施例中,一種方法包含:形成自一基板突出之複數個鰭狀物,該複數個鰭狀物包含第一最外鰭狀物及第二最外鰭狀物,其中:該第一最外鰭狀物包含一第一內側壁及形成為與該第一內側壁對置之一第一外側壁;且該第二最外鰭狀物包含:一第二內側壁,其與該第一內側壁相對;及一第二外側壁,其形成為與該第二內側壁對置。該方法亦包含在該基板上沉積一淺溝槽隔離(STI)材料,包含:在該第一內側壁與該第二內側壁之間沉積該STI材料之一第一部分及沉積該STI材料之與該第一外側壁及該第二外側壁接觸之一第二部分。該方法亦包含對該STI材料執行一第一蝕刻製程從而以一第一蝕刻速率蝕刻該STI材料之該第一部分且以大於該第一蝕刻速率之一第二蝕刻速率蝕刻該STI材料之該第二部分。該方法進一步包含對該STI材料執行一第二蝕刻製程從而以一第三蝕刻速率蝕刻該STI材料之該第一部分且以小於該第三蝕刻速率之一第四蝕刻速率蝕刻該STI材料之該第二部分。
在一些實施例中,一種半導體結構包含:複數個鰭狀物,其自一基板突出且包含第一最外鰭狀物及第二最外鰭狀物,其中:該第一最外鰭狀物具有彼此對置之第一側壁及第二側壁;該第二最外鰭狀物具有彼此對置之第三側壁及第四側壁,其中該第二側壁與該第三側壁面向彼此;且該第一最外鰭狀物及該第二最外鰭狀物之頂部表面在一水平平面上對準。該半導體結構亦包含位於該基板上之一淺溝槽隔離(STI)材料,該STI材料包含:一第一部分,其與該第一側壁接觸且具有一第一頂部表面,其中自該第一頂部表面至該水平平面量測一第一高度。該STI材料亦包含:一第二部分,其介於該第一最外鰭狀物與該第二最外鰭狀物之間且具有一第二頂部表面,其中自該第二頂部表面至該水平平面量測一第二高度且該第二高度大於該第一高度。
上文已概述若干實施例之特徵,使得熟習技術者可較佳理解本揭露之態樣。熟習技術者應瞭解,其可易於將本揭露用作設計或修改其他程序及結構以實施相同於本文中所引入之實施例之目的及/或達成相同於本文中所引入之實施例之優點的一基礎。熟習技術者亦應認識到,此等等效建構不應背離本揭露之精神及範疇,且其可在不背離本揭露之精神及範疇的情況下對本文作出各種改變、替換及變更。
100:方法 110:步驟 120:步驟 130:步驟 132:步驟 134:步驟 136:步驟 140:步驟 202:基板 204:鰭狀物 204A:內側壁 204B:外側壁 206:硬遮罩層 208:硬遮罩層 310:淺溝槽隔離材料 310A:內部分 310B:外部分 402:第一蝕刻製程 502:第二蝕刻製程 602:自由基處理製程 700:方法 702:步驟 704:步驟 706:步驟 800:方法 802:步驟 804:步驟 806:步驟 900:方法 902:步驟 904:步驟 906:步驟 1000:方法 1002:步驟 1004:步驟 1006:步驟 1008:步驟 1100:方法 1102:步驟 1104:步驟 1106:步驟 1108:步驟 1300:半導體結構 1302:閘極介電層 1307:閘極電極 1308:源極/汲極端子 1320:硬遮罩 1380:閘極結構 H:高度 H1 :高度 H2 :高度 H3 :高度 H4 :高度 H5 :高度 H6 :高度
自結合附圖閱讀之以下詳細描述最佳理解本揭露之態樣。應注意,根據行業標準做法,各種構件未按比例繪製。實際上,為使討論清楚,可任意增大或減小各種構件之尺寸。
圖1係根據一些實施例之用於形成一半導體結構之一方法之一流程圖。
圖2至圖6圖解說明根據一些實施例之半導體鰭狀物及STI材料在其製作製程之各種階段處之各種視圖。
圖7至圖11係根據一些實施例之形成半導體結構之方法之流程圖。
圖12係根據一些實施例之一半導體裝置之一剖面圖。
圖13係根據一些實施例之一半導體裝置之一等角視圖。
現在將參考隨附圖式闡述說明性實施例。在圖式中,相似元件符號通常指示相同、功能上類似及/或結構上類似之元件。
202:基板
204:鰭狀物
204A:內側壁
204B:外側壁
206:硬遮罩層
208:硬遮罩層

Claims (10)

  1. 一種形成半導體裝置的方法,其包括:形成自一基板突出之一鰭狀物,該鰭狀物包括一第一側壁及形成為與該第一側壁對置之一第二側壁;在該基板上沉積一淺溝槽隔離(STI)材料,包括:沉積該STI材料之與該第一側壁接觸之一第一部分;及沉積該STI材料之與該第二側壁接觸之一第二部分;對該STI材料執行一第一蝕刻製程從而以一第一蝕刻速率移除該STI材料之該第一部分的第一高度,且以大於該第一蝕刻速率之一第二蝕刻速率移除該STI材料之該第二部分的第二高度;對該STI材料執行一第二蝕刻製程從而以一第三蝕刻速率移除該STI材料之該第一部分的第三高度,且以小於該第三蝕刻速率之一第四蝕刻速率移除該STI材料之該第二部分的第四高度;以及在該第一蝕刻製程及該第二蝕刻製程之後,對該STI材料執行一自由基處理製程。
  2. 如請求項1之方法,其中該第一蝕刻製程包括將氨及氟化氫前驅物施配至一蝕刻室中。
  3. 如請求項1之方法,其中該第二蝕刻製程包括將氨及三氟化氮前驅物施配至一蝕刻室中。
  4. 如請求項1之方法,其進一步包括形成自該基板突出且毗鄰於該鰭狀物之另一鰭狀物,其中該另一鰭狀物包括:一第三側壁,其與該第二側壁相對,其中該STI材料之該第一部分形成於該第二側壁與該第三側壁之間;及一第四側壁,其形成為與該第三側壁對置。
  5. 一種形成半導體裝置的方法,其包括:形成自一基板突出之複數個鰭狀物,該複數個鰭狀物包括第一最外鰭狀物及第二最外鰭狀物,其中:該第一最外鰭狀物包括一第一內側壁及形成為與該第一內側壁對置之一第一外側壁;且該第二最外鰭狀物包括:一第二內側壁,其與該第一內側壁相對;及一第二外側壁,其形成為與該第二內側壁對置;在該基板上沉積一淺溝槽隔離(STI)材料,包括:在該第一內側壁與該第二內側壁之間沉積該STI材料之一第一部分;及沉積該STI材料之與該第一外側壁及該第二外側壁接觸之一第二部分;對該STI材料執行一第一蝕刻製程從而以一第一蝕刻速率移除該STI材料之該第一部分的第一高度,且以大於該第一蝕刻速率之一第二蝕刻速率移除該STI材料之該第二部分的第二高度;對該STI材料執行一第二蝕刻製程從而以一第三蝕刻速率移除該STI 材料之該第一部分的第三高度,且以小於該第三蝕刻速率之一第四蝕刻速率蝕刻該STI材料之該第二部分的第四高度;以及在該第一蝕刻製程及該第二蝕刻製程之後,對該STI材料執行一自由基處理製程。
  6. 如請求項5之方法,其中該第一蝕刻製程包括將氨及氟化氫前驅物施配至一蝕刻室中。
  7. 一種形成半導體裝置的方法,其包括:形成自一基板突出之第一和第二鰭狀物;在該基板上沉積一淺溝槽隔離(STI)材料,其中該STI材料包括與該第一和第二鰭狀物之外側壁接觸之一第一部分及與該第一和第二鰭狀物之內側壁接觸之一第二部分;對該STI材料執行一第一蝕刻製程從而以一第一蝕刻速率移除該STI材料之該第一部分,且以不同該第一蝕刻速率之一第二蝕刻速率移除該STI材料之該第二部分;在該第一蝕刻製程之後,以氫氣和惰性氣體對該STI材料執行一自由基處理製程;以及對該STI材料執行一第二蝕刻製程從而以一第三蝕刻速率移除該STI材料之該第一部分,且以小於該第三蝕刻速率之一第四蝕刻速率蝕刻該STI材料之該第二部分。
  8. 如請求項7之方法,更包括在第二蝕刻製程之後,對該STI材料執行額外 的自由基處理製程。
  9. 如請求項7之方法,其中執行自由基處理製程包括在一蝕刻室中施配該氫氣及該惰性氣體。
  10. 如請求項7之方法,其中該第一蝕刻速率與該第二蝕刻速率之一比率可大於或等於約1或小於約2。
TW110118809A 2020-05-28 2021-05-25 具有均勻階梯高度之淺溝槽隔離結構 TWI834038B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063031245P 2020-05-28 2020-05-28
US63/031,245 2020-05-28
US17/225,249 US11699620B2 (en) 2020-05-28 2021-04-08 Shallow trench isolation structures having uniform step heights
US17/225,249 2021-04-08

Publications (2)

Publication Number Publication Date
TW202203370A TW202203370A (zh) 2022-01-16
TWI834038B true TWI834038B (zh) 2024-03-01

Family

ID=77574778

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110118809A TWI834038B (zh) 2020-05-28 2021-05-25 具有均勻階梯高度之淺溝槽隔離結構

Country Status (3)

Country Link
US (1) US20230298944A1 (zh)
CN (1) CN113380709A (zh)
TW (1) TWI834038B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140315371A1 (en) * 2013-04-17 2014-10-23 International Business Machines Corporation Methods of forming isolation regions for bulk finfet semiconductor devices
US20170243753A1 (en) * 2016-02-24 2017-08-24 Tokyo Electron Limited Substrate processing method
US20180090385A1 (en) * 2016-09-28 2018-03-29 International Business Machines Corporation Hybridization fin reveal for uniform fin reveal depth across different fin pitches
TW201913753A (zh) * 2017-08-31 2019-04-01 台灣積體電路製造股份有限公司 鰭式場效電晶體裝置結構及其形成方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007250907A (ja) * 2006-03-16 2007-09-27 Renesas Technology Corp 半導体装置およびその製造方法
US7955964B2 (en) * 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
DE102018126911A1 (de) * 2017-11-30 2019-06-06 Intel Corporation Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung
TW201946112A (zh) * 2018-04-24 2019-12-01 美商應用材料股份有限公司 移除高深寬比結構中的ⅲ-v材料的方法
US11120997B2 (en) * 2018-08-31 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Surface treatment for etch tuning
CN111370370B (zh) * 2018-12-26 2023-03-14 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140315371A1 (en) * 2013-04-17 2014-10-23 International Business Machines Corporation Methods of forming isolation regions for bulk finfet semiconductor devices
US20170243753A1 (en) * 2016-02-24 2017-08-24 Tokyo Electron Limited Substrate processing method
TW201740448A (zh) * 2016-02-24 2017-11-16 Tokyo Electron Ltd 基板處理方法
US20180090385A1 (en) * 2016-09-28 2018-03-29 International Business Machines Corporation Hybridization fin reveal for uniform fin reveal depth across different fin pitches
TW201913753A (zh) * 2017-08-31 2019-04-01 台灣積體電路製造股份有限公司 鰭式場效電晶體裝置結構及其形成方法

Also Published As

Publication number Publication date
TW202203370A (zh) 2022-01-16
CN113380709A (zh) 2021-09-10
US20230298944A1 (en) 2023-09-21

Similar Documents

Publication Publication Date Title
US11282936B2 (en) Horizontal gate all around device nanowire air gap spacer formation
US20220059684A1 (en) Method of manufacturing semiconductor devices and semiconductor devices
US11456373B2 (en) Semiconductor device and method
KR20210032299A (ko) 반도체 장치의 제조 방법 및 플라스마 처리 장치
US11295956B2 (en) Selective formation of titanium silicide and titanium nitride by hydrogen gas control
TWI713120B (zh) 製造半導體元件與鰭式場效應電晶體的方法
KR102293862B1 (ko) 반도체 소자의 제조 방법
CN110970492B (zh) 半导体器件和形成半导体器件的方法
TWI768678B (zh) 半導體結構及其形成方法
KR20220016446A (ko) 통합 유동성 저 k 갭 충전 및 플라즈마 처리
TW202145318A (zh) 半導體裝置結構及其製造方法
US11990509B2 (en) Semiconductor devices having gate structures with slanted sidewalls
US20220384593A1 (en) Inter-Layer Dielectrics and Etch Stop Layers for Transistor Source/Drain Regions
TWI834038B (zh) 具有均勻階梯高度之淺溝槽隔離結構
CN110660738A (zh) 半导体装置的形成方法
US11699620B2 (en) Shallow trench isolation structures having uniform step heights
TW201816896A (zh) 製造用於n7/n5及超出的鰭式電晶體之空氣空隙間隔壁的方法
US20230268223A1 (en) Semiconductor devices and methods of manufacture
TW202336868A (zh) 半導體裝置及其製造方法
CN115050739A (zh) 半导体结构及其形成方法