TWI662369B - 半導體元件的形成方法 - Google Patents

半導體元件的形成方法 Download PDF

Info

Publication number
TWI662369B
TWI662369B TW106137365A TW106137365A TWI662369B TW I662369 B TWI662369 B TW I662369B TW 106137365 A TW106137365 A TW 106137365A TW 106137365 A TW106137365 A TW 106137365A TW I662369 B TWI662369 B TW I662369B
Authority
TW
Taiwan
Prior art keywords
wafer
protective layer
edge portion
photoresist layer
chemical solution
Prior art date
Application number
TW106137365A
Other languages
English (en)
Other versions
TW201913723A (zh
Inventor
訾安仁
鄭雅如
張慶裕
林進祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201913723A publication Critical patent/TW201913723A/zh
Application granted granted Critical
Publication of TWI662369B publication Critical patent/TWI662369B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2026Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure for the removal of unwanted material, e.g. image or background correction
    • G03F7/2028Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure for the removal of unwanted material, e.g. image or background correction of an edge bead on wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Abstract

本發明實施例提供半導體元件之形成方法,包括以包括酸不穩定基團(acid-labile group)、溶解度控制單元、及熱酸生成劑之化學混合物的第一化學溶液塗佈晶圓之邊緣部分;固化第一化學溶液以於晶圓之邊緣部分上形成第一保護層;塗佈光阻層於晶圓的前表面上;以第一去除溶液移除第一保護層;以及對光阻層進行曝光製程。

Description

半導體元件的形成方法
本發明實施例係關於一種半導體元件的形成方法,且特別有關於一種微影製程方法。
半導體積體電路(integrated circuit,IC)工業經歷了指數性的成長。積體電路材料及設計的技術進步產生了積體電路世代,其中每個世代具有比上一世代更小且更複雜的電路。在積體電路演進的進程中,功能密度(亦即每一晶片面積中的內連元件數目)普遍增加了,而此時幾何尺寸(亦即使用製造製程所能創造的最小組件(或線))已縮小。這樣尺寸微縮化的製程普遍提供了好處,已增加生產效率並降低相關成本。如此尺寸微縮化亦增加了積體電路製程與製造的複雜度,為實現這樣的進展,積體電路製程與製造亦需要相似的發展。在一範例中,實行先進的微影圖案化技術以形成各種圖案,例如半導體晶圓上的閘極電極及金屬線。微影圖案化技術包括於半導體晶圓的表面上塗佈光阻材料。
現有的光阻塗佈方法,例如旋轉塗佈法,將光阻材料形成於晶圓的所有區域上,包括晶圓的邊緣,甚至到晶圓的背表面。塗佈製程及後續製程(例如顯影)中在晶圓邊緣及背 表面的光阻材料導致各種污染相關的問題及顧慮,例如汙染了塗佈機夾盤(coater chuck)或軌道移載機台(track)。微影製程時,於晶圓邊緣上累積光阻材料將干擾晶圓邊緣上圖案化的穩定性,並造成錯誤的水平讀數。例如,在斜面及背面上光阻材料的存在不只增加了高熱點(high hotspot)的機率,亦具有汙染後續製程機台的可能性。在其他例子中,現有的塗佈製程在晶圓邊緣及斜面處具有高光阻殘留,其可能導致光阻剝落並導致良率不佳。為了解決這個問題,使用或提出了各種方法,例如邊珠沖洗、背面沖洗、及額外的塗佈。然而,邊珠沖洗及背面沖洗造成了所不希望的突起,其可能為後續製程潛在的缺陷來源。在其他例子中,額外的塗佈更引起晶圓及微影系統的汙染,或是使製造產出量有另外的效率及效益的顧慮。因此,可能需要提供無上述所述缺點的系統以及利用此系統的方法。
本發明實施例提供一種半導體製造方法。此方法包括以包括酸不穩定基團(acid-labile group)、溶解度控制單元、及熱酸生成劑之化學混合物的第一化學溶液塗佈晶圓之邊緣部分;固化第一化學溶液以於晶圓之邊緣部分上形成第一保護層;塗佈光阻層於晶圓的前表面上;以第一去除溶液移除第一保護層;以及對光阻層進行曝光製程。
本發明另一實施例提供一種微影圖案化方法。此方法包括以聚合物材料的第一保護層塗佈晶圓之前表面的邊緣部分;於晶圓之前表面上塗佈光阻層;移除第一保護層;對光阻層進行曝光製程;以聚合物材料的第二保護層塗佈晶圓之 前表面的邊緣部分;對光阻層進行曝光後烘烤製程;對光阻層進行顯影製程以形成圖案化光阻層;以及移除第二保護層。
本發明又一實施例提供一種微影圖案化方法。此方法包括以包括酸不穩定基團(acid-labile group)、溶解度控制單元、及熱酸生成劑之化學混合物的第一化學溶液塗佈晶圓之邊緣部分;固化第一化學溶液以於晶圓之邊緣部分上形成第一保護層;塗佈光阻層於晶圓的前表面上;以包括丙二醇甲醚(propylene glycol methyl ether,PGME)及丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)的去除溶液移除第一保護層;以及之後,對光阻層進行曝光製程。
100‧‧‧方法
102、104、106、108、110、112、114、116、118‧‧‧操作
200‧‧‧晶圓
200A‧‧‧前表面
200B‧‧‧背表面
202‧‧‧電路區域
204‧‧‧邊緣部分
206‧‧‧保護層
208‧‧‧光阻層
208a‧‧‧未曝光部分
208b‧‧‧曝光部分
400‧‧‧噴塗設備
402‧‧‧晶圓平台
404‧‧‧軸
406‧‧‧噴嘴
408‧‧‧供應器
702‧‧‧阻擋器
802‧‧‧阻擋器
902‧‧‧圓盤
904‧‧‧簾緣
1110‧‧‧輻射
1112‧‧‧罩幕
1114‧‧‧透明基板
1116‧‧‧圖案化不透明層
1202‧‧‧第二保護層
R‧‧‧半徑
以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。
第1圖係根據一些實施例繪示出積體電路製造方法的流程圖。
第2A圖係根據一些實施例繪示出晶圓的截面圖。
第2B圖係根據一些實施例繪示出第1圖中晶圓的上視圖。
第3A、3B、及3C圖係根據一些實施例繪示出晶圓於製造階段的截面圖。
第4圖係根據一些實施例繪示出晶圓及第1圖中方法所使用的塗佈設備之示意圖和截面圖。
第5圖係根據不同實施例繪示出第3A、3B、及3C圖的保護 層中的酸不穩定基團(ALG)之化學結構。
第6圖係根據不同實施例繪示出第3A、3B、及3C圖的保護層中的熱酸生成劑(TAG)之化學結構。
第7圖係根據一些實施例繪示出晶圓及第1圖中方法所使用的塗佈設備之示意圖和截面圖。
第8圖係根據一些實施例繪示出晶圓及第1圖中方法所使用的塗佈設備之示意圖和截面圖。
第9圖係根據一些實施例繪示出於第8圖中塗佈設備所使用的阻擋器之示意圖。
第10、11、12、13、及14係根據不同實施例繪示出晶圓於製造階段的截面圖。
以下公開許多不同的實施方法或是例子來實行本發明實施例之不同特徵,以下描述具體的元件及其排列的實施例以闡述本發明實施例。當然這些實施例僅用以例示,且不該以此限定本發明實施例的範圍。例如,在說明書中提到第一特徵形成於第二特徵之上,其包括第一特徵與第二特徵是直接接觸的實施例,另外也包括於第一特徵與第二特徵之間另外有其他特徵的實施例,亦即,第一特徵與第二特徵並非直接接觸。此外,在不同實施例中可能使用重複的標號或標示,這些重複僅為了簡單清楚地敘述本發明實施例,不代表所討論的不同實施例及/或結構之間有特定的關係。
此外,其中可能用到與空間相關用詞,例如「在...下方」、「下方」、「較低的」、「上方」、「較高的」及類 似的用詞,這些空間相關用詞係為了便於描述圖示中一個(些)元件或特徵與另一個(些)元件或特徵之間的關係,這些空間相關用詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相關形容詞也將依轉向後的方位來解釋。
第1圖係根據一些實施例繪示出積體電路製造方法100的流程圖。第2A、2B、3A、3B、3C、4、7、8、及10-14圖係根據一些實施例繪示出晶圓200於不同製造階段的截面及上視圖。方法100、晶圓200、及於此方法使用的系統參照這些及其他圖式一同描述。
方法100包括操作102,塗佈晶圓200的邊緣部分。在本實施例中,晶圓200為半導體晶圓,例如矽晶圓。在一些實施例中,晶圓200可包括其他元素半導體,例如鍺;化合物半導體包括矽鍺(silicon germanium)、碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)、及/或銻化銦(indium antimonide)、或上述之組合。在進一步的實施例中,那些半導體材料膜層可磊晶成長於矽晶圓上。在一些其他的實施例中,晶圓200可為其他材料的基板。
晶圓200具彼此相對的前表面200A及背表面200B。形成一或多個-積體電路,部分形成於或將形成於晶圓200之前表面200A上。因此,晶圓200之前表面200A包含圖案化材料層或將圖案化的材料層。舉例而言,前表面200A可包括各種 隔離結構(例如淺溝槽隔離特徵)、各種摻雜特徵(例如摻雜井區、或摻雜源極及汲極特徵)、各種元件(例如電晶體、二極體、影像感測器、或電阻)、各種導電特徵(例如內連線結構的接點、金屬線、及/或導孔)、封裝材料層(例如焊墊及/或鈍化層)、或上述之組合。在完整製造的半導體晶圓上,上述全部的材料層及圖案可出現在半導體晶圓200的前表面200A上。在本實施例中,半導體晶圓200仍在製造中,上述材料層的子集合可能形成於前表面200A上。
將透過微影製程圖案化晶圓200,例如晶圓上的頂材料層或晶圓的矽基板。晶圓200包括電路區域202和包圍電路區域202的邊緣部分204。電路區域202為晶圓200中於晶圓的前表面200A上形成積體電路的區域。電路區域202包括多個積體電路,將在後段製造中被切割以形成多個積體電路晶片。電路區域202亦包括積體電路晶片之間的切割線。在切割線中為了不同的測試、監測、及製造的目的而形成不同的測試圖案。晶圓200的邊緣部分204為無電路的區域,且在製造中不會被圖案化。邊緣部分204包括位於前表面200A邊緣的部分,且可更包括邊框表面及晶圓背表面200B邊緣的部分。在操作102中,如第3A圖所示,以保護層(或第一保護層)206塗佈邊緣部分204,在邊緣部分204上直接沉積且形成光阻材料因而受到限制。根據不同的實施例,塗佈晶圓200邊緣部分204可透過合適的機制實現。
選擇性塗佈邊緣部分204以於晶圓200的邊緣部分204上形成保護層206。形成保護層206以避免因光阻層塗佈於 晶圓的邊緣部分上所造成的各種問題。這些問題包括剝落、水平、及汙染(尤其是在極紫外光(EUV)微影製程中所使用光阻的金屬汙染)。在一些實施例中,選擇性塗佈製程包括噴塗,以於晶圓200邊緣部分204上形成保護層206。特別是,噴塗製程可使用如第4圖所繪示的噴塗設備400。噴塗設備400包括設計以固定晶圓200以進行噴塗的晶圓平台402。可操作晶圓平台402以圍繞軸404旋轉,使固定於其上的晶圓200亦旋轉。噴塗設備400亦包括噴嘴406,其設計以噴射保護化學溶液,例如來自連接至噴嘴之化學物質供應器408的聚合物溶液。配置噴嘴406以瞄準晶圓200的邊緣部分204,並能向其噴射化學溶液。操作102包括噴射化學溶液至晶圓200的邊緣部分204,並同時旋轉晶圓200,使化學溶液塗佈於邊緣部分204上。在一些例子中,保護層206具有介於500埃至100埃之間的厚度。
在本實施例中,塗佈於晶圓200邊緣部分204上的化學溶液包括酸不穩定基團(acid-labile group,ALG)、溶解度控制單元、及熱酸生成劑(thermal acid generator,TAG)的化學混合物。化學溶液更包括適當的溶劑,例如有機溶劑或水溶劑。具有適當烘烤溫度的熱製程將觸發熱酸生成劑以釋放酸;產生的酸將與酸不穩定基團反應,導致形成作為保護層的聚合物材料層。在本範例中,溶解度控制單元與酸不穩定基團化學結合,受產生的酸觸發而形成交聯(cross-linked)聚合物材料層。在其他例子中,化學溶液可更包括其他單體(monomer)。在這種情況下,酸不穩定基團最初化學鍵合至單體上。所產生的酸與酸不穩定基團反應,造成酸不穩定基團從單體上裂解, 且單體與溶解度控制單元結合以形成聚合物。化學溶液對於熱製程敏感,但並無光敏組成物,與光阻不同。
在一些實施例中,酸不穩定基團包括叔丁氧羰基(t-butoxycardbonyl,tBOC)。根據其他實施例,第5圖提供酸不穩定基團500的其他範例。根據慣例,於上式中未標示出碳和氫。在一些實施例中,熱酸生成劑選自NH4 +C4F9SO3 -及NH4 +CF3SO3 -。根據其他實施例,第6圖提供熱酸生成劑600的其他範例。根據慣例,於上式中未標示出碳和氫。在一些實施例中,溶解度控制單元選自內酯(lactone)、酯(ester)、醚(ether)、酮(ketone)、及上述之組合。
化學溶液中的酸不穩定基團、溶解度控制單元、及熱酸生成劑以特定比例混和。化學溶液中酸不穩定基團及溶解度控制單元的總重量W0用以作為參考。酸不穩定基團的重量佔總重量W0的比例在30%與70%之間。溶解度控制單元的重量佔總重量W0的比例在70%與30%之間。熱酸生成劑的重量佔總重量W0的比例在3%與20%之間。
溶解度控制單元被設計以控制保護層於特定去除化學物質中的溶解度。因此,可以此特定去除化學物質選擇性地去除保護層,而此時可留下光阻層。換句話說,此特定去除化學物質可溶解對應於溶解度控制單元的保護層206之化學基團,且因此可溶解保護層。由於光阻層無溶解度控制單元,光阻層留在去除化學物質中。在本實施例中,特定去除化學物質為具有丙二醇甲醚(propylene glycol methyl ether,PGME)及丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA) 混合物的化學溶液(或去除溶液)。在此實施例更進一步的發展中,去除溶液包括70%的丙二醇甲醚及30%的丙二醇甲醚醋酸酯,因此亦稱為OK73。
操作102更包括固化製程,固化保護化學溶液以形成保護層206,例如在升高的溫度下或以紫外光照射固化,造成塗佈的化學溶液交聯以形成聚合物材料,作為稍早所述之邊緣部分204處的保護層206。在一些實施例中,固化製程為熱烘烤製程,其烘烤溫度足夠高以觸發熱酸生成劑以釋放酸。在此考量下,選擇熱酸生成劑使熱固化製程中的烘烤溫度接近於曝光後烘烤(post-exposure baking)的溫度TPEB,如TPEB±20℃,例如介於130℃與170℃之間的範圍。熱固化製程可持續約60秒的時間。
在一些實施例中,如第7圖的截面圖中所繪示,選擇性塗佈機制包括使用具特別設計的阻擋器702。設計阻擋器702的形狀、尺寸、及配置以避免晶圓200的電路區域202被保護化學溶液塗佈。例如,阻擋器702包括其大小與晶圓200的電路區域202匹配並覆蓋晶圓200的電路區域202的圓形。在一些例子中,如第8圖截面圖中所繪示,用於此目的的阻擋器802可具有不同的形狀以有效避免晶圓200的電路區域202被保護化學溶液塗佈。第9圖為阻擋器802之示意圖。阻擋器802包括連接在一起的圓盤902及簾緣(curtain edge)904。在選擇性塗佈製程之中,安置阻擋器802使晶圓的電路區域202大抵從上面及側邊被覆蓋,因此保護化學溶液無法分配至電路區域202。具體而言,圓盤902具與晶圓200的電路區域202之半徑R相等或近似 的半徑。
再參照第1圖,於操作102中在晶圓200邊緣部分204上形成保護層206之後,方法100進行至操作104,如第3A圖所示,塗佈光阻層208於晶圓200上。具體而言,塗佈光阻層208於晶圓200前表面200A上的電路區域202中,而光阻層208於邊緣部分受到限制。可替換地,如第3B圖所示,由於水、保護層、及光阻材料之間的表面張力與成分的差異,光阻層208的邊緣可具不同的幾何形狀,例如圓化的邊緣。在其他範例中,如第3C圖所繪示,光阻層208可傳至邊緣部分204但大抵位於保護層206上。
光阻層208對於微影曝光製程中所使用的輻射敏感,並具有抗蝕刻(或佈植)的能力。在一實施例中,以旋轉塗佈製程形成光阻層208。在一些實施例中,光阻層更進一步以軟烘烤製程處理。在一些實施例中,光阻層208對於輻射例如I-線(I-line)光、深紫外光(deep ultraviolet(DUV)(例如氟化氪(krypton fluoride,KrF)準分子雷射器(excimer laser)的248nm輻射或氟化氬(argon fluoride,ArF)準分子雷射器的193nm輻射)、極紫外光(extreme ultraviolet,EUV)光(例如135nm的光)、電子束(electron beam、e-beam)、及離子束敏感。在一些例子中,光阻層208在輻射曝光後於可溶解於正型(positive tone)顯影劑中。
光阻層208可包括光敏化學物質、聚合物材料、及溶劑。在一些實施例中,光阻層208使用化學增幅型(chemical amplification,CA)光阻材料。例如,化學增幅型光阻材料為正 型並包括聚合物材料與酸反應之後變成溶於顯影劑中的聚合物材料。在另一例子中,化學增幅型光阻材料為負型,並包括聚合物材料與酸反應之後變成不溶於顯影劑如鹼溶液的的聚合物材料。在又另一個例子中,化學增幅型光阻材料包括聚合物與酸反應之後改變其極性的聚合物材料,使得在顯影製程中,取決於顯影劑的類型(有機溶劑或水溶劑),曝光的部分或未曝光的部分將被移除。在一例子中,化學增幅型光阻包括光酸生成劑(photo-acid generator,PAG)作為光敏化學物質,並可包括其他光敏化學物質,例如用於極紫外光化學增幅型光阻材料的感光劑。化學增幅型光阻材料中的聚合物材料可更進一步包括酸不穩定基團。如上所述,保護層206為交聯的聚合物,因此在光阻塗佈時不會溶解。
參見第1及10圖,方法100進行至操作106,以特定的去除溶液例如本範例中的去除溶液OK73將保護層206從晶圓移除,以選擇性地移除保護層206。因此,晶圓200的邊緣部分204無光阻層208。此外,由於去除溶液係設計以選擇性地移除保護層206,在移除保護層206之後,留下光阻層208。由於保護層206可能對微影系統(用以進行曝光製程)以及後續於微影系統中曝光的晶圓造成汙染,對光阻層施以曝光製程之前,先移除保護層206。
在方法100中,各種操作例如旋轉塗佈、烘烤、移除保護層、及顯影於集結式機台(cluster tool)稱為軌道移載(track)機台(或潔淨軌道移載(clean track)機台)中實施。軌道移載機台包括設計用以在化學製程或熱製程中固定晶圓之多個 平台(stage),分別稱為化學平台及熱平台。可操作每個化學平台以旋轉固定的晶圓,並在晶圓旋轉時以噴嘴分配化學物質至晶圓上。化學平台可用於旋轉塗佈、顯影、清潔、及去除(例如剝離光阻)。熱平台設計以固定晶圓並加熱固定的晶圓,作為熱板。熱平台可用於各種烘烤,例如曝光後烘烤。晶圓可於軌道移載機台中轉移至不同的平台以進行各種化學及熱製程。在本發明實施例方法100中,操作104及106於軌道移載機台的相同的化學平台(於下列敘述中稱為第一化學平台)上實行,以增加效率。具體而言,當晶圓轉移至第一化學平台時,為了塗佈光阻,安置第一噴嘴(或管尖或噴頭)以分配第一化學物質(即為光阻材料的溶液)至第一化學平台上所固定的晶圓200,之後為移除第一保護層206,安置第二噴嘴以分配第二化學物質(即為去除溶液,更具體而言,本發明實施例中的OK73)至留在第一化學平台上的晶圓200。
參見第1及11圖,方法100進行至操作108,在微影系統中對光阻層208進行對輻射1110的曝光製程。如上所述,輻射1110可為I-線(I-line)光、深紫外光(deep ultraviolet(DUV)、極紫外光(extreme ultraviolet,EUV)光、或其他合適的輻射。操作108可於空氣中、於液體中(浸潤式微影)、或於真空(例如用於極紫外光微影及電子束微影)進行。在一些實施例中,以罩幕1112例如透射式罩幕或反射式罩幕圖案化輻射束1110,其可包括解析度增強技術例如相位移(phase-shifting)、離軸照明(off-axis illumination,OAI)、及/或光學接近修正(optical proximity correction,OPC)。在一些實施例中,以預 定義圖案例如積體電路佈局直接調變輻射束,而不使用罩幕(例如使用數位圖案產生器或直寫(direct-write)模式)。在所繪示的實施例中,輻射束被引導至透射式罩幕1112,其包括透明基板(例如熔融石英)1114、圖案化不透明層(例如鉻)1116。
在操作108之後,潛在的圖案形成於光阻層208之上。光阻層潛在的圖案指光阻層上曝光的圖案,其終將透過例如顯影製程成為物理光阻圖案。光阻層208的潛在圖案包括未曝光部分208a及曝光部分208b。在本範例中使用具光酸生成劑的化學增幅型光阻材料,在曝光製程中於曝光部分208b中產生酸。在潛在圖案中,光阻層208的曝光部分208b物理性或化學性改變。在一些例子中,曝光部分208b未被保護,導致雙調(dual-tone)成像(顯影)的極性改變。在其他實施例中,曝光部分280在聚合中改變,例如在正型光阻中解聚(depolymerized)或在負型光阻中交聯。
參見第1及12圖,在操作108的曝光製程之後且在後續操作例如曝光後烘烤及顯影之前,方法100接著進行至操作110,塗佈第二保護層1202於晶圓200的邊緣部分204之上。因此,在這些操作中,晶圓200的邊緣部分204受保護,以免於任何汙染。第二保護層1202在組成及形成方面大致與第一保護層206相同。例如,化學溶液首先以旋轉塗佈塗佈在晶圓200的邊緣部分204,接著固化以形成聚合物材料作為第二保護層1202。化學溶液包括酸不穩定基團、溶解度控制單元、及熱酸生成劑。化學溶液更進一步包括合適的溶劑,例如有機溶劑及水溶劑。具適當烘烤溫度的熱製程將觸發熱酸生成劑釋放酸; 產生的酸進一步與酸不穩定基團反應,導致形成聚合物材料。
參見第1圖,方法100接著進行至操作112,對晶圓200,尤其是塗佈於晶圓200上的光阻層208進行曝光後烘烤(post-exposure baking,PEB)製程。在曝光後烘烤製程中,更多酸產生,且光阻層208曝光的部分化學性改變(例如更親水(hydrophilic)或更疏水(hydrophobic))。在一具體實施例中,可在溫度介於90℃至130℃之間的熱腔室中進行曝光後烘烤製程。曝光後烘烤製程可持續大約60秒的時間。
根據一些實施例,參見第1及13圖,方法100接著進行至操作114,於顯影劑中顯影曝光的光阻層208。經由顯影製程,形成圖案化光阻層。在一些實施例中,光阻層208在操作106之後經歷極性改變,並且可實現雙調顯影製程。在一些例子中,光阻208由非極性狀態(疏水狀態)改變為極性狀態(親水狀態),接著,將以水溶劑例如四甲基氫氧化銨(tetramethyl ammonium hydroxide,TMAH)移除曝光部分208b(正型成像),或者將以有機溶劑例如乙酸丁酯(butyl acetate)移除未曝光部分208a(負型成像)。在一些其他例子中,光阻層208由極性狀態改變為非極性狀態,於是將以有機溶劑移除曝光部分208b(正型成像)或將以水溶劑移除未曝光部分208a(負型成像)。
在第13圖所繪示的本範例中,於顯影製程中移除曝光部分208b。在第13圖進一步的例子中,圖案化光阻層由兩線圖案表示。然而,以下的討論同樣適用於以溝槽表示的光阻圖案。
參見第1及14圖,方法100接著進行至操作116,例 如在本範例中,以特定去除溶液將第二保護層1202從晶圓移除。操作116大抵上與操作106相似。例如,去除溶液設計以選擇性移除第二保護層1202(其與第一保護層206組成相同),在移除第二保護層1202之後留下光阻層208。在操作114的顯影製程之後移除第二保護層1202。特別是,為了效率及生產量,操作114及116在軌道移載機台的相同化學平台(稱為第二化學平台)上依序實施。當晶圓200轉移至第二化學平台時,為了顯影,安置第一噴嘴以分配第一化學物質(即為顯影劑)至固定在第二化學平台上的晶圓200,且為移除第二保護層1202,在之後安置第二噴嘴以分配第二化學物質(即為去除溶液,具體而言為本發明實施例中的OK73)至留在第二化學平台上的晶圓200。
繼續參見第1及14圖,方法100包括操作118,使用圖案化光阻層作為罩幕,對晶圓200進行製造製程,使得製造製程僅施於晶圓200在圖案化光阻層開口中的部分,而其他被圖案化光阻層覆蓋的部分被保護而不受製造製程的影響。在一些實施例中,製造製程包括使用圖案化光阻層作為蝕刻罩幕,對晶圓200(晶圓上的頂材料層)進行的蝕刻製程,因而將圖案化光阻層的圖案轉移至晶圓(或晶圓上的頂材料層)。在另一些實施例中,製造製程包括使用圖案化光阻層作為佈植罩幕,對晶圓200進行的離子佈植製程,因而在晶圓200中形成各種摻雜特徵。
在一些例子中,頂材料層為硬罩幕層。進一步發展此實施例,光阻層首先從圖案化光阻層轉移至硬罩幕層,接著至晶圓200的其他層。例如,可使用乾(電漿)蝕刻、濕蝕刻、 及/或其他蝕刻方式透過圖案化光阻層的開口蝕刻硬罩幕層。舉例而言,乾蝕刻製程可以含氧氣體、含氟氣體、含氯氣體、含溴氣體、含碘氣體、其他合適的氣體及/或電漿、及/或上述之組合。在蝕刻硬罩幕層時,圖案化光阻層可部分或完全消耗。在一實施例中,可剝離圖案化光阻層的餘留部分,在晶圓上留下圖案化的硬罩幕層。
雖然未繪示於第1圖中,方法100可在上述的操作之前、之中、或之後包括其他的操作。在一實施例中,晶圓200為半導體基板,且進行方法100以形成鰭狀場效電晶體(fin field effect transistor,FinFET)結構。在此實施例中,方法100包括於晶圓的半導體基板中形成複數個主動鰭片。進一步發展此實施例,操作110更包括透過圖案化硬罩幕的開口蝕刻基板以於基板中形成溝槽;以介電材料填充溝槽;進行化學機械研磨(chemical mechanical polishing,CMP)以形成淺溝槽隔離(shallow trench isolation,STI)特徵;以及磊晶成長或凹蝕淺溝槽隔離特徵以形成鰭狀主動區。在另一實施例中,方法100包括其他操作以於晶圓200的半導體基板上形成複數個閘極電極。方法100可更進一步形成閘極間隔物、摻雜源極/汲極區域、閘極/源極/汲極特徵的接點等。在另一實施例中,目標圖案為在多層內連線結構中形成金屬線。舉例而言,可於基板的層間介電層(inter-layer dielectric,ILD)中形成金屬線,其透過操作118蝕刻以形成複數個溝槽。方法100進行至以導電材料例如金屬填充溝槽;以及以製程如化學機械研磨(chemical mechanical planarization,CMP)研磨導電材料以露出圖案化層 間介電層,因而於層間介電層中形成金屬線。以上為根據本發明實施例各個方面使用方法100形成及/或改進的元件/結構的非限制性範例。
如上所述,半導體晶圓200可為製造積體電路的中間結構,或其一部分,可包括邏輯電路、記憶體結構、被動元件(例如電阻、電容、及電感)、及主動元件例如二極體、場效電晶體(field-effect transistors,FETs)、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistors,MOSFET)、互補式金屬氧化物半導體場效(complementary metal-oxide semiconductor,CMOS)電晶體、雙極電晶體、高壓電晶體、高頻電晶體、鰭狀場效電晶體(fin-like FETs,FinFETs)、其他三維(three-dimensional(3D))場效電晶體、其他記憶體單元、及上述之組合。
本發明實施例提供一種微影製程的方法。本發明實施例的方法包括塗佈光阻邊緣,使得限制光阻材料塗佈於晶圓的前表面上的電路區域中,因此晶圓邊緣或者在微影圖案化方法中無光阻材料,或者未直接被光阻塗佈。因此,晶圓被(第一/第二)保護層保護,以消除各種顧慮,包括微影製程中的金屬汙染。特別是,當為了曝光製程將晶圓轉移至微影系統時,更進一步移除移除保護層以避免第一保護層本身對微影系統的汙染。
本發明實施例提供相較於現有技術的優點,然而可理解的是,其他實施例可提供其他優點,並非所有優點必須在此討論,且沒有所有實施例都需要的特別優點。藉由使用本 發明實施例的方法,消除了光阻材料於晶圓邊緣上的堆積及相關的問題(例如汙染及光阻剝離)。在其他例子中,本發明實施例的方法以形成受保護的晶圓邊緣為易於實施的,因此製造成本降低且生產量增加。此外,晶圓邊緣修正不會造成額外的汙染。在其他例子中,各種操作(例如操作104及105或操作114及116)可一同實施於軌道移載機台相同的晶圓平台上,以增加製程效率及降低生產成本。
因此,本發明實施例提供一種半導體製造方法。此方法包括以包括酸不穩定基團(acid-labile group)、溶解度控制單元、及熱酸生成劑之化學混合物的第一化學溶液塗佈晶圓之邊緣部分;固化第一化學溶液以於晶圓之邊緣部分上形成第一保護層;塗佈光阻層於晶圓的前表面上;以第一去除溶液移除第一保護層;以及對光阻層進行曝光製程。
本發明實施例提供一種半導體製造方法,其中第一保護層包括介於500埃至100埃之間的厚度;以及光阻層包括介於150埃至250埃之間的厚度。
本發明另一實施例提供一種微影圖案化方法。此方法包括以聚合物材料的第一保護層塗佈晶圓之前表面的邊緣部分;於晶圓之前表面上塗佈光阻層;移除第一保護層;對光阻層進行曝光製程;以聚合物材料的第二保護層塗佈晶圓之前表面的邊緣部分;對光阻層進行曝光後烘烤製程;對光阻層進行顯影製程以形成圖案化光阻層;以及移除第二保護層。
本發明另一實施例提供一種微影圖案化方法。此方法包括以包括酸不穩定基團(acid-labile group)、溶解度控制 單元、及熱酸生成劑之化學混合物的第一化學溶液塗佈晶圓之邊緣部分;固化第一化學溶液以於晶圓之邊緣部分上形成第一保護層;塗佈光阻層於晶圓的前表面上;以包括丙二醇甲醚(propylene glycol methyl ether,PGME)及丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)的去除溶液移除第一保護層;以及之後,對光阻層進行曝光製程。
本發明實施例提供另一實施例提供一種微影圖案化方法。此方法包括以包括酸不穩定基團(acid-labile group)、溶解度控制單元、及熱酸生成劑之化學混合物的第一化學溶液塗佈晶圓之邊緣部分;固化第一化學溶液以於晶圓之邊緣部分上形成第一保護層;塗佈光阻層於晶圓的前表面上;以包括丙二醇甲醚(propylene glycol methyl ether,PGME)及丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)的去除溶液移除第一保護層;以及之後對光阻層進行曝光製程,其中溶解度控制單元選自內酯(lactone)、酯(ester)、醚(ether)、酮(ketone)、及上述之組合。
本發明實施例提供另一實施例提供一種微影圖案化方法。此方法包括以聚合物材料的第一保護層塗佈晶圓之前表面的邊緣部分;於晶圓之前表面上塗佈光阻層;以包括丙二醇甲醚(propylene glycol methyl ether,PGME)及丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)的去除溶液移除第一保護層;之後,對光阻層進行曝光製程;以聚合物材料的第二保護層塗佈晶圓之前表面的邊緣部分;對光阻層進行曝光後烘烤製程;之後,對光阻層進行顯影製程以形成圖案 化光阻層;以及以包括丙二醇甲醚(propylene glycol methyl ether,PGME)及丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)的去除溶液移除第二保護層。
本發明實施例提供另一實施例提供一種微影圖案化方法。此方法包括以包括酸不穩定基團(acid-labile group)、溶解度控制單元、及熱酸生成劑之化學混合物的第一化學溶液塗佈晶圓之邊緣部分;固化第一化學溶液以於晶圓之邊緣部分上形成第一保護層;塗佈光阻層於晶圓的前表面上;之後,以包括丙二醇甲醚(propylene glycol methyl ether,PGME)及丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)的去除溶液移除第一保護層;對光阻層進行曝光製程;以包括化學混合物的第二化學溶液塗佈晶圓之邊緣部分;以及固化第二化學溶液以於晶圓之邊緣部分上形成第二保護層;對光阻層進行烘烤後製程;對光阻層進行顯影製程以形成圖案化光阻層;且以去除溶液移除第二保護層。
上述內容概述許多實施例的特徵,因此任何所屬技術領域中具有通常知識者,可更加理解本發明實施例之各面向。任何所屬技術領域中具有通常知識者,可能無困難地以本發明實施例為基礎,設計或修改其他製程及結構,以達到與本發明實施例實施例相同的目的及/或得到相同的優點。任何所屬技術領域中具有通常知識者也應了解,在不脫離本發明實施例之精神和範圍內做不同改變、代替及修改,如此等效的創造並沒有超出本發明實施例的精神及範圍。

Claims (16)

  1. 一種微影製程方法,包括:以包括一酸不穩定基團(acid-labile group)、一溶解度控制單元、及一熱酸生成劑之一化學混合物的一第一化學溶液塗佈一晶圓之一邊緣部分;固化該第一化學溶液以於該晶圓之該邊緣部分上形成一第一保護層;塗佈一光阻層於該晶圓的一前表面上;以一第一去除溶液移除該第一保護層;以及對該光阻層進行一曝光製程。
  2. 如申請專利範圍第1項所述之微影製程方法,其中該晶圓之該邊緣部分的塗佈包括噴塗該第一化學溶液至該晶圓之該邊緣部分;以及該第一化學溶液的固化包括對該第一化學溶液進行一第一烘烤製程。
  3. 如申請專利範圍第2項所述之微影製程方法,其中該第一化學溶液的噴塗包括使用配置以瞄準該晶圓之該邊緣部分的一噴頭傳送該第一化學溶液至該晶圓之該邊緣部分;以及在傳送該第一化學溶液時,同時旋轉該晶圓。
  4. 如申請專利範圍第2項所述之微影製程方法,其中該第一烘烤製程包括一烘烤溫度,其可觸發該熱酸生成劑以釋放酸。
  5. 如申請專利範圍第1項所述之微影製程方法,其中該第一去除溶液設計以溶解該溶解度控制單元,其中該第一去除溶液包括70%之丙二醇甲醚(propylene glycol methyl ether,PGME)及30%之丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA);其中該溶解度控制單元選自內酯(lactone)、酯(ester)、醚(ether)、酮(ketone)、及上述之組合。
  6. 如申請專利範圍第1項所述之微影製程方法,更包括:對該光阻層進行該曝光製程之後,以包括該化學混合物的一第二化學溶液塗佈該晶圓之該邊緣部分;以及固化該第二化學溶液以於該晶圓之該邊緣部分上形成一第二保護層。
  7. 如申請專利範圍第6項所述之微影製程方法,更包括:對該曝光的光阻層進行一曝光後烘烤製程;顯影該曝光的光阻層以形成一圖案化光阻層;以及以包括70%之丙二醇甲醚(propylene glycol methyl ether,PGME)及30%之丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)的一第二去除溶液移除該第二保護層。
  8. 如申請專利範圍第7項所述之微影製程方法,其中當該晶圓位於一軌道移載機台(track tool)的一第一晶圓平台上時,實行顯影該曝光的光阻層;以及當該晶圓位於該軌道移載機台的該第一晶圓平台上時,於後實行移除該第二保護層;其中當該晶圓位於該軌道移載機台(track tool)的一第二晶圓平台上時,實行塗佈該光阻層;以及當該晶圓位於該軌道移載機台的該第二晶圓平台上時,於後實行移除該第一保護層。
  9. 如申請專利範圍第1項所述之微影製程方法,其中該酸不穩定基團包括一叔丁氧羰基(t-butoxycardbonyl,tBOC);以及該熱酸生成劑選自NH4 +C4F9SO3 -及NH4 +CF3SO3 -
  10. 如申請專利範圍第1項所述之微影製程方法,更包括:在該曝光製程之後,對該光阻層進行一顯影製程以形成一圖案化光阻層;以及透過該圖案化光阻層的開口對該晶圓之該前表面施加一製造製程。
  11. 一種微影製程方法,包括:以一聚合物材料的一第一保護層塗佈一晶圓之一前表面的一邊緣部分;於該晶圓之該前表面上塗佈一光阻層;移除該第一保護層;對該光阻層進行一曝光製程;以該聚合物材料的一第二保護層塗佈該晶圓之該前表面的該邊緣部分;對該光阻層進行一曝光後烘烤製程;對該光阻層進行一顯影製程以形成一圖案化光阻層;以及移除該第二保護層。
  12. 如申請專利範圍第11項所述之微影製程方法,其中以該第一保護層塗佈該晶圓之該邊緣部分包括對該晶圓之該邊緣部分噴塗一第一化學溶液;以及由一第一烘烤製程固化該第一化學溶液以形成該第一保護層。
  13. 如申請專利範圍第12項所述之微影製程方法,其中以該第二保護層塗佈該晶圓之該邊緣部分包括對該晶圓之該邊緣部分噴塗一第二化學溶液;由一第二烘烤製程固化該第二化學溶液以形成該第二保護層,其中每個該第一及第二化學溶液包括一酸不穩定基團(acid-labile group)、一溶解度控制單元、及一熱酸生成劑;以及每個該第一及第二烘烤製程包括一烘烤溫度,其可觸發該熱酸生成劑以釋放酸。
  14. 如申請專利範圍第13項所述之微影製程方法,其中每個該第一保護層的移除及該第二保護層的移除使用設計以溶解該溶解度控制單元的一去除溶液,其中該去除溶液包括丙二醇甲醚(propylene glycol methyl ether,PGME)及丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA);其中該溶解度控制單元選自內酯(lactone)、酯(ester)、醚(ether)、酮(ketone)、及上述之組合。
  15. 一種微影製程方法,包括:以包括一酸不穩定基團(acid-labile group)、一溶解度控制單元、及一熱酸生成劑之一化學混合物的一第一化學溶液塗佈一晶圓之一邊緣部分;固化該第一化學溶液以於該晶圓之該邊緣部分上形成一第一保護層;塗佈一光阻層於該晶圓的一前表面上;以包括丙二醇甲醚(propylene glycol methyl ether,PGME)及丙二醇甲醚醋酸酯(propylene glycol methyl ether acetate,PGMEA)的一去除溶液移除該第一保護層;以及之後,對該光阻層進行一曝光製程。
  16. 如申請專利範圍第15項所述之微影製程方法,更包括對該光阻層進行該曝光製程之後,以包括該化學混合物的一第二化學溶液塗佈該晶圓之該邊緣部分;以及固化該第二化學溶液以於該晶圓之該邊緣部分上形成一第二保護層。
TW106137365A 2017-08-24 2017-10-30 半導體元件的形成方法 TWI662369B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/685,908 US10073347B1 (en) 2017-08-24 2017-08-24 Semiconductor method of protecting wafer from bevel contamination
US15/685,908 2017-08-24

Publications (2)

Publication Number Publication Date
TW201913723A TW201913723A (zh) 2019-04-01
TWI662369B true TWI662369B (zh) 2019-06-11

Family

ID=63406256

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106137365A TWI662369B (zh) 2017-08-24 2017-10-30 半導體元件的形成方法

Country Status (5)

Country Link
US (3) US10073347B1 (zh)
KR (1) KR102005640B1 (zh)
CN (1) CN109427553B (zh)
DE (1) DE102017127260B4 (zh)
TW (1) TWI662369B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11605538B2 (en) * 2018-10-31 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Protective composition and method of forming photoresist pattern
US11043378B2 (en) * 2018-11-13 2021-06-22 Tokyo Electron Limited Systems and methods for inhibiting detectivity, metal particle contamination, and film growth on wafers
US11121238B2 (en) 2018-11-29 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11289376B2 (en) * 2019-07-31 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd Methods for forming self-aligned interconnect structures
JP7356847B2 (ja) * 2019-09-03 2023-10-05 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US11626285B2 (en) 2019-09-10 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US11664213B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Bevel edge removal methods, tools, and systems
JPWO2022075144A1 (zh) * 2020-10-08 2022-04-14
US20220291587A1 (en) * 2021-03-10 2022-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140186773A1 (en) * 2013-01-02 2014-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8796666B1 (en) * 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9711367B1 (en) * 2016-06-01 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor method with wafer edge modification

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6713236B2 (en) * 2002-07-03 2004-03-30 Infineon Technologies North America Corp. Lithography method for preventing lithographic exposure of peripheral region of semiconductor wafer
KR100791353B1 (ko) * 2005-05-20 2008-01-07 삼성전기주식회사 영구보호피막의 형성방법 및 영구보호피막이 형성된인쇄회로기판
DE102006030266A1 (de) * 2006-06-30 2008-01-03 Advanced Micro Devices, Inc., Sunnyvale Verringern der Kontamination von Halbleitersubstraten während der Metallisierungsbearbeitung durch Bereitstellen einer Schutzschicht am Substratrand
KR20090017120A (ko) * 2007-08-14 2009-02-18 삼성전자주식회사 감광성 조성물을 이용한 블로킹 패턴의 형성 방법 및반도체 장치의 제조 방법
JP2009117832A (ja) * 2007-11-06 2009-05-28 Asml Netherlands Bv リソグラフィの基板を準備する方法、基板、デバイス製造方法、密封コーティングアプリケータ及び密封コーティング測定装置
JP4623324B2 (ja) * 2008-03-18 2011-02-02 信越化学工業株式会社 水酸基を有する単量体、高分子化合物、レジスト材料及びパターン形成方法
KR101522903B1 (ko) * 2008-08-11 2015-05-28 삼성전자주식회사 신너 조성물 및 이를 이용한 감광막의 형성 방법
KR20110065029A (ko) * 2009-12-09 2011-06-15 엘지이노텍 주식회사 인쇄회로기판 및 그 제조방법
KR101896555B1 (ko) * 2011-08-26 2018-09-10 삼성전기주식회사 인쇄회로기판 및 인쇄회로기판 제조 방법
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
KR102310637B1 (ko) * 2015-01-12 2021-10-08 삼성전자주식회사 씬너 조성물 및 이를 이용한 반도체 장치의 제조 방법
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method
US10466593B2 (en) * 2015-07-29 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of patterning a semiconductor device
TWI804224B (zh) * 2016-08-12 2023-06-01 美商英培雅股份有限公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140186773A1 (en) * 2013-01-02 2014-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8796666B1 (en) * 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9711367B1 (en) * 2016-06-01 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor method with wafer edge modification

Also Published As

Publication number Publication date
US10073347B1 (en) 2018-09-11
TW201913723A (zh) 2019-04-01
DE102017127260B4 (de) 2023-08-10
CN109427553B (zh) 2020-12-29
US10635000B2 (en) 2020-04-28
KR20190022260A (ko) 2019-03-06
US11137685B2 (en) 2021-10-05
KR102005640B1 (ko) 2019-07-30
US20200257203A1 (en) 2020-08-13
US20190064669A1 (en) 2019-02-28
DE102017127260A1 (de) 2019-02-28
CN109427553A (zh) 2019-03-05

Similar Documents

Publication Publication Date Title
TWI662369B (zh) 半導體元件的形成方法
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
US20180101095A1 (en) Silicon-Containing Photoresist for Lithography
JP5944484B2 (ja) リソグラフィ適用において感放射線材料のラインを幅狭化する方法
US8338086B2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
TWI830691B (zh) 微影圖案化的方法
US10520821B2 (en) Lithography process with enhanced etch selectivity
US20210341844A1 (en) Lithography method for positive tone development
TWI748635B (zh) 製造半導體元件的方法及製造半導體的裝置
TWI515768B (zh) 微影圖案化方法及雙重圖案化方法
US12019370B2 (en) Method and system for manufacturing a semiconductor device
TWI761987B (zh) 負光阻及其顯影的方法
US11935747B2 (en) Method of manufacturing a semiconductor device
CN110941148B (zh) 半导体装置的制造方法