CN110941148B - 半导体装置的制造方法 - Google Patents

半导体装置的制造方法 Download PDF

Info

Publication number
CN110941148B
CN110941148B CN201910864390.XA CN201910864390A CN110941148B CN 110941148 B CN110941148 B CN 110941148B CN 201910864390 A CN201910864390 A CN 201910864390A CN 110941148 B CN110941148 B CN 110941148B
Authority
CN
China
Prior art keywords
layer
photoresist
photoresist layer
forming
functional group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910864390.XA
Other languages
English (en)
Other versions
CN110941148A (zh
Inventor
刘朕与
林子扬
张雅晴
张庆裕
林进祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110941148A publication Critical patent/CN110941148A/zh
Application granted granted Critical
Publication of CN110941148B publication Critical patent/CN110941148B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0751Silicon-containing compounds used as adhesion-promoting additives or as means to improve adhesion
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Ceramic Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明涉及一种半导体装置的制造方法。本公开的一些实施例提供一种方法,包括:形成第一层于基板之上并形成黏着层于所述第一层之上,其中所述黏着层具有包括环氧基团的组合物。形成光刻胶层,所述光刻胶层直接位于黏着层上。将所述光刻胶层的一部分曝光于辐射源下。利用环氧基团使所述黏着层的组合物与所述光刻胶层的曝光部分交联。通过像是负型显影剂来显影所述光刻胶层以形成光刻胶图案部件,所述光刻胶图案部件可覆盖所形成的交联区域。

Description

半导体装置的制造方法
技术领域
本发明是有关于半导体装置的制造方法,且特别涉及使用多层光刻胶堆栈的半导体装置的图案化制程。
背景技术
半导体集成电路(integrated circuit;IC)工业已历经快速的发展。在集成电路发展的进程中,功能性密度(例如,每一个芯片区域中内连接装置的数目)已经普遍增加,而几何尺寸(例如,制程中所能创造出最小的元件或线路)则是普遍下降。这种微缩化的过程通常通过增加生产效率及降低相关支出提供许多利益。然而,这种微缩化也增加了包含这些集成电路的装置在设计和制造上的复杂度,而且为了实现这些优点,在装置制造上也需要有类似的进步。
在一示例性方面,光刻(photolithography,或简称“lithography”)是在微制造(例如半导体制造)中使用的制程,用以选择性移除部分的薄膜或基板。所述制程使用辐射(例如,光)以将图案(例如,几何图案)从光掩模转移到基板上的光敏层(例如,光刻胶层)。最近,已使用极紫外光(extreme ultraviolet;EUV)辐射源的短曝光波长(例如,小于100nm)的优点来提供缩小的部件尺寸。已做出诸多努力来缩小部件尺寸以改善光刻胶,在一些情况下提供更薄的或多层的光刻胶。在一些实施例中,光刻和材料上的这些进步通常是有利的,但并不完全令人满意。举例而言,已经遇到光刻胶临界尺寸均匀性差、线边缘粗糙、光刻胶部件塌陷、和/或缺陷数量增加的问题。基于这些和其它原因,需要有进一步的改善。
发明内容
根据本发明的一实施例,提供一种方法,包括:形成第一层于基板之上;形成黏着层于所述第一层之上,其中所述黏着层具有包括环氧基团的组合物;形成光刻胶层,所述光刻胶层直接位于黏着层上;将所述光刻胶层的一部分曝光于辐射源下;使所述黏着层的组合物与所述光刻胶层的曝光部分交联,其中环氧基团提供所述交联;以及显影所述光刻胶层以形成光刻胶图案部件。
根据本发明的另一实施例,提供一种方法,包括:形成第一层于基板之上;形成黏着层于所述第一层之上,其中所述黏着层包括具有环氧官能基团的聚合物;形成光刻胶层,所述光刻胶层直接位于黏着层上;利用极紫外光(extreme ultra-violet;EUV)辐射源曝光所述光刻胶层;烘烤所述曝光光刻胶层;其中在所述曝光或所述烘烤的至少一个期间,所述黏着层的环氧官能基团与所述曝光光刻胶层交联,在所述曝光光刻胶层的一部分和所述黏着层之间产生交联区域;施加负型显影剂(negative tone developer;NTD)来显影所述光刻胶层以形成光刻胶图案部件,其中所述交联区域位于所述光刻胶图案部件底部;以及使用所述光刻胶图案部件作为蚀刻掩模进行第一蚀刻制程以形成图案化第一层,其中所述第一蚀刻制程将黏着层设置于第一层之上的部分移除。
又根据本发明的另一实施例,提供一种方法,包括:形成硬掩模层于基板之上;旋涂黏着层于所述硬掩模层之上并直接与所述硬掩模层交界;形成光刻胶层于所述黏着层之上并直接与所述黏着层交界;曝光所述光刻胶层的第一区域;在所述黏着层和所述光刻胶层的第一区域之间形成交联区域;以及使用负型显影剂来显影所述光刻胶层以形成光刻胶图案部件于所述交联区域之上。
附图说明
图1是根据本发明各个方面示出一示例性方法的流程图。
图2~12是根据本发明各个方面示出一示例性方法的中间步骤处的示例性装置的局部剖面图。
图13、14、15也是一示例性方法的中间步骤处的示例性装置的局部剖面图,并且进一步详细地示出示例性方法中提供的界面。
附图标记的说明
100~方法
102、104、106、108、110、112、114、116、118、120、122~方框
200~装置
202~基板
204~目标层
204a~图案化目标层
206~底层
206a~图案化底层
208~中间层
208a~图案化中间层
210~黏着层
210a~图案化黏着层
212~光阻层
212a~部分
212b~光刻胶图案部件
214~多层光刻胶堆栈
600~交联区域
702~辐射
704~光掩模
1002~环氧环
Tx~厚度。
具体实施方式
本发明实施例可配合以下附图及详细说明来阅读以便了解。要强调的是,依照工业上的标准惯例,各个部件(feature)并未按照比例绘制。事实上,为了清楚之讨论,可能任意的放大或缩小各个部件的尺寸。
以下公开提供许多不同的实施例或是例子来实施本发明实施例的不同部件。以下描述具体的元件及其排列的例子以简化本发明实施例。当然这些仅是例子且不该以此限定本发明实施例的范围。例如,在描述中提及第一个部件形成于第二个部件“之上”或“上”时,其可能包括第一个部件与第二个部件直接接触的实施例,也可能包括两者之间有其它部件形成而没有直接接触的实施例。另外,本发明可能在不同实施例中重复参照符号和/或标记。此重复为了简化与清晰的目的,并非用以限定所讨论的不同实施例和/或结构之间的关系。
发明可能在不同实施例中重复参照符号和/或标记。此重复为了简化与清晰的目的,并非用以限定所讨论的不同实施例和/或结构之间的关系。此外,在本发明中提及一个部件形成于另一个部件“上”、一个部件与另一个部件“连接”、和/或一个部件与另一个部件“耦接”时,其可能包括部件与部件直接接触或直接交界的实施例,也可能包括两者之间有其它部件形成介入而没有直接接触的实施例。此外,其中用到与空间相关的用词,例如:“较低的”、“较高的”、“水平的”、“垂直的”、“上方”、“之上”“下方”、“在…下方”、“上(up)”、“下(down)”、“顶部”、“底部”等、及其衍生的用词(例如:“水平地”、“向下地(downwardly)”、“向上地(upwardly)”等),为了便于描述本发明中一个部件与另一个部件之间的关系。这些空间关系词是用以涵盖包括这些部件的装置的不同方位。更进一步,当以“约(about)”、“大约(approximate)”、及其类似的用词描述一个数字或一个数字范围时,所述用词是用以涵盖在合理范围内的数字,包括所描述的数字,像是在所描述数字的+/-10%以内或本技术领域中技术人员可理解的其它数值。举例而言,用词“约5nm”包含从4.5nm至5.5nm的尺寸范围。
本发明实施例广泛地涉及集成电路(IC)装置制造,且更具体地涉及使用多层光刻胶堆栈的装置图案化制程。然而,本技术领域中技术人员可理解此处所提供黏着层的特定实施例的其它实施方式也包含在本发明的范围内。
当半导体部件的尺寸缩小到28纳米或更小的范围时,光刻胶部件塌陷在半导体制造中不容忽视。这种部件的塌陷或剥落会影响图案的再现性。因此,本发明实施例提供了多层光刻胶堆栈和其相应的制造方法,在一些实施例中通过在多层光刻胶的层之间提供有利的黏着来减少光刻图案化制程期间的部件塌陷。
图1根据本发明一些方面示出图案化装置的方法100的流程图。方法100仅仅是一示例,并无意将本发明限制为超出权利要求中明确记载的内容。可在方法100之前、期间、和之后进行附加的操作,且可在制程的其它实施例中被取代、删除、或移动所述的一些操作。下文参照图2~12所示装置200的剖面图描述方法100的中间步骤,而图13~15则对于在方法100的一些实施例中形成于界面处的化学结构提供了附加的说明。
参照图1的方框102,方法100提供(或提供有)一装置,所述装置包括用于图案化的基板。参照图2的示例,装置200包括基板202。基板202可包括元素(单一元素)半导体,例如晶体结构的锗和/或硅;化合物半导体,例如碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、和/或锑化铟;合金半导体,例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、和/或GaInAsP;非半导体材料,例如钠钙玻璃、熔融硅石(fused silica)、熔融石英(fused quartz)、和/或氟化钙(CaF2);和/或前述的组合。基板202可以是具有均匀组成的单层材料;或者,基板202可包括具有适合于集成电路(IC)装置制造的相似或不同成分的多个材料层。在一示例中,基板202可以是绝缘体上覆硅(silicon-on-insulator;SOI)基板,其具有形成于氧化硅层上的半导体硅层。在其它示例中,基板202可包括导电层、半导体层、介电层、其它层、和/或前述的组合。
基板202可包括形成于其上的各种电路部件,包括例如与场效晶体管(fieldeffect transistors;FETs)、金属氧化物半导体场效晶体管(metal-oxide semiconductorfield effect transistors;MOSFETs)、互补式金属氧化物半导体(complementary metal-oxide semiconductor;CMOS)晶体管、高压晶体管、高频晶体管、双极性接面晶体管(bipolar junction transistors)、二极管、电阻器、电容器、电感器、变容器(varactors)、其它合适的装置、和/或前述的组合相关的部件。
在基板202包括场效晶体管(FETs)的一些实施例中,各种掺杂区域(像是源极/漏极区域)形成于基板202上。根据设计需求,掺杂区域可掺杂有p型掺杂物(像是磷或砷)和/或n型掺杂物(像是硼或BF2)。掺杂区域可为平面的或非平面的(例如,在鳍状场效晶体管(fin-like FET)装置中)并且可直接形成于基板上、p型井结构中、n型井结构中、双井结构中,或者使用抬升的结构。可通过植入掺杂物原子、原位(in-situ)掺杂磊晶生长、和/或其它合适的技术来形成掺杂区域。在一些实施例中,基板202包括从顶表面延伸的鳍片元件,且多栅极场效晶体管(FET)(例如,鳍状场效晶体管)的栅极也形成于前述的顶表面上方。在一实施例中,以下所述目标层204的图案化部件提供了设置于鳍片之上的栅极结构,如图2~12的某些方面所示,然而,本方法100不限于此。此外,在一些实施例中,方法100可用以形成掩模(masking)元件(例如,图11),所述掩模元件是用来定义基板202中用于进一步处理的区域,例如离子植入、磊晶生长、沉积、和/或其它合适的制程。
在一些实施例中,基板202可替代地为光掩模基板,所述光掩模基板可包括低热膨胀材料(low thermal expansion material;LTEM),像是石英、硅、碳化硅、氧化硅-氧化钛化合物、和/或其它合适的材料。举例而言,基板202可用来提供用于深紫外光(deepultraviolet;DUV)光刻、极紫外光(extreme ultraviolet;EUV)光刻、和/或其它光刻制程的光掩模。图1的方法100的图案化制程可用来形成部件于光掩模基板上,以便在随后的光刻制程中用以形成半导体部件于单独的(separate)基板上。
继续参照图2,其示出了设置于所提供的基板202之上的目标层204。应注意的是,在一些实施例中,基板202本身是使用方法100的步骤所产生的图案来进行处理的目标。也即,举例而言,省略目标层204。目标层204可具有适用于形成装置的任何组成。在一些实施例中,目标层204是硬掩模层,其包括像是非晶硅(a-Si)、氧化硅、氮化硅(SiN)、氮化钛、和/或其它合适的组合物。在一实施例中,目标层204可为抗反射涂布层、介电层(例如,高介电常数(high-k)介电层)、栅极层(例如,多晶硅)、导电层、像是层间介电(interlayerdielectric;ILD)层或导线或导孔(vias)的内连接层、和/或用于制造需要图案化的半导体装置的各种其它层和/或材料。可通过一个或多个沉积制程将目标层204形成于基板202之上,例如:物理气相沉积(physical vapor deposition;PVD)、化学气相沉积(chemicalvapor deposition;CVD)、原子层沉积(atomic layer deposition;ALD)、旋涂(spin-oncoating)、和/或其它合适的沉积方法。
参照图1的方框104,方法100于基板之上继续形成多层光刻胶的底层。参照图3的示例,底层206形成于基板202和目标层204(如果存在)之上。在一实施例中,底层206具有有机材料组合物。举例而言,底层206可包括碳(C)、氢(H)、和/或氧(O)的组合物。在一些实施例中,底层206是可图案化的(例如,感光的)。在一些其它实施例中,底层206不包括感光材料。
可通过合适的技术形成底层206,例如旋涂。底层206的有机材料组合物可包含在用于沉积(例如,旋涂)的溶剂中。可使用任何合适的溶剂,包括例如:乙酸正丁酯(n-butylacetate)、甲基正戊基酮(methyl n-amyl ketone)、4-甲基-2-戊醇(4-methyl-2-pentanol)、丙二醇甲醚乙酸酯(propylene glycol methyl ether acetate)、丙二醇甲醚(propylene glycol methyl ether)、γ-丁内酯(gamma-butyrolactone)、乳酸乙酯(ethyllactate)、环己酮(cyclohexanone)、乙基酮(ethyl ketone)、二甲基甲酰胺(dimethylformamide)、醇(例如,乙醇和甲醇)、其它合适的溶剂、或前述的组合。可通过随后的烘烤制程(例如,曝光前烘烤)将溶剂驱除。
底层206可作为多层光刻胶(photoresist;也简称为resist)堆栈的平坦化层。底层206可作为用来减少反射光的抗反射涂层。因此,在进一步的实施例中,底层206可具有高n值和/或低k值。也可以选择底层206的组成,使其在一些实施例中为目标层204和/或基板202提供足够的蚀刻选择性,底层206可在蚀刻目标层204/基板202的期间作为掩模。
底层206的一个示例性组合物是酚醛清漆树脂(novolac resin),例如以下提供的化学结构,其中“n”代表大于或等于2的整数。
Figure GDA0003358702550000071
包括其它聚合物组合物的其它组合物可用于底层206。如以下进一步所讨论的,底层206是多层图案化方案(多层光刻胶)的下层或底层。如上所述,可以选择底层206的组成,使得底层206执行底部抗反射涂层(bottom anti-reflective coating;BARC)的功能,其组成被选择以在后续形成的光刻胶层的曝光期间,使得施加的辐射源的反射率最小化。在一些实施例中,将底层206沉积至介于约300至1500埃
Figure GDA0003358702550000072
的厚度。底层206可为沉积的共形层。
图1的方法100接着进行到方框106,沉积多层光刻胶图案化方案的“中间”层。参照图4的示例,形成中间层208于底层206之上。在一些实施例中,中间层208直接与底层206交界(interfaces)。在其它实施例中,其它材料可介入中间层208和下方的底层206之间。
中间层208可包括含有硅(Si)、氢(H)、和/或氧(O)的组合物。中间层208可为包含硅的硬掩模层。在一些实施例中,中间层208为旋涂玻璃(spin-on glass;SOG)膜或硅酸盐。举例而言,中间层可以是SiO2。在其它实施例中,中间层可包括氮化物,例如:氮化硅或氮氧化硅。
在一些实施例中,通过旋涂沉积形成中间层208。然而,也可以使用其它合适的沉积方法。中间层208的示例性厚度包括但不限于约30至300埃。
如上所述,底层206和中间层208可提供两层的多层光刻胶堆栈。在三层光刻胶配方的一些施行中,感光层直接设置于三层光刻胶的中间层的顶部上。然而,上覆的(overlying)光刻胶组合物与三层光刻胶配方的中间层之间在结构上的固有差异可能产生弱点。如果没有缓解这种弱点,则会导致上覆的光刻胶部件不预期地从中间层“剥离”。
因此,图1的方法100有利地进行至方框108,形成黏着层(也称为涂层)于中间层之上。在一些实施例中,黏着层直接设置于中间层上。黏着层可为共形(conformal)涂层。此外,黏着层可与上覆的光刻胶层直接交界,如下所述。参照图5的示例,黏着层210设置在中间层208之上。
黏着层210包括聚合物组合物,所述聚合物组合物包括连接至(attached to)聚合物的环氧基团(也称为环氧官能基团)。环氧基团是具有三个原子环的环状醚类,故由于环的应变而具有高反应性。以下提供环氧基团的化学示意图,其中R1或R2提供与聚合物主链的键合,而R1或R2中的另一个是H或任何其它合适的成分。
Figure GDA0003358702550000081
在一实施例中,黏着层210包括具有与其键合的环氧官能基团的硅基聚合物。举例而言,在一些实施例中,黏着层可包括键合有环氧基团的硅氧烷聚合物。举例而言,可提供以下结构示出硅氧烷聚合物主链(n和m大于0),以及与其连接的环氧基团:
Figure GDA0003358702550000091
在一些示例中,m介于约40到90之间。在一些示例中,n介于约10到60之间。
在一些实施例中,通过旋涂制程沉积黏着层210。可通过于基板202之上沉积溶解在合适溶剂中的聚合物(具有环氧官能基团)以实现旋涂制程。旋涂可包括旋转基板202以使聚合物(具有环氧官能基团)形成薄涂层,从而横跨基板202的顶表面提供黏着层210或是提供黏着层210于基板202的上方。可以使用任何合适的溶剂,包括例如:乙酸正丁酯、甲基正戊基酮、4-甲基-2-戊醇、丙二醇甲醚乙酸酯、丙二醇甲醚、γ-丁内酯、乳酸乙酯、环己酮、乙基酮、二甲基甲酰胺、醇(例如,乙醇和甲醇)、其它合适的溶剂、或前述的组合。应注意的是,后续通过烘烤(即,固化)蒸发溶剂以形成黏着层210。
在一些实施例中,黏着层210的厚度介于约50埃至约100埃之间。应注意的是,厚度可影响后续蚀刻制程(例如,中间层208的图案化),因此应如下所述进行控制。
接着,方法100进行到方框110,形成光刻胶层于黏着层上。参照图6的示例,形成光刻胶层212于黏着层210之上。应注意的是,底层206、中间层208、光刻胶层212、以及包括介入的黏着层210形成多层光刻胶堆栈214,用于基板202和/或目标层204的图案化方案。光刻胶层212可为任何对光刻敏感的光刻胶材料,并且在许多实施例中,光刻胶层212包括对辐射源(例如,UV光、深紫外光(DUV)辐射、和/或极紫外光(EUV)辐射,如图7所示)敏感的光刻胶材料。然而,本发明的原则也可以应用于电子束光刻胶和其它直写(direct-write)光刻胶材料。在一实施例中,光刻胶层212包括光刻胶材料,所述光刻胶材料在曝光于辐射源之后聚合(和/或交联)且随之变得更不溶于显影剂。光刻胶层212可为负型显影(negativetone development;NTD)光刻胶,也即,其在显影剂中的溶解度在辐射后降低。用于负型显影(NTD)制程的光刻胶的例子是包括可交联聚合物和交联剂的聚合物材料,其中光刻胶自身的聚合物分子在辐射后交联。应注意的是,光刻胶层212自身内的这种交联(也即,通过提供的聚合物作为光刻胶层212)和以下讨论与黏着层210的环氧基团之间的交联系独立(separate)且不同。
光刻胶层212包括具有感光官能基团的聚合物,例如:光酸产生剂(photo-acidgenerator;PAG)、热酸产生剂(thermal-acid generator;TAG)、光碱产生剂(photo-basegenerator;PBG)、光可分解碱(photo-decomposable base;PDB)、光可分解淬灭剂(photo-decomposable quencher;PDQ)、或其它感光官能基团。示例性光刻胶组合物包括对辐射敏感的光刻胶,前述的辐射例如:I-线光、深紫外(DUV)光(例如,氟化氪(KrF)准分子激光的248nm辐射或氟化氩(ArF)准分子激光的193nm辐射)、极紫外(EUV)光(例如,13.5nm光)、电子束、x-射线、和离子束。举例而言,以下提供示例性对KrF敏感光刻胶组合物和对ArF敏感光刻胶组合物,其中x和y以及a、b、和c是大于1的任意整数。
Figure GDA0003358702550000101
光刻胶层212也可包括沉积时的溶剂,其随后在沉降制程期间、和/或在施加后(post-application)/曝光前烘烤(pre-exposure baking)制程期间作为旋涂的一部分而被驱除。可通过任何合适的设备(例如,加热板)在适合光刻胶层212的特定组合物和所应用溶剂的任何温度下施行曝光前烘烤制程。
接着,方法100进行至方框112,进行曝光制程。可通过应用深紫外光(DUV)光刻、极紫外光(EUV)光刻、电子束(e-beam)光刻、x-射线光刻、离子束光刻、以及其它光刻制程的***来进行曝光制程。参照图1的方框112以及图7的示例,方法100将光刻胶层212曝光于辐射702下。在一些实施例中,由提供I-线(波长约365nm)、像是KrF准分子激光(波长约248nm)或ArF准分子激光(波长约193nm)的深紫外光(DUV)辐射、极紫外光(EUV)辐射(波长从约1nm至约100nm)、x-射线、电子束、离子束、和/或其它合适的辐射来源提供辐射702。可在空气中、液体(浸没式光刻)中、或真空中(例如,用于EUV光刻和电子束光刻)进行提供辐射702的曝光制程。在所描绘的实施例中,在方框112处的曝光制程和图7的示例使用光掩模704施行光刻技术,所述光掩模704包括提供开口的图案,使得辐射702成为装置200的入射光。光掩模704可为透射掩模或反射掩模,后者可进一步进行解析率(resolution)增强技术,例如:相位移(phase-shifting)、轴外照明(off-axis illumination;OAI)、和/或光学邻近校正(optical proximity correction;OPC)。在替代实施例中,直接图案化辐射702而不使用光掩模704(像是使用数字图案产生器(digital pattern generator)或直写模式)。在一实施例中,辐射702为极紫外光(EUV)辐射,且方框112处的曝光制程是在极紫外光辐射***中进行。相应地,反射光掩模704可用于将光刻胶层212图案化。
如图7所示,将光刻胶层212的部分212a曝光于辐射702下;这些曝光部分212a经历化学变化,而未曝光区域的化学性质大抵上(substantially)保持不变。因此,在方框112处的曝光制程之后,在负型显影(NTD)光刻胶的实施例中,光刻胶层212的曝光部分212a经历光刻胶材料的聚合和/或交联,并因此可变得较不溶于后续施加的显影剂。在一些实施例中,对辐射702曝光也可在曝光部分212a中提供酸离去基团的一些裂解,如以下所讨论。
接着,方法100进行至方框114,进行曝光后烘烤(post exposure bake;PEB)。曝光后烘烤(PEB)可包括烘烤完成方框112之后的装置200。在一实施例中,在介于约摄氏150度至约350度之间进行曝光后烘烤(PEB)。曝光后烘烤制程可在光刻胶层212内催化由方框112处的曝光制程起始的任何化学反应,并且也催化曝光光刻胶层212和黏着层210之间的任何化学反应,如以下所讨论。举例而言,曝光后烘烤制程可加速酸不稳定基团的裂解、加速光刻胶层212内的交联、和/或加速光刻胶层212和黏着层210之间的交联。
依然参照图1的方法100,方法100的方框116中提供的是在黏着层和上覆的光刻胶层之间产生交联。所述交联在黏着层和曝光光刻胶层的上部分之间形成交联区域。方框116可发生在方法100的方框112之后和/或与其同时发生。附加地或替代地,方法100的方框116可与方框114同时发生和/或由方框114的制程所生成。换句话说,黏着层和光刻胶层之间的交联可在曝光时发展和/或经由曝光后烘烤(PEB)促使而发展。
作为曝光光刻胶和黏着层之间交联的一示例,在一实施例中,光刻胶层212包括具有酸不稳定基团的聚合物。在一些实施例中,聚合物中酸不稳定基团的摩尔比介于约20%至约70%之间。酸不稳定基团摩尔比的百分比可影响交联的程度,原因是由于它会影响所产生的-COOH官能基团,进而反过来影响交联的程度,如以下所讨论。在光刻胶层212的曝光期间和/或之后,光刻胶的曝光部分212a的组合物经历酸不稳定基团的裂解,产生了-COOH(羧酸)官能基团。此时连接至光刻胶的曝光部分212a的聚合物上的-COOH官能基团可与黏着层210的可用环氧基团交联。调整将产生于曝光部分212a的聚合物主链上的-COOH官能基团的负载可以调节光刻胶层212对黏着层210的可交联性。也即,在一些实施例中,调整酸不稳定基团的摩尔比会影响交联程度。
在一实施例中,通过黏着层(环氧基团)和光刻胶层212(-COOH基团)的键合(交联)产生交联区域600。所述交联区域600可设置于黏着层210上。在一些实施例中,交联区域600延伸大约15埃至光刻胶层212中。下文参照图13~15进一步讨论方框116和所形成的交联区域(例如,所示的交联区域600)。
继续图1的方法100,方法100进行至方框118,显影此时曝光的光刻胶层。参照图7和图8的示例,将显影剂引入包括曝光光刻胶部分212a的光刻胶层212,以形成图8中示出为光刻胶图案部件212b的光刻胶图案。在一实施例中,施加负型显影剂(NTD)。在一实施例中,所施加的显影剂包括Log P值大于1.82的有机溶剂。参照美国专利第9,459,536号,其描述了合适的显影剂,在此并入全文作为参考。显影剂可包括水性溶剂或有机溶剂。合适的有机基(organic-based)显影剂包括乙酸正丁酯、乙醇、己烷、苯、甲苯、和/或其它合适的溶剂,合适的水性显影剂包括水性溶剂,例如:四甲基氢氧化铵(tetramethyl ammoniumhydroxide;TMAH)、氢氧化钾(KOH)、氢氧化钠(NaOH)、和/或其它合适的溶剂。在使用负型显影(NTD)光刻胶和显影剂的情况下,如图7和图8所示,保留光刻胶层212的曝光部分212a的同时移除未曝光部分,从而在光刻胶层212中形成一个或多个开口。应注意的是,交联区域600留在光刻胶图案部件212b内。
接着,方法100进行至方框120,使用由上述经显影的光刻胶提供的掩模元件光刻胶图案、和/或使用经显影的光刻胶在下方层中提供的图案进行蚀刻制程。以图9作为示例,使用光刻胶图案部件212b蚀刻中间层208。在一些实施例中,用来图案化中间层208的相同蚀刻制程也(大抵上同时地)将黏着层210图案化,从而在单一蚀刻制程期间使用光刻胶图案部件212b作为掩模元件,以提供图案化中间层208a和图案化黏着层210a。应注意的是,在形成图案化黏着层210a时应考虑黏着层210的组成和厚度。如果黏着层210包括碳基材料,为了不影响对黏着层210和中间层208使用单一蚀刻步骤的能力,可能需要相对更薄的图案化黏着层210a。如果黏着层210是硅基层且中间层208也是硅基层,由于组合物提供类似的蚀刻速率,故应可更快地促进单一蚀刻步骤。在一些实施例中,黏着层210的厚度介于约50埃至100埃之间。在一实施例中,黏着层具有环氧基团,其通过合适的蚀刻剂(例如,CF4气体)在中间层的蚀刻期间提供更高的碳百分比和更低的蚀刻速率(相对于中间层)。因此可能需要更薄的黏着层。与前述对薄黏着层的期望相反地,如果厚度太薄(例如,低于50埃),则对于层的制造可能存在疑虑(例如,气泡)。
方框120可继续处理下方层。例如,在一些实施例中,在形成图案化中间层208a之后,在针对底层206的后续蚀刻制程期间使用图案化中间层208a作为掩模元件。在底层206的蚀刻期间,掩模元件可包括图案化中间层208a和设置于其上的图案化黏着层210a。底层206的蚀刻步骤可与用来提供图案化中间层208a的蚀刻步骤分开(例如,使用不同的蚀刻剂)。在底层206的蚀刻期间,可能部分或完全地消耗光刻胶图案部件212b,参照图10。方法100可具有可选的操作以在形成图案化中间层208a之后将光刻胶图案部件212b的剩余部分剥离。举例而言,后续使用任何合适的方法将光刻胶图案部件212b移除,例如通过等离子体灰化(plasma ashing)或使用氧气和/或氮气等离子体冲洗。
因此,蚀刻提供了具有图案化中间层208a设置于其上的图案化底层206a。在一些实施例中,图案化黏着层210a也设置于图案化底层206a和图案化中间层208a之上,如图11所示。交联区域600也可继续设置于包括图案化中间层208a的掩模元件上。在其它实施例中,交联区域600可与光刻胶图案部件212b同时被移除。
接着,方法100进行至方框122,进行继续制程。继续制程可使用包括图案化中间层、图案化底层、和/或图案化黏着层的掩模元件。如图11和图12所示,在蚀刻目标层204的期间,使用图案化底层206a、图案化中间层208a、和/或图案化黏着层210a作为掩模元件来产生图案化目标层204a。在一些实施例中,将图案化中间层208a和图案化黏着层210a移除,并且将图案化底层206a作为掩模元件使用。在其它实施例中,在蚀刻制程之外或是代替蚀刻制程,可使用图案化底层206a、图案化中间层208a、和/或图案化黏着层210a作为掩模元件进行任何合适的方法来处理基板202,所述方法包括附加的蚀刻制程、沉积制程、植入制程、磊晶生长制程、和/或任何其它制造制程。
可以使用任何合适的方法完成方框120和/或方框122的蚀刻,所述方法包括干蚀刻制程、湿蚀刻制程、其它合适的蚀刻制程、反应离子蚀刻(reactive ion etching;RIE)制程、或前述的组合。在一示例性实施例中,施行干蚀刻制程并使用蚀刻剂气体,所述蚀刻剂气体包括含氧气体(例如,O2)、含碳气体(例如,CxHy,其中x和y可为任意整数)、含氟气体(例如,CxFy、CxHyFz、NxFy、和/或SxFy,其中x、y和z可为任意整数)、含氯气体(例如,Cl2、CHCl3、CCl4、和/或BCl3)、含溴气体(例如,HBr和/或CHBR3)、含碘气体、其它合适的气体和/或等离子体、和/或前述的组合。
在一些实施例中,底层206是感光层。因此,方法100(图1)可包括通过包含图案化中间层208a的掩模元件的开口对底层206进行附加的曝光制程。通过使用两个光刻胶层和双曝光制程,可提高制程窗口的保真度(fidelity)并且可实现更小的临界尺寸。
尽管未示出于图1,但方法100可以进行进一步的制程以形成最终的图案或装置。举例而言,方法100可使用目标层204作为蚀刻掩模来蚀刻基板202。举例而言,方法100可于目标层204上方沉积附加层并对附加层进行图案化制程。举例而言,方法100可形成用于定义晶体管主动区域的浅沟槽隔离(shallow trench isolation;STI)部件、可在用于形成鳍状场效晶体管(FinFET)的相应基板中形成鳍状突起、可形成用于晶体管的源极/漏极/栅极接触的接触孔、并且可形成内联机部件(interconnect features)。
接着,可将装置200提供至附加的制造制程。举例而言,装置200可用于制造集成电路芯片、单芯片***(system-on-a-chip;SOC)、和/或前述的一部分,因此后续制造制程可形成各种被动和主动微电子装置,例如:电阻器、电容器、电感器、二极管、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体导体(CMOS)晶体管、双极性接面晶体管(BJT)、横向扩散金属氧化物半导体导体(laterally diffused MOS;LDMOS)晶体管、高功率金属氧化物半导体导体(MOS)晶体管、其它类型的晶体管、和/或其它的电路元件。
再次参照图1方法100的方框108、110、112、114和116,进一步参照第13、14、15图详述提供于黏着层和其上方光刻胶层之间的示例***联。图13大抵上类似于图5的一部分,示出形成于中间层208之上的黏着层210,每个层都已于上文详述。图13示出黏着层210的顶表面包括可用于键合的可用环氧环1002。如上所述,环氧环1002可为连接至黏着层210的聚合物的官能基团。环氧环1002由于三元素环的应力而具有高反应性。聚合物的示例性主链如下所示,并且连接环氧环1002。
Figure GDA0003358702550000151
在一些实施例中,m介于约40到90之间且n介于约10到60之间。在一些实施例中,m大于n。
图14说明包括方框112、114、和116的装置的后续制程,其中光刻胶层212设置于黏着层210之上,引进产生曝光光刻胶部分212a的辐射702来进行曝光制程,其中的每一个都已参照方法100和第6~7图的示例进行描述。图14所示出的是曝光光刻胶部分212a和其下方黏着层210之间的示例***联。也即,黏着层210的环氧环开环,且光刻胶成分与开环的环氧环交联。在一些实施例中,曝光光刻胶部分212a经历与聚合物连接的酸不稳定基团的裂解。酸不稳定基团的裂解产生-COOH(羧酸)官能基团。所述-COOH官能基团可与黏着层210的可用环氧基团交联。方框114的烘烤制程可进一步推进所述交联。交联区域600的厚度Tx可约为15埃。在一些实施例中,交联区域600的厚度Tx可介于约5埃至约25埃之间。应注意的是,交联可能不会在光刻胶层212未经辐射702曝光的部分中发生。第15图示出显影后的光刻胶层212和光刻胶层212未曝光部分的移除。留下交联区域600。
尽管无意限制,但是本发明的一个或多个实施例为半导体装置及其形成制程提供了许多益处。举例而言,在多层光刻胶结构包括黏着层的实施例中,所述实施例通过减轻光刻胶剥离的风险而为光刻图案化制程提供了更好的控制。结果,可通过此处所提供的实施例提供形成具有足够深宽比的光刻胶部件的机会。
在一实施例中,提供了一种方法,包括形成第一层于基板之上。形成黏着层于所述第一层之上。所述黏着层具有包括环氧基团的组合物。形成光刻胶层,所述光刻胶层直接位于黏着层上。将所述光刻胶层的一部分曝光于辐射源下。所述黏着层的组合物与所述光刻胶层的曝光部分是交联的。环氧基团提供所述交联。显影所述光刻胶层以形成光刻胶图案部件。
在另一实施例中,通过旋涂组合物来形成所述黏着层。在一实施例中,所述黏着层的组合物为具有环氧基团的硅基聚合物。在另一实施例中,所述黏着层的组合物为具有环氧基团的硅氧烷聚合物。在一实施例中,所述交联产生光刻胶层的交联区域。所述交联区域位于所述光刻胶层经曝光的一部分和所述黏着层之间。在一实施例中,所述辐射源为极紫外光(EUV)波长辐射源。在一实施例中,显影所述光刻胶层包括提供负型显影剂。在一实施例中,形成所述第一层于所述基板之上包括沉积含硅、氧、和氢的第二组合物。
在另一个更广泛的例子中,一种方法包括形成第一层于基板之上。形成黏着层于所述第一层之上。所述黏着层包括具有环氧官能基团的聚合物。形成光刻胶层,所述光刻胶层直接位于黏着层上。所述方法包括利用极紫外光(EUV)辐射源曝光所述光刻胶层,烘烤所述曝光光刻胶层。在曝光或烘烤中的至少一个期间,所述黏着层的环氧官能基团与曝光光刻胶层交联,在曝光光刻胶层的一部分和所述黏着层之间产生交联区域。所述方法继续施加负型显影剂(NTD)来显影所述光刻胶层以形成光刻胶图案部件,其中所述交联区域位于所述光刻胶图案部件底部。使用所述光刻胶图案部件作为蚀刻掩模进行第一蚀刻制程以形成图案化第一层,其中所述第一蚀刻制程将所述黏着层设置于所述第一层之上的部分移除。
在另一实施例中,所述方法包括使用所述图案化第一层进行第二蚀刻制程以蚀刻底层。在一实施例中,所述底层为抗反射涂布层。在一实施例中,所述黏着层包括连接有环氧官能基团的硅氧烷聚合物。在一实施例中,所述黏着层为旋涂的共形层。在一实施例中,所述黏着层的环氧官能基团通过环氧官能基团的开口而与所述曝光光刻胶层交联。在一实施例中,所述环氧官能基团与曝光光刻胶层的–COOH官能基团键合。所述–COOH官能基团是通过酸不稳定基团在曝光期间的裂解而产生。
在另一个更广泛的实施例中描述了一种方法,包括形成硬掩模层于基板之上,旋涂黏着层于所述硬掩模层之上并直接与所述硬掩模层交界。接着,形成光刻胶层于所述黏着层之上并直接与所述黏着层交界。曝光所述光刻胶层的第一区域。所述方法包括在所述黏着层和所述光刻胶层的第一区域之间形成交联区域。使用负型显影剂来显影所述光刻胶层以形成光刻胶图案部件于所述交联区域之上。
在进一步的实施例中,所述黏着层包括具有环氧官能基团的聚合物。在一实施例中,所述硬掩模层包括硅、氧、和氢。在一实施例中,形成所述光刻胶层包括形成聚合物,所述聚合物提供KrF敏感光刻胶组合物或ArF敏感光刻胶组合物中的至少一种。
前述内容概述了许多实施例的部件,以使本技术领域中技术人员可以从各个方面优选地了解本发明实施例。本技术领域中技术人员应可理解,且可轻易地以本发明实施例为基础来设计或修饰其它制程及结构,并以此达到相同的目的和/或达到与在此介绍的实施例等相同的优点。本技术领域中技术人员也应了解这些相等的结构并未背离本发明的构思与范围。在不背离本发明的构思与范围之前提下,可对本发明实施例进行各种改变、置换或修改。

Claims (19)

1.一种半导体装置的制造方法,包括:
形成一第一层于一基板之上;
形成一黏着层于该第一层之上,其中该黏着层具有包括一环氧基团的一组合物;
形成一光刻胶层,直接位于该黏着层上;
将该光刻胶层的一部分曝光于一辐射源下;
在进行曝光之后,该光刻胶层产生一–COOH官能基团,通过键合该黏着层的该环氧基团与该光刻胶层的该–COOH官能基团,使该黏着层的该组合物与该光刻胶层的曝光部分交联;以及
显影该光刻胶层以形成一光刻胶图案部件。
2.如权利要求1所述的半导体装置的制造方法,其中,形成该黏着层包括旋涂该组合物。
3.如权利要求1所述的半导体装置的制造方法,其中,该黏着层的该组合物为具有该环氧基团的一硅基聚合物。
4.如权利要求3所述的半导体装置的制造方法,其中,该组合物为具有该环氧基团的一硅氧烷聚合物。
5.如权利要求1所述的半导体装置的制造方法,其中,该交联产生该光刻胶层的一交联区域,其中该交联区域位于该光刻胶层经曝光的一部分和该黏着层之间。
6.如权利要求1所述的半导体装置的制造方法,其中,该辐射源为一极紫外光EUV波长辐射源。
7.如权利要求1所述的半导体装置的制造方法,其中,显影该光刻胶层包括提供一负型显影剂。
8.如权利要求1所述的半导体装置的制造方法,其中,形成该第一层于该基板之上包括沉积含硅、氧、和氢的一第二组合物。
9.一种半导体装置的制造方法,包括:
形成一第一层于一基板之上;
形成一黏着层于该第一层之上,其中该黏着层包括具有一环氧官能基团的一聚合物;
形成一光刻胶层,直接位于该黏着层上;
利用一极紫外光(EUV)辐射源曝光该光刻胶层;
烘烤该曝光光刻胶层;
其中在该曝光或该烘烤的至少一个期间,该光刻胶层产生一–COOH官能基团,通过键合该黏着层的该环氧官能基团与该光刻胶层的该–COOH官能基团,使该黏着层与该曝光光刻胶层交联,在该曝光光刻胶层的一部分和该黏着层之间产生一交联区域;
施加一负型显影剂(NTD)来显影该光刻胶层以形成一光刻胶图案部件,其中该交联区域位于该光刻胶图案部件底部;以及
使用该光刻胶图案部件作为一蚀刻掩模进行一第一蚀刻制程以形成一图案化第一层,其中该第一蚀刻制程将该黏着层设置于该第一层之上的部分移除。
10.如权利要求9所述的半导体装置的制造方法,其中,还包括:
使用该图案化第一层进行一第二蚀刻制程以蚀刻一底层。
11.如权利要求10所述的半导体装置的制造方法,其中,该底层为一抗反射涂布层。
12.如权利要求9所述的半导体装置的制造方法,其中,该黏着层包括连接有该环氧官能基团的一硅氧烷聚合物。
13.如权利要求9所述的半导体装置的制造方法,其中,该黏着层为一旋涂的共形层。
14.如权利要求9所述的半导体装置的制造方法,其中,该黏着层的该环氧官能基团通过该环氧官能基团的一开口而与该曝光光刻胶层交联。
15.如权利要求9所述的半导体装置的制造方法,其中,该–COOH官能基团是通过一酸不稳定基团在曝光期间的裂解而产生。
16.一种半导体装置的制造方法,包括:
形成一硬掩模层于一基板之上;
旋涂一黏着层于该硬掩模层之上并直接与该硬掩模层交界,其中该黏着层包括一环氧官能基团;
形成一光刻胶层于该黏着层之上并直接与该黏着层交界;
曝光该光刻胶层的一第一区域,使该光刻胶层产生一–COOH官能基团;
通过键合该黏着层的该环氧官能基团与该光刻胶层的该–COOH官能基团,在该黏着层和该光刻胶层的该第一区域之间形成一交联区域;以及
使用一负型显影剂来显影该光刻胶层以形成一光刻胶图案部件于该交联区域之上。
17.如权利要求16所述的半导体装置的制造方法,其中,该黏着层包括具有该环氧官能基团的一聚合物。
18.如权利要求16所述的半导体装置的制造方法,其中,该硬掩模层包括硅、氧、和氢。
19.如权利要求16所述的半导体装置的制造方法,其中,形成该光刻胶层包括形成一聚合物,该聚合物提供KrF敏感光刻胶组合物或ArF敏感光刻胶组合物中的至少一种。
CN201910864390.XA 2018-09-21 2019-09-12 半导体装置的制造方法 Active CN110941148B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/137,742 US10859915B2 (en) 2018-09-21 2018-09-21 Adhesion layer for multi-layer photoresist
US16/137,742 2018-09-21

Publications (2)

Publication Number Publication Date
CN110941148A CN110941148A (zh) 2020-03-31
CN110941148B true CN110941148B (zh) 2022-05-24

Family

ID=69883344

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910864390.XA Active CN110941148B (zh) 2018-09-21 2019-09-12 半导体装置的制造方法

Country Status (3)

Country Link
US (2) US10859915B2 (zh)
CN (1) CN110941148B (zh)
TW (1) TWI734194B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100248428A1 (en) * 2009-03-27 2010-09-30 Nitto Denko Corporation Manufacturing method for semiconductor device
TW201447480A (zh) * 2013-02-14 2014-12-16 Ibm 包含非聚合之半矽氧烷含矽抗反射塗層
US9146469B2 (en) * 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
CN105739237A (zh) * 2014-12-31 2016-07-06 罗门哈斯电子材料有限责任公司 光刻方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08193167A (ja) * 1995-01-17 1996-07-30 Oki Electric Ind Co Ltd 感光性樹脂組成物
US5851927A (en) * 1997-08-29 1998-12-22 Motorola, Inc. Method of forming a semiconductor device by DUV resist patterning
US6162583A (en) * 1998-03-20 2000-12-19 Industrial Technology Research Institute Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers
US20020197835A1 (en) * 2001-06-06 2002-12-26 Sey-Ping Sun Anti-reflective coating and methods of making the same
JP4340167B2 (ja) * 2004-02-03 2009-10-07 信越化学工業株式会社 珪素含有レジスト下層膜材料及びパターン形成方法
JP5518772B2 (ja) * 2011-03-15 2014-06-11 信越化学工業株式会社 パターン形成方法
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100248428A1 (en) * 2009-03-27 2010-09-30 Nitto Denko Corporation Manufacturing method for semiconductor device
TW201447480A (zh) * 2013-02-14 2014-12-16 Ibm 包含非聚合之半矽氧烷含矽抗反射塗層
US9146469B2 (en) * 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
CN105739237A (zh) * 2014-12-31 2016-07-06 罗门哈斯电子材料有限责任公司 光刻方法

Also Published As

Publication number Publication date
US20210103218A1 (en) 2021-04-08
TWI734194B (zh) 2021-07-21
TW202018049A (zh) 2020-05-16
US20200098558A1 (en) 2020-03-26
US10859915B2 (en) 2020-12-08
CN110941148A (zh) 2020-03-31

Similar Documents

Publication Publication Date Title
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
US10281819B2 (en) Silicon-containing photoresist for lithography
US10741410B2 (en) Material composition and methods thereof
US10777681B2 (en) Multi-layer photoresist
KR102005640B1 (ko) 사면 오염으로부터 웨이퍼를 보호하는 반도체 방법
TWI830691B (zh) 微影圖案化的方法
US11378884B2 (en) Extreme ultraviolet photoresist and method
US10520821B2 (en) Lithography process with enhanced etch selectivity
US9704711B2 (en) Silicon-based middle layer composition
US20180151351A1 (en) Photoresist with Gradient Composition for Improved Uniformity
CN105990104B (zh) 制造一半导体装置的方法
CN110941148B (zh) 半导体装置的制造方法
CN108231550B (zh) 半导体装置的制作方法
CN110824845A (zh) 半导体制程
TW202117447A (zh) 負光阻及其顯影的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant