JP5944484B2 - リソグラフィ適用において感放射線材料のラインを幅狭化する方法 - Google Patents

リソグラフィ適用において感放射線材料のラインを幅狭化する方法 Download PDF

Info

Publication number
JP5944484B2
JP5944484B2 JP2014502631A JP2014502631A JP5944484B2 JP 5944484 B2 JP5944484 B2 JP 5944484B2 JP 2014502631 A JP2014502631 A JP 2014502631A JP 2014502631 A JP2014502631 A JP 2014502631A JP 5944484 B2 JP5944484 B2 JP 5944484B2
Authority
JP
Japan
Prior art keywords
exposure
sensitive material
region
layer
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014502631A
Other languages
English (en)
Other versions
JP2014510954A (ja
Inventor
エイ カルカシ,マイケル
エイ カルカシ,マイケル
エム ラスサック,ベンジャミン
エム ラスサック,ベンジャミン
エイチ サマーヴェル,マーク
エイチ サマーヴェル,マーク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2014510954A publication Critical patent/JP2014510954A/ja
Application granted granted Critical
Publication of JP5944484B2 publication Critical patent/JP5944484B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2024Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure of the already developed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Materials For Photolithography (AREA)

Description

本発明は、基板をパターニングする方法に関する。本発明は特に、感放射線(radiation-sensitive)材料のライン寸法を小さくする(幅狭化する)方法に関する。
半導体デバイスの製造のコストと性能において競争力を持ち続ける必要性により、集積回路のデバイス密度の継続的な増大がもたらされている。半導体集積回路における一層の高集積化及び小型化を達成するためには、半導体ウエハ上に形成される回路パターンの小型化も達成されなければならない。
デバイス又はライン(配線)が望ましくなく相互作用しないことを保証するために、設計ルールがデバイス間又は相互接続ライン間の空間許容度を定める。半導体デバイスの全体サイズ及び密度を決定する傾向にある1つの重要なレイアウト設計ルールは限界寸法(クリティカル・ディメンジョン;CD)である。回路の限界寸法は、ラインの最小幅又は2つのライン間の最小スペースとして定義される。他の1つの重要な設計ルールは最小ピッチであり、これは、所与のフィーチャ(造形部)の最小幅に、その隣接するフィーチャのエッジまでの距離を足し合わせたものとして定義される。
フォトリソグラフィは、マスク上の幾何学形状及びパターンを半導体ウエハの表面に転写することによって半導体ウエハを製造するのに使用される標準的な技術である。基本的なフォトリソグラフィプロセスは、例えばフォトレジスト層などの感放射線材料の層上に、パターン化された光源を投影することを含み、これに現像工程が続く。
小さい限界寸法及びピッチを有する微細パターンを作り出すことは、明瞭に結像される光パターンを投影することを必要とする。しかし、小さいフィーチャの明瞭な像を半導体ウエハ上に投影する能力は、使用される光の波長と、照射されたマスクからの十分な回折次数を捕獲する縮小レンズ系の能力とによって制限される。現行のフォトリソグラフィツールは、約50nmまでの最小フィーチャサイズを可能にする248nm又は193nmの波長を有する深紫外(DUV)光を用いている。
投影系がプリント可能な最小フィーチャサイズは近似的に:
CD=k・λ/N
によって与えられる。ここで、CDは最小フィーチャサイズすなわち限界寸法であり、kはプロセス関連因子を包含する係数であって、製造の場合は典型的に0.4に等しく、λは使用される光の波長であり、Nは、半導体ウエハから見たレンズの開口数である。この式によれば、より密な集束ビーム及びより小さいスポットサイズを達成するように波長を短くすること及び/又は開口数を増大させることによって、最小フィーチャサイズを小さくすることができる。
フォトリソグラフィプロセスは、露光ツールを利用して、マスクを介して、ウエハ上の感放射線材料の層を照射することで、マスク上のパターンをウエハに転写する。パターンレイアウトの限界寸法がリソグラフィ装置の分解能限界に近づくにつれて、光学近接効果(OPE)が、マスク上のフィーチャが感放射線材料層に転写する仕方に影響を及ぼし始め、その結果、マスクと実際のレイアウトパターンとが異なり始める。光学近接効果は、投影系における光の回折に由来することが知られている。回折は、隣接し合うフィーチャが、パターンに依存したばらつきを生じるように相互作用することを引き起こし、フィーチャ同士が近接しているほど、より大きい近接効果が見られる。故に、ラインパターンを互いに近接させて配置する能力は、光学パラメータ限界に迫ってしまう。
以上の説明によれば、半導体ウエハ上に形成される回路パターンの引き続いての小型化を達成するためには、半導体デバイスをパターニングする新たな改善された方法が必要である。1つの非光学的な取り組みは、結像及び第1の現像の完了後に感放射線材料のライン幅を狭くするものである。ライン幅を狭くすることは、“スリム化(幅狭化)”又は“縮小化”としても知られている。これらの用語は本願において同義として用いられる。
上述のように、半導体ウエハのパターニングは一般に、例えばフォトレジストなどの感放射線材料の薄膜又は層でウエハ(基板)の表面をコーティングし、そして、マスクを通して放射線源からの放射線を投影することによって感放射線材料の層を放射線のパターンに曝すことを伴う。その後、現像プロセスが行われて、感放射線材料の様々な領域が除去される。除去される具体的な領域は、材料の性質及び現像ケミストリに依存する。一例として、ポジ型のフォトレジストの場合、照射された領域は第1の現像ケミストリを用いて除去され、照射されていない領域は第2の現像ケミストリを用いて除去され得る。逆に、ネガ型のフォトレジストの場合、照射されていない領域は第3の現像ケミストリを用いて除去され、照射された領域は第4の現像ケミストリを用いて除去され得る。フォトレジストの除去された領域は、下に位置するウエハ表面内へのエッチングの準備が整ったパターンにて、下に位置するウエハの表面を露出させる。
ポジ型パターン現像の一例として、典型的なリソグラフィパターニング法を、図1A及び1Bに示す。また、ネガ型パターン現像の一例として、典型的なリソグラフィパターニング法を、図1A及び1Cに示す。図1Aに示されるように、感放射線材料の層102が基板101上に形成される。感放射線材料の層102は、マスク103を通して電磁(EM)放射線107に曝される。レチクル又はマスク103は、パターンを形成する透明領域104及び不透明領域108を含んでおり、図1Aに示されるように、距離(又はピッチ)109が不透明領域108同士の間で定義されている。透明領域104は、EM放射線107を感放射線材料の層102へと透過させ、不透明領域108は、EM放射線107が感放射線材料の層102へと透過することを防止する。結果として、感放射線材料の層102は、EM放射線107に曝される露光領域105と、EM放射線107に曝されない非露光領域106とを有する。図1Aに示されるように、不透明領域108が感放射線材料の層102上に描画かれて、非露光領域106と位置が揃った対応する感放射線材料フィーチャが作り出される。
図1Bに示されるように、適切なケミストリを用いたポジ型の現像プロセスによって図1Aの感放射線材料層102の露光領域105を除去した後、非露光領域106は、基板101上に残って、マスク103から転写されたパターンを形成する。図1Cに示されるように、適切なケミストリを用いたネガ型の現像プロセスによって図1Aの感放射線材料層102の非露光領域106を除去した後、露光領域105は、基板101上に残って、図1Bに示したパターンに対して相補的なパターンを形成する。露光領域105の除去後、あるいは代替例では非露光領域106の除去後、に残った領域のことを、感放射線材料ラインと称する。
図1A及び1Bに示されるように、不透明領域108は、感放射線材料の層102上に描画されて、対応する感放射線材料フィーチャ(すなわち、非露光領域106)を作り出す。図1A及び1Bに示されるように、非露光領域106のピッチ110は、マスク103の不透明領域108のピッチ109によって決定される。この例では、パターニングされたフィーチャのピッチ110は、感放射線材料ラインの限界寸法111の幅のおよそ2倍である。故に、限界寸法111は、マスク103の不透明領域間の距離及び現像プロセスによって決定される。感放射線材料ラインの限界寸法111を更に縮小することは、次に説明するような更なる処理を必要とする。
図1A及び1Cに示されるように、透明領域104は、感放射線材料の層102上に描画されて、対応する感放射線材料フィーチャ(すなわち、露光領域105)を作り出す。図1A及び1Cに示されるように、露光領域105のピッチ112は、マスク103の透明領域104のピッチ109によって決定される。この例では、パターニングされたフィーチャのピッチ112は、感放射線材料ラインの限界寸法113の幅のおよそ2倍である。故に、限界寸法113は、マスク103の透明領域間の距離及び現像プロセスによって決定される。感放射線材料ラインの限界寸法113を更に縮小することは、次に説明するような更なる処理を必要とする。
感放射線材料のライン幅を縮小させる1つの典型的な方法は、公称温度で実行されるポジ型現像後の感放射線材料の非露光領域106のプラズマベースのエッチングを伴うものである。プラズマベースのエッチングは、例えばプロセス安定性及びフロントエンドコストの上昇など、様々な問題に悩まされる。他のスリム化又は縮小化の手法は、例えば、上昇された高温でポジ型現像タイプのケミストリを用いて非露光領域106を処理するなどの、湿式の手法を含む。しかし湿式の現像法は、更に後述するように、フォトリソグラフィ像におけるバラつきによって発生あるいは悪化される異方的なスリム化に悩まされ得る。
フォトリソグラフィ像の更なる詳細を図2に提示する。感放射線材料の層202が基板201上に形成される。感放射線材料の層202は、マスク203を通してEM放射線207に曝される。図2に示されるように、マスク203は、パターンを形成する透明領域204及び不透明領域208を有する。不透明領域208間の距離(又はピッチ)209が図2に示されている。透明領域204は、EM放射線207をポジ型の感放射線材料の層202へと透過させ、不透明領域208は、EM放射線207が感放射線材料の層202へと透過することを防止する。
露光と非露光という2種類の像パターンのみを作り出すことが望ましいことがあるが、図2は、EM放射線107への相異なる露光レベルを有する感放射線材料202の3つの領域を示している。露光領域205と非露光領域206とが、部分的に露光された領域214によって隔てられている。この部分露光領域214内には、部分露光領域214の幅にわたって露光勾配が存在する。この露光勾配は、例えば感放射線材料の厚さ、焦点深度、及び近接効果などの、様々な因子によって影響され得る。故に、この露光変化又は露光勾配は、感放射線材料ライン内に弱い箇所を生成し得る異方的なスリム化を誘発する。
[先行技術文献]
[特許文献]
[特許文献1]米国特許第6900139号明細書
[特許文献2]米国特許第7955988号明細書
[非特許文献]
[非特許文献1]Sam X.Sun等、“Wet trimming process for critical dimension reduction”、Proc.of SPIE、2008年、Vol.6923、692336-1
以上に鑑み、従来技術の問題を解決した、感放射線材料ラインを幅狭化する新たな方法が望まれる。
本発明の実施形態により、感放射線材料ラインの寸法が縮小される基板のパターニング方法が提供される。当該方法は、基板上に感放射線材料の層を形成し、或るパターンの放射線に感放射線材料の層を曝すことを有し、該パターンは、高い放射線露光量を有する第1の領域と、低い放射線露光量を有する第2の領域と、およそ前記高い放射線露光量からおよそ前記低い放射線露光量までの範囲内の露光勾配を有する第3の領域とを有する。当該方法は更に、感放射線材料の層を前記パターンの放射線に曝した後のポスト露光ベークを実行し、感放射線材料の層を第1の有機溶媒ベースの組成物と接触させることによってポジ型現像を実行することを有し、これにより、第1の領域が基板から除去されて、現像された感放射線材料の層が形成される。当該方法は更に、第2の領域及び第3の領域を、実質的に均一なレベルの放射線露光量、極性、若しくは脱保護、又はこれらの組合せを有する第4の領域へと変換することによって、第3の領域の露光勾配を除去し、そして、第4の領域を幅狭化することを有する。
本発明の他の実施形態によれば、方法は、基板上に感放射線材料の層を形成し、或るパターンの放射線に感放射線材料の層を曝すことを有し、該パターンは、高い放射線露光量を有する第1の領域と、低い放射線露光量を有する第2の領域と、およそ前記高い放射線露光量からおよそ前記低い放射線露光量までの範囲内の露光勾配を有する第3の領域とを有する。この方法は更に、感放射線材料の層を前記パターンの放射線に曝した後のポスト露光ベークを実行し、感放射線材料の層のネガ型現像を実行することで、第2の領域を基板から除去して、現像された感放射線材料の層を形成し、第3の領域及び必要に応じて第1の領域を、実質的に均一なレベルの放射線露光量、極性、若しくは脱保護、又はこれらの組合せを有する第1及び第3の領域から形成された第4の領域へと変換することによって、第3の領域の露光勾配を除去し、そして、第4の領域を幅狭化することを有する。
添付の図面は、本明細書に組み込まれて本明細書の一部を構成するものである。添付の図面は、本発明の実施形態を例示するものであり、上述の本発明の概略的な記載、及び以下の詳細な記載とともに、本発明を説明する役割を果たすものである。
従来技術に係る感放射線材料を用いたポジ型及びネガ型のリソグラフィパターニング技術を示す図である。 図1Aとともに従来技術に係る感放射線材料を用いたポジ型のリソグラフィパターニング技術を示す図である。 図1Bとともに従来技術に係る感放射線材料を用いたネガ型のリソグラフィパターニング技術を示す図である。 図1Aの露光された感放射線材料のリソグラフィパターンにおける更なる詳細を示す図である。 基板をパターニングする方法を例示する図である。 基板をパターニングする方法を例示する図である。 基板をパターニングする方法を例示する図である。 基板をパターニングする方法を例示する図である。 基板をパターニングする方法を例示する図である。 基板をパターニングする方法を例示する図である。 基板をパターニングする方法を例示する図である。 基板をパターニングする方法を例示する図である。 基板をパターニングする方法を例示する図である。 本発明の一実施形態に従った基板パターニング方法を示す図である。 本発明の他の一実施形態に従った基板パターニング方法を示す図である。 本発明の他の一実施形態に従った基板パターニング方法を示す図である。 本発明の他の一実施形態に従った基板パターニング方法を示す図である。
基板をパターニングする方法及びシステムを様々な実施形態にて開示する。しかしながら、当業者が認識するように、それらの様々な実施形態は、具体的詳細事項の1つ以上を用いずに実施されてもよいし、その他の置き換え用いて、且つ/或いは更なる方法、材料若しくは構成要素を用いて実施されてもよい。また、本発明の様々な実施形態の態様を不明瞭にしないよう、周知の構造、材料又は処理については詳細に図示・説明しない。
同様に、具体的な数、材料及び構成は、本発明の十分な理解を提供するために説明目的で述べられるものである。とはいえ、本発明は具体的事項を用いずに実施され得る。また、理解されるように、図面に示される様々な実施形態は、例示のために描写されたものであり、必ずしも縮尺通りに描かれていない。
本明細書の全体を通しての“一実施形態”又はその変化形への言及は、その実施形態に関して説明される特定の機能、構造、材料又は特徴が本発明の少なくとも1つの実施形態に含まれることを意味するものであり、それらが全ての実施形態に存在することを表すものではない。故に、本明細書全体を通して様々な箇所に“一実施形態において”というフレーズが現れることは、必ずしも本発明の同一の実施形態について言及するものではない。また、それら特定の機能、構造、材料又は特徴は、1つ以上の実施形態において好適に組み合わされ得る。様々な更なる層及び/又は構造が含められてもよく、且つ/或いは、記載された特徴が他の実施形態において省略されてもよい。
また、“a”又は“an”は、その他のことが明示的に述べられない限り、“1つ以上”を意味し得る。
本発明を理解するのに最も役立つように、様々な処理を順次の複数の別個の処理として記載する。しかしながら、記載の順序は、これらの処理が必然的に順序依存であることを意味するように解釈されるべきではない。特に、それらの処理は記載の順序で実行される必要はない。記載の処理は、記載の実施形態とは異なる順序で実行されてもよい。様々な追加の処理が行われてもよく、且つ/或いは、記載の処理が更なる実施形態において省略されてもよい。
ここでは、所与のリソグラフィツール及びマスクで基板上に転写されることが可能なパターンの限界寸法を縮小する方法を含め、基板をパターニングする方法を説明する。複数の化学的処理を用いて、感放射線材料ラインの限界寸法の等方的な縮小が達成される。
図3を参照するに、本発明の実施形態によれば、感放射線材料の層が基板301上に形成される。基板301は、例えば単結晶シリコン、ゲルマニウム及びその他の半導体など、半導体を有し得る。他の実施形態では、基板301は、集積回路、受動マイクロエレクトロニクスデバイス(例えば、キャパシタ、インダクタ)、及び能動マイクロエレクトロニクスデバイス(例えば、トランジスタ、光検出器、レーザ、ダイオード)を製造するために使用される如何なる材料を有していてもよい。基板301は、そのような能動マイクロエレクトロニクスデバイス及び受動マイクロエレクトロニクスデバイスを、それらの上に形成される1つ以上の導電層から隔てる絶縁材料を含み得る。一実施形態において、基板301は、例えば二酸化シリコン、窒化シリコン、サファイア及びその他の絶縁材料などの1つ以上の絶縁層を含んだp型単結晶シリコン基板を有する。
上述のように、基板301は、ベース層と感放射線材料の層302との間に1つ以上の薄膜又は層が配設された膜スタック(積層体)を有し得る。基板301内の各薄膜は、導電層、非導電層、又は半導電性の層を有し得る。例えば、薄膜は、金属、金属酸化物、金属窒化物、金属酸窒化物、金属シリケート、金属シリサイド、シリコン、多結晶シリコン(ポリシリコン)、ドープトシリコン、二酸化シリコン、窒化シリコン、炭化シリコン、酸窒化シリコンなどを有する材料層を含み得る。また、例えば、薄膜は、およそ4であるSiOの誘電率(例えば、熱二酸化シリコンの誘電率は3.8から3.9の範囲内であり得る)よりも低い名目誘電率を有する低誘電率(すなわち、low−k)誘電体層又は超低誘電率(すなわち、超low−k)誘電体層を有し得る。より具体的には、薄膜は、3.7未満の誘電率を有し、あるいは1.6から3.7の範囲内の誘電率を有し得る。本発明の実施形態によれば、感放射線材料の層302は例えば、感248nm放射線材料、感193nm放射線材料、感157nm放射線材料、若しくは感極紫外放射線材料、又はこれらのうちの2つ以上の組合せを有し得る。他の一実施形態によれば、感放射線材料の層302は、ポリ(ヒドロキシスチレン)ベースのレジスト、又はアクリレート(メタクリレート)ベースのレジストを有する。他の一実施形態によれば、感放射線材料の層302はピナコールベースのレジストを有する。他の一実施形態によれば、感放射線材料の層302は、適切な波長の放射線への露光を実行し、露光後の第1のポスト露光ベークを実行すること;放射線への露光後に熱分解ベークを実行すること;又は酸洗浄及びポスト酸洗浄ベークを実行すること、を受けての極性の変化によって、溶解度を切り換える材料を有する。例えば、この材料は、適切な波長の放射線への露光を実行し、露光後の第1のポスト露光ベークを実行すること;放射線への露光後に熱分解ベークを実行すること;又は酸洗浄及びポスト酸洗浄ベークを実行すること、を受けて極性を低下させ得る。なお、材料の極性は、材料が水と相互作用する能力、ひいては、その親水性又はその疎水性を決定する。換言すれば、材料の極性を低下させることは、材料の疎水性を増大させて親水性を低下させることになる。
他の一実施形態によれば、感放射線材料の層302は、適切な波長を有する放射線への露光を実行し、露光後の第1のポスト露光ベークを実行すること;熱分解ベークを実行すること;又は酸洗浄及びポスト酸洗浄ベークを実行すること、を受けて疎水性ポリマーの、より高い疎水性への酸触媒転位(リアレインジメント)を実現する材料を有する。他の一実施形態によれば、感放射線材料の層302は、適切な波長を有する放射線への露光を実行し、露光後の第1のポスト露光ベークを実行すること;熱分解ベークを実行すること;又は酸洗浄及びポスト酸洗浄ベークを実行すること、を受けて酸触媒脱保護を実現する材料を有する。
更なる他の一実施形態によれば、感放射線材料の層302は、例えば光酸発生剤、熱酸発生剤、及び/又はこれらの組合せなどの酸発生剤を有する。ここでは、“酸発生剤”への言及は“1つ以上の酸発生剤”への言及と同義であると理解されるべきである。更なる他の一実施形態によれば、感放射線材料の層302は、当該保護ポリマーの熱分解温度以上の温度への加熱を受けて脱保護される保護ポリマーを有する。更なる他の一実施形態によれば、感放射線材料の層302は、酸洗浄処理の実行後に当該保護ポリマーの熱分解温度以上の温度への加熱を受けて脱保護される保護ポリマーを有する。更なる他の一実施形態によれば、感放射線材料の層302は、感放射線材料層の上記露光及びその後のポスト露光ベーク;感放射線材料層の上記酸洗浄及びポスト酸洗浄ベーク;感放射線材料層の熱分解温度以上の温度への加熱;又はこれらのうちの2つ以上の組合せを受けて、より高い疎水性ポリマーへの酸触媒転位を被るピナコール部分を有する親水性ポリマーを有する。
感放射線材料の層302は、トラックシステムを用いて形成され得る。例えば、トラックシステムは、東京エレクトロン株式会社(TEL)から商業的に入手可能なクリーントラックACT8、ACT12、又は、リシウスレジストコーティング・現像システムを有し得る。基板上に感放射線材料の層を形成するその他のシステム及び方法も、スピンオンレジスト技術の当業者によく知られている。
基板301への感放射線材料の層302の塗布に続いて、感放射線材料の層は、ポスト塗布(アプリケーション)ベーク(PAB)にて熱処理され得る。例えば、基板の温度が、約30秒から約180秒の時間にわたって約50℃と約200℃との間まで上昇され得る。このPABは、例えば上述したトラックシステムのうちの1つといった、ポスト塗布基板加熱・冷却装置を有するトラックシステムを用いて実行され得る。基板上の露光された感放射線材料膜を熱処理するその他のシステム及び方法も、スピンオンレジスト技術の当業者によく知られている。
図3に図されるように、感放射線材料の層302は、マスク303を通して放射線307に曝される。マスク303は、放射線307が感放射線材料の層302へと透過するのを防止する不透明領域310と、放射線307を感放射線材料の層302へと透過させる透明領域304とを有する。マスク303は、約365nmから約13nmの範囲内の波長を含む湿式(例えば、浸漬)リソグラフィ又は乾式リソグラフィでの使用に適した如何なるマスクを含んでいてもよい。マスク303は、バイナリマスク又はクロム・オン・ガラスマスクを含み得る。それに代えて、マスク303は、交互位相シフトマスク又は埋め込み位相シフトマスクを含んでいてもよい。
EM放射線パターンへの感放射線材料の層302の露光は、乾式又は湿式のフォトリソグラフィシステムで実行され得る。リソグラフィシステムは、例えば365nm、248nm、193nm、157nm、及び13nmの波長でEM放射線のパターンを提供することができるとし得る。像パターンは、何らかの好適な、従来からのステッピング式リソグラフィシステム又は走査式リソグラフィシステムを用いて形成されることができる。例えば、フォトリソグラフィシステムはASML社又はキヤノン社から商業的に入手可能である。マスク303は例えば法線入射光及び軸外照明光(例えば、輪帯照明、四極照射、及び双極照射など)で照らされることができる。マスク303を用いて感放射線材料の層302を放射線に曝すこれらの照明方法は、マイクロエレクトロニクスデバイス製造の当業者に既知である。
ポスト露光基板加熱・冷却装置を有する上述のようなトラックシステムを用いて、ポスト露光(エクスポージャ)ベーク(PEB)が実行され得る。基板上の露光された感放射線材料の層を熱処理するその他のシステム及び方法も、スピンオンレジスト技術の当業者によく知られている。
更に図3を参照するに、リソグラフィシステムを用いたマスク303を通しての放射線307の投影から得られる放射線パターンによって感放射線材料の層302内に作り出される放射線露光プロファイル305及び応答プロファイル306が示されている。図3に示されるように、透明領域304に対応する第1の領域312は放射線307から高い放射線露光を受け、不透明領域310に対応する第2の領域313は放射線307から低い放射線露光を受け、そして、不透明領域310のエッジ(端部)にほぼ対応する第3の領域314は、放射線307から、高い放射線露光の近くから低い放射線露光の近くまでの範囲内の中間放射線露光又は勾配放射線露光を受ける。感放射線材料の層302の第1の領域312に対応する応答プロファイル306は上側閾値308より高く、第2の領域313に対応する応答プロファイル306は下側閾値309より低い。また、第3の領域314に対応する応答プロファイル306は、下側閾値309と上側閾値308の間にある。また、第3の領域314に対応する応答プロファイル306は、第3の領域314の幅にわたる露光勾配を表し得る。
一実施形態において、応答プロファイル306は、図3に示されるように放射線露光プロファイル305に比例する感放射線材料層302内の酸濃度を表し得る。感放射線材料の層302内に存在する酸は、保護ポリマーの酸触媒脱保護、及び/又は、より疎水性のポリマーへの親水性ポリマーの転位を支援し得る。従って、酸濃度は、感放射線材料の層302内の脱保護且つ/或いは転位されたポリマーの化学的濃度に比例し得る。故に、他の一実施形態において、応答曲線306は、放射線露光プロファイル305に略比例する感放射線材料層302内の脱保護且つ/或いは転位されたポリマーの化学的濃度を表し得る。
一実施形態において、上側閾値308は、第1の現像ケミストリが適用されるときの感放射線材料層302の溶解度の第1の閾値に相当する。一実施形態において、下側閾値309は、第2の現像ケミストリが適用されるときの感放射線材料層302の溶解度の第2の閾値に相当する。
一実施形態において、マスク303の透明領域304に対応し、且つ放射線露光プロファイル305において高い放射線露光量を有する感放射線材料層302の第1の領域312が、第1の現像ケミストリを用いて基板301から選択的に除去される。放射線露光プロファイル305において低い放射線露光量を有する感放射線材料層302の第2の領域313は、第1の現像ケミストリに晒すことでは選択的に影響を受けないか最小限の影響しか受けないようにされ得る。不透明領域310のエッジにほぼ対応し、且つ放射線露光プロファイル305において中間の放射線露光量(すなわち、上側閾値308と下側閾値309との間の放射線露光量)を有する第3の領域314は、基板301上に残り得るが、相対的な露光量、保護、及び/又は転位のレベルに比例し得るような、第1の現像ケミストリに対する耐性の選択性を示し得る。
逆に、放射線露光プロファイル305において低い放射線露光量を有する感放射線材料層302の第2の領域313は、第2の現像ケミストリに晒されることによって選択的に除去され得る。透明領域304に対応し、且つ放射線露光プロファイル305において高い放射線露光量を有する感放射線材料層302の第1の領域312は、第2の現像ケミストリに晒すことでは選択的に影響を受けないか最小限の影響しか受けないようにされ得る。不透明領域310のエッジにほぼ対応し、且つ放射線露光プロファイル305において中間の放射線露光量(すなわち、上側閾値308と下側閾値309との間の放射線露光量)を有する第3の領域314は、基板301上に残り得るが、相対的な露光量、保護、及び/又は転位のレベルに比例し得る第2の現像ケミストリに対する耐性を示し得る。
一実施形態において、第1の領域312では、応答プロファイル306は、酸濃度の上側閾値308より高い感放射線材料層302の酸濃度を有する。一実施形態において、上側閾値308は、感放射線材料の層302の酸レベル溶解度閾値を表す。例えば、感放射線材料の層302内の酸濃度が、酸濃度の上側閾値308よりも高い場合、感放射線材料の層302は第1の現像ケミストリに可溶である。
一実施形態において、第2の領域313では、応答プロファイル306は、酸濃度の下側閾値309より低い感放射線材料層302の酸濃度を有する。一実施形態において、下側閾値309は、感放射線材料の層302の別の酸レベル溶解度閾値を表す。例えば、感放射線材料の層302内の酸濃度が、酸濃度の下側閾値309よりも低い場合、感放射線材料の層302は第2の現像ケミストリに可溶である。
一実施形態において、酸濃度の上側閾値308はクリアフィールド酸レベルの約30%から約60%の範囲内であり、酸濃度の下側閾値309はクリアフィールド酸濃度の約10%から約25%の範囲内である。一実施形態において、クリアフィールド酸レベルは、放射線に完全に曝された感放射線材料の酸レベルとして定義される。他の一実施形態において、クリアフィールド酸レベルは、実質的に全ての酸発生剤材料が放射線307と反応して酸種を生成したときの酸濃度、又は、実質的に全ての熱酸発生剤が分解して酸種を生成したときの酸濃度として定義される。
マスク303による放射線307の回折に起因して、中間の放射線露光量に対応する第3の領域314が作り出される。一実施形態において、第3の領域314は、上側閾値308と下側閾値309との間の酸濃度を有する。高い放射線露光量に対応する第1の領域312は、第1の現像ケミストリを用いて基板301から選択的に除去され得る。低い放射線露光量に対応する第2の領域313は、第2の現像ケミストリを用いて基板301から選択的に除去され得る。中間の放射線露光量に対応する第3の領域314は、第1の現像ケミストリ及び/又は第2の現像ケミストリにおいて、実質的に基板301上に残存し得る。
更に図3を参照するに、第1の領域312は、第1の限界寸法320によって特徴付けられ得る。例えば、第1の限界寸法は、ポジ型の現像後のポジ型の限界寸法に関係し得る。また、第2の領域313は、第2の限界寸法322によって特徴付けられ得る。例えば、第2の限界寸法322は、ネガ型の現像後のネガ型の限界寸法に関係し得る。
第3限界寸法324は、描画された感放射線材料のポジ型現像に関係し得る。第3の限界寸法324は、第2の領域313とそれに隣接する第3の領域314とを含んでいる。第4の限界寸法326は、描画された感放射線材料のネガ型現像に関係し得る。第4の限界寸法326は、第1の領域312とそれに隣接する第3の領域314とを含んでいる。
ここでは、ポジ型の現像ケミストリは、高い放射線露光量を有する第1の領域312を選択的に除去する溶媒系を意味する。一実施形態によれば、ポジ型の現像ケミストリは、例えばアルカリ、アミンなどの塩基を含む。一例において、第1の領域312を選択的に除去するポジ型の現像ケミストリは、水酸化テトラメチルアンモニウム(TMAH)を含む。典型的であり且つ商業的に入手可能な形態のTMAH溶液は0.26N溶液であり、これは必要に応じて希釈されることが可能である。他の一例において、第1の領域312を選択的に除去するポジ型の現像ケミストリは、塩基、水、及び必要に応じての界面活性剤を含む。他の一実施形態によれば、ポジ型の現像ケミストリは、有機溶媒ベースの組成を含む。一例において、ポジ型の現像ケミストリは、アニソール、n−ブチルアセテート、2−ヘプタノン、エチル−3−エトキシプロピオン酸塩、又はこれらの組合せを含み、付加的な有機化合物、必要に応じての水及び/又は必要に応じての界面活性剤を更に有し得る。
ここでは、ネガ型の現像ケミストリは、低い放射線露光量を有する第2の領域313を選択的に除去する溶媒系を意味する。一実施形態によれば、ネガ型の現像ケミストリは有機溶媒を有し得る。一例において、ネガ型の現像ケミストリは、アニソール、n−ブチルアセテート、2−ヘプタノン、エチル−3−エトキシプロピオン酸塩、又はこれらの組合せを含み、付加的な有機化合物、必要に応じての水、及び必要に応じての界面活性剤を更に有し得る。他の一実施形態によれば、ネガ型の現像ケミストリは、例えばアルカリ、アミンなどの塩基を含む。一例において、第1の領域312を選択的に除去するポジ型の現像ケミストリは、水酸化テトラメチルアンモニウム(TMAH)又は水酸化カリウム(KOH)を含む。他の一例において、第1の領域312を選択的に除去するポジ型の現像ケミストリは、塩基、水、及び必要に応じての界面活性剤を含む。
描画された感放射線材料を現像するために使用される現像ケミストリの特性をよりよく理解するため、以下の用語を定義する。RMINは最小現像速度として定義される。RMAXは最大現像速度として定義される。現像速度は便宜上ナノメートル毎秒(nm/s)で記述され得る。ポジ型の現像では、RMINは低レベルの脱保護及び/又は露光量で観測され、RMAXは高レベルの脱保護及び/又は露光量で観測される。対照的に、ネガ型の現像では、RMINは高レベルの脱保護及び/又は露光量で観測され、RMAXは低レベルの脱保護及び/又は露光量で観測される。
感放射線材料を有する典型的な一実施形態を図4A−4Eに示す。膜スタック400は、放射線に曝されたときに酸に変質することが可能な酸発生剤を有する感放射線材料の層402で被覆された基板401を有する。放射線407が、マスク403を通して、感放射線材料の層402へ投影される。図4Bに示すように、感放射線材料の層402内の第1の領域412は、マスク403内の透明領域404に対応しており、放射線407から高い放射線露光を受ける。感放射線材料の層402内の第2の領域413は、マスク403内の不透明領域410に対応しており、放射線407から低い放射線露光を受ける。感放射線材料の層402内の第3の領域414は、マスク403内の不透明領域410のエッジにほぼ対応しており、放射線407から、高い放射線露光の近くから低い放射線露光の近くまでの範囲内の中間の放射線露光を受ける。
領域412−414をさらに特徴付けるものとして、一実施形態において、第1の領域412は、高い放射線露光の結果として、酸発生剤の酸への高い変質割合を有し、第2の領域413は、低い放射線露光の結果として、酸発生剤の酸への低い変質割合を有し、第3の領域414は、高い放射線露光から低い放射線露光までの範囲内の中間の放射線露光の結果として、酸発生剤の酸への変質割合が上記高い変質割合の近くから上記低い変質割合の近くまでの範囲内である露光勾配を有し得る。
なおも図4Bを参照するに、第1の領域412は第1の限界スペース寸法420によって特徴付けられ得る。例えば、第1の限界スペース寸法420は、第1の領域412を除去する第1のケミストリを用いたポジ型の現像に関係し、あるいは代替例において、第2の領域413を除去する第2のケミストリを用いたネガ型の現像とそれに続くスリム化とに関係し得る。また、第2の領域413は第2の限界スペース寸法422によって特徴付けられ得る。例えば、第2の限界スペース寸法422は、第2の領域413を除去する第2のケミストリを用いたネガ型の現像に関係し、あるいは代替例において、第1の領域412を除去する第1のケミストリを用いたポジ型の現像とそれに続くスリム化とに関係し得る。
また、第3の限界寸法424は、ポジ型の現像後に残存する感放射線材料の層402に関係し、第4の限界寸法426は、ネガ型の現像後に残存する感放射線材料の層402に関係し得る。例えば第3の限界寸法424及び第4の限界寸法426によって特徴付けられるフィーチャなどの、現像後に残存する感放射線材料の層402は一般に、感放射線材料ラインと称される。例えば、感放射線材料がフォトレジストであるとき、第3の限界寸法424及び第4の限界寸法426によって画成されるフィーチャは一般にフォトレジストラインと称される。
一実施形態において、高い放射線露光量に対応する第1の領域412は、基板401に入射する放射線407の約50%又はそれ以上を受け、低い放射線露光量に対応する第2の領域413は、基板401に入射する放射線407の15%未満を受け、中間の放射線露光量に対応する第3の領域414は、基板401に入射する放射線407の約15%と約50%との間を受ける。
一実施形態において、放射線407への高い露光量は、第1の領域412内の酸の濃度を、上側の酸濃度閾値よりも高いレベルまで増大させる。上側の酸濃度閾値は、現像ケミストリに対する感放射線材料層402の溶解度の第1の閾値に関係する。一実施形態において、第1の領域412内の酸の濃度が、第1の現像ケミストリに対する感放射線材料層402の溶解度の第1の閾値(例えば、酸濃度閾値)より高いレベルまで増大するとき、第1の領域412は、第1の現像ケミストリが適用されるときに可溶となり、且つ/或いは第2の現像ケミストリに対して不溶性である。
他の一実施形態において、第1の領域412内の脱保護されたポリマーの化学的濃度が、第1の現像ケミストリに対する感放射線材料層402の溶解度の第1の閾値(例えば、酸濃度閾値)より高いレベルまで増大するとき、第1の領域412は、第1の現像ケミストリが適用されるときに可溶となり、且つ/或いは第2の現像ケミストリに対して不溶性である。
他の一実施形態において、第1の領域412内の酸触媒転位されたポリマーの化学的濃度が、感放射線材料層402の溶解度の閾値より高いレベルまで増大するとき、第1の領域412は、第1の現像ケミストリに対して可溶となり、且つ/或いは第2の現像ケミストリに対して不溶性である。
低い放射線露光量に対応する第2の領域413においては、脱保護あるいは転位されたポリマーの酸濃度及び/又は化学的濃度は、第1の現像ケミストリに対する感放射線材料層402の溶解度の下側の閾値(例えば、酸濃度閾値)より低い。第2の領域413は、第2の現像ケミストリに可溶であり、且つ/或いは第1の現像ケミストリに対して不溶性である。
典型的に、第1の溶解度閾値及び第2の溶解度閾値は、感放射線材料の層402の材料特性によって決定される。中間の放射線露光量に対応する第3の領域414は、酸濃度がおよそ第1の溶解度閾値と第2の溶解度閾値との間の範囲内である露光勾配を有する。すなわち、第3の領域414は、第1の現像ケミストリ又は第2の現像ケミストリのどちらが感放射線材料層402に適用されても容易に溶けることができない。
EM放射線407への感放射線材料の層402の露光に続いて、露光された感放射線材料の層402は、第1のポスト露光ベーク(PEB)にて熱処理され得る。例えば、基板の温度が、約30秒から約180秒の時間にわたって約50℃と約200℃との間まで上昇され得る。PEBは、トラックシステムのモジュールにて実行され得る。
次に図4Cを参照するに、高い放射線露光量に対応する第1の領域412が、第1の現像ケミストリを用いた感放射線材料層402のポジ型現像を用いて選択的に除去され得る。一実施形態において、第1の領域412を選択的に除去する第1の現像ケミストリは、例えばアルカリ、アミンなどの塩基を含む。一実施形態において、第1の領域412を選択的に除去する第1の現像ケミストリはTMAH又はKOHを含む。他の一実施形態において、第1の領域412を選択的に除去する第1の現像ケミストリは、塩基、水、及び必要に応じての界面活性剤を含む。更なる一実施形態において、第1の領域412を除去する第1の現像ケミストリは有機溶媒ベースの組成物である。
一実施形態において、露光された感放射線材料の層402を有する基板401が、第1の現像ケミストリを含有する現像液と接触させられて、第1の現像ケミストリに溶けることができる第1の領域412が除去される。その後、基板401が乾燥される。この現像プロセスは、予め指定された継続時間(例えば、約30秒から約180秒)だけ、予め指定された温度(例えば、室温)で、予め指定された圧力(例えば、大気圧)で実行され得る。この現像プロセスは、トラックシステム(例えば、上述のトラックシステム)などの現像システムにて、基板を現像液に晒すことを含み得る。
図4Cに示すように、第1の限界寸法420’(第1の領域412が除去された領域に対応)、第2の限界寸法422’(第2の領域413に対応)、第3の限界寸法424’(両側に第3の領域414を有する第2の領域413に対応)、及び第4の限界寸法426’(両側に第3の領域414を有する除去された領域に対応)が、後述するように、調整、制御、且つ/或いは最適化され得る。
図4Cに示されるように、第2の領域413及び第3の領域414が、基板401上に残存して、感放射線材料ラインを構成する。
図4Dを参照するに、感放射線材料の層402の第1の現像ケミストリ処理を実行した後、露光された感放射線材料の層402は、第4の領域430を形成する条件に掛けられる。第3の領域414及び第2の領域413が実質的に均一なレベルの放射線露光、若しくは脱保護、極性、又はこれらの組合せに変質され、それにより第4の領域430が形成される。
一実施形態において、第4の領域430は、実質的に均一な、高い、酸発生剤の酸への変質割合を有し、これが後に、実質的に均一な脱保護ポリマーの領域をもたらす。第4の領域430を形成する第3の領域414及び第2の領域413内の酸発生剤の酸への高い変質割合に影響を及ぼす典型的な方法は、放射線のフラッド露光、酸洗浄処理、上昇された温度でベークを実行すること、及びこれらの組合せを含む。この実施形態の他の一態様において、第4の領域430は、脱保護されたポリマーの実質的に均一な領域である。第4の領域430内の脱保護レベルの実質的均一性は、後のケミストリとの均一な反応性を可能にする。すなわち、この均一性は、実質的に等方的なスリム化を可能にする。故に、曝露勾配の除去後、寸法W(すなわち、存在している限界寸法424’)が、図4Eに示すように、第4の領域430からの厚さxの実質的に等方的な除去によって、所望あるいは目標の限界寸法Wまでスリム化されて、所望の第5の領域432が形成され得る。
図4Eを参照するに、例えば保護されたポリヒドロキシスチレンベースのレジストなどの一般的な化学増幅ポジ型レジストを用いる本発明の実施形態によれば、感放射線材料ラインすなわち第4の領域430からの厚さxの実質的に等方的な除去を行って限界寸法Wを有するスリム化された感放射線材料ラインすなわち第5の領域432を実現することは:有機溶媒ベースの組成ケミストリの組成を調整し、水ベースの組成ケミストリの濃度を調整し、減少される層を提供する感放射線材料の層の組成を調整すること;有機溶媒ベースの組成の現像ケミストリ又は水ベースの組成の現像ケミストリを適用する時間長さを調整すること;現像ケミストリの温度を調整すること;又はこれらのうちの2つ以上の組合せによって達成され得る。逆に、例えばピナコールベースのレジストなどのその他の化学増幅レジストを用いる本発明の実施形態によれば、第4の領域430からの厚さxの実質的に等方的な除去を行って限界寸法Wを有するスリム化された第5の領域432を実現することは:低RMAXの有機溶媒を使用し且つ/或いは有機溶媒ベース組成のケミストリの組成を調整すること、従来からの水ベース組成を使用し、促進剤で水ベース組成の組成を調整すること、現像ケミストリの温度を調整すること、又はこれらのうちの2つ以上の組合せによって達成され得る。
次に図4B及び4Fを参照するに、第1の領域412及び第3の領域414に対して第2の領域413を選択的に除去するのに適したケミストリでの感放射線材料層402のネガ型現像プロセスを用いて、低い放射線露光量に対応する第2の領域413が選択的に除去されてもよい。例えば保護されたポリヒドロキシスチレンベースのレジストなどの一般的な化学増幅レジストを用いる本発明の一実施形態において、第2の領域413を選択的に除去する第2の現像ケミストリは、有機溶媒ベースの組成を含む。例えばピナコールベースのレジストなどのその他の化学増幅レジストを用いる本発明の他の一実施形態によれば、第2の領域413は、例えば極性アルコール溶媒などの好適な溶媒を用いて除去され得る。
図4Fに示すように、第1の限界寸法420”(第1の領域412に対応)、第2の限界寸法422”(第2の領域413が除去された領域に対応)、第3の限界寸法424”(両側に第3の領域414を有する除去された領域に対応)、及び第4の限界寸法426”(両側に第3の領域414を有する第1の領域412に対応)が、後述するように、調整、制御、且つ/或いは最適化され得る。
図4Fに示されるように、第1の領域412及び第3の領域414が、基板401上に残存して、感放射線材料ラインを構成する。
図4Gを参照するに、感放射線材料の層402の第2の現像ケミストリ処理を実行した後、露光された感放射線材料の層402は、第4の領域434を形成する条件に掛けられる。第3の領域414及び必要に応じて第1の領域413が実質的に均一なレベルの放射線露光、極性、若しくは脱保護、又はこれらの組合せに変質され、それにより第1の領域412と第3の領域414との結合体から第4の領域434が形成される。この変質を達成するのに適した方法は、上述したものを含む。
第4の領域434内の脱保護レベルの実質的均一性は、後のケミストリとの均一な反応性を可能にする。すなわち、この均一性は、実質的に等方的なスリム化を可能にする。故に、曝露勾配の除去後、寸法W(すなわち、存在している限界寸法426”)が、図4Hに示すように、第4の領域434からの厚さyの実質的に等方的な除去によって、所望あるいは目標の限界寸法Wまでスリム化されて、所望の第5の領域436が形成され得る。
次に図5を参照するに、本発明の一実施形態に係る基板をパターニングする方法のフローチャート500が示されている。フローチャート500は、保護されたポリマーと酸発生剤とを含む感放射線材料の層を基板上に形成することを有するステップ510で開始する。ステップ520にて、当該方法は、感放射線材料層のパターニング露光を実行することを含む。パターニング露光において、感放射線材料層が、マスク限界寸法(CD)を有するマスクを用いて或るパターンの電磁(EM)放射線に曝され、第1の領域、第2の領域、及び第3の領域が形成される。マスクCDは、マスクの不透明領域、マスクの透明領域、マスクピッチなどを特徴付ける如何なる限界寸法を含んでいてもよい。第1の領域は、高い放射線露光量を有するものとして特徴付けられ得る。第2の領域は、低い放射線露光量を有するものとして特徴付けられ得る。第3の領域は、中間の放射線露光量を有するものとして特徴付けられ得る。
ステップ530にて、基板の温度がポスト露光温度まで上昇されるポスト露光ベーク(PEB)が実行される。このPEBは、ポスト露光温度、基板がポスト露光温度に昇温される時間、ポスト露光温度を達成する加熱速度、ポスト露光温度から降温させる冷却速度、ポスト露光温度への基板の昇温中の基板周囲の気体環境の圧力、若しくはポスト露光温度への基板の昇温中の基板周囲の気体環境の組成、又はこれらのうちの2つ以上の組合せを設定することを有し得る。ポスト露光温度はランプ状又はステップ状にされ得る。
ポスト露光ベークの完了後、描画されたフォトレジスト層を現像することに関して2つの選択肢が存在する。ステップ540においては、第1の現像ケミストリを用いて第1の領域が基板から除去される感放射線材料層のポジ型現像が実行される。第1の領域の除去は、第1の限界寸法によって特徴付けられ得る。ポジ型現像プロセスは、第1の現像ケミストリの組成、第1の現像ケミストリを適用する時間長さ、若しくは第1の現像ケミストリを適用するための温度、又はこれらのうちの2つ以上の組合せを設定することを有し得る。一実施形態によれば、第1の現像ケミストリは、例えば塩基溶液などの極性組成を有し得る。第1の現像ケミストリは、塩基溶液、水、及び必要に応じての界面活性剤を更に有していてもよい。他の一実施形態によれば、第1の現像ケミストリは、例えば有機溶媒ベースの組成などの非極性組成を有し得る。その後に残存するものは、低い放射線露光量を有する第2の領域と、該第2の領域に直接隣接して位置する中間の露光量を有する第3の領域とを含んだ感放射線材料層である。この第2及び第3の領域の組み合わせが感放射線材料ラインを形成する。
ステップ540の相補的な一実施形態であるステップ550においては、第2の現像ケミストリを用いて第2の領域が基板から除去される感放射線材料層のネガ型現像が実行される。第2の領域の除去は、第2の限界寸法によって特徴付けられ得る。ネガ型現像プロセスは、第2の現像ケミストリの組成、第2の現像ケミストリを適用する時間長さ、若しくは第2の現像ケミストリを適用するための温度、又はこれらのうちの2つ以上の組合せを設定することを有し得る。一実施形態によれば、第2の現像ケミストリは、例えば有機溶媒ベースの組成などの非極性組成を有し得る。他の一実施形態によれば、第2の現像ケミストリは、例えばアルコール溶媒などの極性組成を有し得る。その後に残存するものは、高い放射線露光量を有する第1の領域と、該第1の領域に直接隣接して位置する中間の露光量を有する第3の領域とを含んだ感放射線材料層である。この第1及び第3の領域の組み合わせが感放射線材料ラインを形成する。
ステップ560にて、ステップ540を実行した後の第2及び第3の領域を有する感放射線材料ライン、又はステップ550を実行した後の第1及び第3の領域を有する感放射線材料ラインが、酸発生剤の酸への高い変質割合、ポリマーの高い脱保護割合、又はより疎水性ポリマーへの親水性ポリマーの酸触媒転位、に影響を及ぼすケミストリ及び/又は条件に晒される。例えばフラッド露光及びそれに続くポストフラッド露光ベーク;熱分解ベーク;又は酸洗浄及びそれに続くポスト酸洗浄ベーク;などの処理を実行することによって、露光勾配の除去を達成することができる。露光勾配を除去するこれらの方法は、第2及び第3の領域の結合、又は第1及び第3の領域の結合から得られる第4の領域を生み出す。更に後述するこれらの方法は、略均一な脱保護及び/又は極性と、放射線に対する不感受性とを有する第4の領域をもたらす。
ステップ570及び580にて、第4の領域のスリム化が実行され、感放射線材料ラインの寸法が実質的に均一的に縮小される。本発明の実施形態によれば、これは、更に後述する様々な方法によって達成され得る。
図6を参照するに、フローチャート600は、略均一な脱保護及び/又は極性を有する層を提供するように感放射線材料ラインのうちの少なくとも第3の領域の露光勾配を除去すること、すなわち、図5に示したポジ型現像540又はネガ型現像550の後のステップ560で露光勾配の除去を実行すること、の代替手法を示している。ステップ610にて、本発明の一実施形態によれば、感放射線材料層のフラッド露光が実行され得る。フラッド露光において、感放射線材料層は、パターン化されていない放射線に曝される。フラッド露光は、マスク又はレチクルを用いずに基板を電磁(EM)放射線に曝すことを有し得る。このEM放射線は、可視スペクトル内の波長若しくは紫外スペクトル内の波長、又はこれらの組合せを有し得る。また、フラッド露光は、連続EM放射線、パルス状EM放射線、多色EM放射線、単色EM放射線、広帯域EM放射線、若しくは狭帯域EM放射線、又はこれらの組合せに基板を曝すことを有し得る。
例えば、フラッド露光は、436nmのEM放射線、365nmのEM放射線、248nmのEM放射線、193nmのEM放射線、157nmのEM放射線、若しくは深紫外(DUV)EM放射線、又はこれらのうちの2つ以上の組合せに基板を曝すことを有し得る。また、例えば、フラッド露光は、感放射線材料層中に酸を作り出すことが可能な波長のEM放射線に基板を曝すことを有し得る。
フラッド露光に続いて、基板の温度がポストフラッド露光ベーク温度まで上昇されるポストフラッド露光ベーク(PFEB)が実行される。ポストフラッド露光ベークは、PFEB温度、基板がPFEB温度に昇温される時間、PFEB温度を達成する加熱速度、PFEB温度から降温させる冷却速度、PFEB温度への基板の昇温中の基板周囲の気体環境の圧力、若しくはPFEB温度への基板の昇温中の基板周囲の気体環境の組成、又はこれらのうちの2つ以上の組合せを設定することを有し得る。
ステップ620にて、本発明の他の一実施形態によれば、感放射線材料層の熱分解ベーク(TDB)が実行され得る。熱分解ベークでは、TDB温度は、酸発生剤が実質的に熱分解して酸を生成し、それにより、感放射線材料の酸触媒分解、若しくは、より疎水性ポリマーへの親水性ポリマーの酸触媒転位を支援するようになる温度;又は例えばt−ブチルカーボネート(tBOC)保護された感放射線材料などの保護ポリマーが実質的に脱保護される温度を含み得る。何れの場合も、最終的な結果は、第3の領域の露光勾配を実質的に除去するとともに、未だ露光されていない感放射線材料層の第2の領域を実質的に脱保護/極性低減/分解することである。なお、ベーク温度は、感放射線材料層のガラス転移温度(Tg)を超えるべきではない。
ステップ630にて、本発明の更なる他の一実施形態によれば、感放射線材料層の酸洗浄が実行され得る。酸洗浄は、感放射線材料層の表面に十分な量の酸を提供することができ、十分な温度まで加熱されることで、感放射線材料の脱保護又は熱分解が支援あるいは促進され得る。好適な酸洗浄は、例えば硫酸及びジクロル酢酸などの典型的な酸性化合物を有し得る。ステップ570及び580にて、第4の領域のスリム化が実行される。
図7及び8は、図5−6のステップ570及び580で第4の領域をスリム化する相補的な代替手法を示している。本発明の実施形態によれば、スリム化ケミストリは、単純に現像ケミストリに晒す時間を制御することによって、予測可能で再現可能な性能を可能にする等方的な溶解速度を実現するように調節あるいは調整され得る。例えば、現像のケミストリ及び/又は条件は、約0.1nm/secから約5nm/sec、約0.2nm/secから約4nm/sec、約0.5nm/secから約2nm/sec、又は約0.1nm/secから約1nm/secの範囲の溶解速度を確立するように変更され得る。一例において、溶解速度は約1nm/secとし得る。
図7を参照するに、フローチャート700は、略均一な脱保護及び/又は極性を有する感放射線材料ラインをスリム化する代替手法を示している。例えば保護されたポリヒドロキシスチレンベースのレジストなどの一般的な化学増幅ポジ型レジストを用いる一実施形態によれば、実質的に脱保護された第4の領域は、水ベースのケミストリが適用されるときには溶けやすいが、有機溶媒ベースの組成のケミストリが適用されるときには溶けにくい。
選択的なステップ710にて、スリム化は、実質的に脱保護された第4の領域430、434を有機溶媒ベースの組成と接触させることによって達成される。従って、有機溶媒ベースの組成は、有機溶媒を有するとともに、1つ以上のその他の有機化合物若しくは共溶媒と、必要に応じての水と、必要に応じての界面活性剤とを更に有していてもよい。故に、実質的に脱保護された感放射線材料ラインは、当該ラインを有機溶媒ベースの組成と接触させることによって、RMINで影響され得る。所望の溶解速度が得られるように溶媒又は溶媒混合物を選択することによって、有機溶媒ベースの組成のケミストリの最適化を容易に達成することができる。
選択的なステップ720−740においては、スリム化は、水ベースのケミストリを用いて達成され得る。水ベースのケミストリは、高度に脱保護された感放射線材料ラインに対して一般的に選択的であるが、低減されたスリム化速度を実現するように変更された条件下でなおも使用され得る。水ベースのケミストリの溶解速度は、例えば、水ベースのケミストリ溶液を希釈すること、感放射線材料層内にミューティング剤を含めること、又は超低温で水ベースのケミストリを実行するなどの方法によって低下され得る。上述のように、水ベースのケミストリは一般的に、例えばアルカリ、アミンなどの塩基と、水と、必要に応じての界面活性剤とを含む。1つの典型的な塩基は水酸化テトラメチルアンモニウム(TMAH)である。
選択的なステップ720にて、スリム化は、実質的に脱保護された第4の領域430、434を、希釈された水ベースの溶液と接触させることによって達成され得る。スリム化及び/又は現像に有用な商業的に入手可能な例示的な水ベース溶液は0.26N TMAH溶液であり、これを希釈することでスリム化速度を制御し得る。例えば、水ベースのケミストリを100倍、200倍、500倍、又は1000倍に希釈することよって、溶解速度が低下され得る。従って、典型的な希釈水ベース溶液は、約0.02N又はそれ未満の水酸化物の塩の濃度を有する。所望の溶解速度が得られるように適切な希釈率を選択することによって、希釈された水ベースのケミストリの最適化を容易に達成することができる。
選択的なステップ730にて、スリム化は、ミューティングあるいは抑止された感放射線材料層上で、実質的に脱保護された第4の領域430、434を水ベースのケミストリと接触させることによって達成され得る。例えば、膜スタックの形成において、感放射線材料溶液は更にミューティング剤を有していてもよい。従って、このプロセスは、高い脱保護レベルでRMAX又はその近くで現像する水ベースのケミストリを使用するが、ミューティング剤の存在が、実質的に脱保護された感放射線材料ラインの実効的な溶解速度を所望の溶解速度まで低下させる。1つの典型的なミューティング剤はコール酸である。
選択的なステップ740にて、スリム化は、実質的に脱保護された第4の領域430、434を水ベースのケミストリと超低温で接触させることによって達成され得る。ここでは、超低温は、現像ケミストリの凝固点よりも高く且つ室温より低い温度として定義される。例えば、超低温は、約0℃から約20℃、約0℃から約15℃、又は約5℃から約10℃の範囲とし得る。
また、以上のスリム化手法710−740は組み合わされ得る。スリム化工程は、有機溶媒ベースの組成ケミストリの組成を調整すること、水ベースのケミストリの濃度を調整すること、感放射線材料層の組成を調整すること、有機溶媒ベースの組成ケミストリ若しくは水ベースのケミストリを適用する時間長さを調整すること、スリム化ケミストリの温度を調整すること、又はこれらのうちの2つ以上の組合せによって達成され得る。
図8を参照するに、フローチャート800は、略均一な脱保護及び/又は極性を有する感放射線材料ラインをスリム化する代替手法を示している。例えばピナコールベースのレジストなどの化学増幅された親水性ポリマーのレジストを用いる一実施形態によれば、感放射線材料ラインは、実質的にあまり極性でない第4の領域430、434であり、有機溶媒ベースの組成ケミストリが適用されるときには溶けやすいが、水ベースのケミストリが適用されるときには溶けにくい。
選択的なステップ810にて、スリム化は、実質的にあまり極性でない第4の領域430、434を超低温で有機溶媒ベースの組成と接触させることによって達成され得る。従って、有機溶媒ベースの組成は、有機溶媒を有するとともに、1つ以上のその他の有機化合物若しくは共溶媒と、必要に応じての水と、必要に応じての界面活性剤とを更に有していてもよい。ここでは、超低温は、有機溶媒ベースの組成の凝固点より高く且つ20℃より低い温度として定義される。例えば、超低温は、約0℃から約20℃、約0℃から約15℃、又は約5℃から約10℃の範囲とし得る。
選択的なステップ820にて、スリム化は、実質的にあまり極性でない第4の領域430、434を、低いRMAXを有する有機溶媒ベースの組成と接触させることによって達成され得る。従って、有機溶媒ベースの組成は、有機溶媒を有するとともに、1つ以上のその他の有機化合物若しくは共溶媒と、必要に応じての水と、必要に応じての界面活性剤とを更に有していてもよい。故に、実質的にあまり極性でない感放射線材料ラインのスリム化は、ラインを有機溶媒ベースの組成と低RMAXで接触させることによって影響され得る。所望の低めのRMAX分解速度が得られるように溶媒又は溶媒混合物を選択することによって、有機溶媒ベースの組成のケミストリの最適化を容易に達成することができる。
代替的なステップ830−850において、スリム化は、水ベースのケミストリを使用して達成され得る。実質的にあまり極性でない第4の領域430、434は、水ベースのケミストリに比較的溶けにくく、存在する部分的な溶解性が、第4の領域430、434をスリム化するために利用され得る。
選択的なステップ830にて、スリム化は、第4の領域430、434を水ベースのケミストリ溶液と接触させることによって達成され得る。スリム化及び/又は現像に有用な商業的に入手可能な例示的な水ベース溶液は0.26N TMAH溶液であり、これを希釈することでスリム化速度を制御し得る。所望の溶解速度が得られるように適切な希釈率を選択することによって、水ベースの組成の最適化を容易に達成することができる。例えば、水ベースのケミストリを100倍、200倍、500倍、又は1000倍に希釈することよって、溶解速度が低下され得る。従って、典型的な希釈水ベース溶液は、約0.02N又はそれ未満の水酸化物の塩の濃度を有する。
選択的なステップ840にて、スリム化は、第4の領域430、434を、促進剤を有する水ベースのケミストリ溶液と接触させることによって達成され得る。促進剤は、疎水性の第4の領域430、434を促進されたRMINで溶解する促進された水ベースの能力を高めるように、極めて極性の水組成を修飾する。換言すれば、促進剤は、あまり極性でない感放射線材料ラインの実効的な溶解速度を所望の溶解速度まで高めるように、水ベースのケミストリの極性を低減させ得る。水組成の実効的な溶解速度を高め得る他の促進剤は、アルカリ金属を含んだ塩を含む。例示的な促進剤は、リチウム、ナトリウム、カリウム、ルビジウム又はセシウムを含んだ塩を含む。
選択的なステップ850にて、スリム化は、実質的に脱保護された第4の領域430、434を、上昇温度で水ベースのケミストリと接触させることによって達成され得る。ここでは、上昇温度は、約30℃より高く且つ約100℃より低い温度として定義される。例えば、上昇温度は、約30℃から約80℃、約35℃から約50℃、又は約30℃から約40℃の範囲とし得る。
また、以上のスリム化手法810−850は組み合わされ得る。スリム化工程は、有機溶媒ベースの組成ケミストリの組成を調整すること、水ベースのケミストリの濃度を調整すること、促進剤を用いて水ベースのケミストリの組成を調整すること、有機溶媒ベースの組成ケミストリ若しくは水ベースのケミストリを適用する時間長さを調整すること、スリム化ケミストリの温度を調整すること、又はこれらのうちの2つ以上の組合せによって達成され得る。
本発明の特定の実施形態のみを詳細に説明したが、当業者が容易に認識するように、これらの実施形態には、本発明の新規な教示及び利点を実質的に逸脱することなく、数多くの変更が可能である。従って、そのような変更は全て、本発明の範囲に含まれるものである。
本発明をその1つ以上の実施形態の記述によって例示し、それらの実施形態をかなり詳細に説明したが、それらは、請求項の範囲をそのような詳細事項に限定することを意図したものではない。更なる利点及び変更が当業者に容易に明らかになる。広い態様における本発明は、図示して説明した具体的な詳細事項、装置、方法、例示の例に限定されるものではない。従って、一般的発明概念の範囲を逸脱することなく、そのような詳細事項からの逸脱が為され得る。
本出願は、米国特許出願第12/751362号の一部継続出願であり、その内容をここに援用する。

Claims (16)

  1. 基板をパターニングする方法であって:
    基板上に、ピナコールベースのレジストを有する感放射線材料の層を形成する工程と、
    或るパターンの放射線に前記感放射線材料の層を曝す露光工程であり、該パターンは:
    高い放射線露光量を有する第1の領域、
    低い放射線露光量を有する第2の領域、及び
    およそ前記高い放射線露光量からおよそ前記低い放射線露光量までの範囲内の露光勾配を有する第3の領域
    を有する、露光工程と、
    前記露光後のポスト露光ベークを実行する工程と、
    前記感放射線材料の層を第1の有機溶媒ベースの組成物と接触させることによってポジ型現像を実行する工程であり、前記第1の領域を前記基板から除去して、現像された感放射線材料の層を形成する、工程と、
    前記第2の領域及び前記第3の領域を、実質的に均一なレベルの放射線露光量となるように露光された、及び/又は実質的に均一なレベルの極性を有した、及び/又は前記感放射線材料が保護されたタイプである場合に実質的に均一なレベルに脱保護された第4の領域へと変換することによって、前記第3の領域の前記露光勾配を除去する工程と、
    前記第4の領域を幅狭化する工程と
    を有する方法。
  2. 前記感放射線材料の層は:
    親水性ポリマーであり、
    前記感放射線材料の層の、前記露光、及び前記露光後の前記ポスト露光ベーク、
    前記感放射線材料の層の、酸洗浄、及びポスト酸洗浄ベーク、
    前記感放射線材料の熱分解温度以上の温度への前記感放射線材料の層の加熱、若しくは
    これらのうちの2つ以上の組合せ
    を実行することを受けて極性を低下させる親水性ポリマー、又は
    酸発生剤であり、
    前記感放射線材料の層の、前記露光、及び前記露光後の前記ポスト露光ベーク、
    前記感放射線材料の層の、前記酸洗浄、及び前記ポスト酸洗浄ベーク、
    前記感放射線材料の前記熱分解温度以上の前記温度への前記感放射線材料の層の前記加熱、若しくは
    これらのうちの2つ以上の組合せ
    を実行することを受けて、より疎水性のポリマーへの前記親水性ポリマーの酸触媒転位を実現する酸発生剤
    を有する、請求項1に記載の方法。
  3. 前記露光勾配を除去する工程は:
    前記現像された感放射線材料の層のフラッド露光及びポストフラッド露光ベークを実行すること、又
    前記現像された感放射線材料の層を前記感放射線材料の熱分解温度以上の温度に加熱すること
    を有する、請求項1に記載の方法。
  4. 前記第4の領域を幅狭化する工程は、前記第4の領域を超低温で第2の有機溶媒ベースの組成物と接触させて、前記第4の領域の一部を除去することを有し、前記超低温は、25℃より低く、且つ前記第2の有機溶媒ベースの組成物の凝固点より高い、請求項3に記載の方法。
  5. 前記第4の領域を幅狭化する工程は、前記第4の領域を第2の有機溶媒ベースの組成物と接触させて、前記第4の領域の一部を除去することを有し、前記第2の有機溶媒ベースの組成物は約0.1nm/secから約5nm/secまでの範囲内の溶解速度を達成するものである、請求項3に記載の方法。
  6. 前記第4の領域を幅狭化する工程は、前記第4の領域を水ベースの溶液と接触させて、前記第4の領域の一部を除去することを有する、請求項3に記載の方法。
  7. 前記第4の領域を幅狭化する工程は、前記第4の領域を促進剤を有する水溶液と接触させて、前記第4の領域の一部を除去することを有する、請求項3に記載の方法。
  8. 前記促進剤は、アルカリ金属を有する塩である、請求項7に記載の方法。
  9. 前記第4の領域を幅狭化する工程は、前記第4の領域を水ベースの溶液と、約30℃から該水ベースの溶液の沸点までの範囲内の温度で接触させることを有する、請求項3に記載の方法。
  10. 基板をパターニングする方法であって:
    基板上に、ピナコールベースのレジストを有する感放射線材料の層を形成する工程と、
    或るパターンの放射線に前記感放射線材料の層を曝す露光工程であり、該パターンは:
    高い放射線露光量を有する第1の領域、
    低い放射線露光量を有する第2の領域、及び
    およそ前記高い放射線露光量からおよそ前記低い放射線露光量までの範囲内の露光勾配を有する第3の領域
    を有する、露光工程と、
    前記露光後のポスト露光ベークを実行する工程と、
    前記感放射線材料の層を第1の水ベースの溶液と接触させることによって前記感放射線材料の層のネガ型現像を実行する工程であり、前記第2の領域を前記基板から除去して、現像された感放射線材料の層を形成する、工程と、
    前記第3の領域及び必要に応じて前記第1の領域を、実質的に均一なレベルの放射線露光量となるように露光された、及び/又は実質的に均一なレベルの極性を有した、及び/又は前記感放射線材料が保護されたタイプである場合に実質的に均一なレベルに脱保護された、前記第1及び第3の領域から形成された第4の領域へと変換することによって、前記第3の領域の前記露光勾配を除去する工程と、
    前記第4の領域を幅狭化する工程と
    を有し、
    前記感放射線材料の層は:
    材料であり、
    前記感放射線材料の層の、前記露光、及び前記露光後の前記ポスト露光ベーク、
    前記感放射線材料の層の、酸洗浄、及びポスト酸洗浄ベーク、
    前記感放射線材料の熱分解温度以上の温度への前記感放射線材料の層の加熱、若しくは
    これらのうちの2つ以上の組合せ
    を実行することを受けて極性を減少させる材料;又は
    酸発生剤であり、
    前記感放射線材料の層の、前記露光、及び前記露光後の前記ポスト露光ベーク、
    前記感放射線材料の層の、前記酸洗浄、及び前記ポスト酸洗浄ベーク、
    前記感放射線材料の前記熱分解温度以上の前記温度への前記感放射線材料の層の前記加熱、若しくは
    これらのうちの2つ以上の組合せ
    を実行することを受けて、酸を生成する酸発生剤;又は
    保護ポリマーであり、当該保護ポリマーの熱分解温度以上の温度への加熱を受けて脱保護される保護ポリマー;
    を有し、
    前記露光勾配を除去する工程は:
    前記現像された感放射線材料の層のフラッド露光及びポストフラッド露光ベークを実行すること、又は
    前記現像された感放射線材料の層を前記感放射線材料の熱分解温度以上の温度に加熱すること
    を有し、
    前記第4の領域を幅狭化する工程は、前記第4の領域を超低温で有機溶媒ベースの組成物と接触させて、前記第4の領域の一部を除去することを有し、前記超低温は、25℃より低く、且つ前記有機溶媒ベースの組成物の凝固点より高い、方法。
  11. 基板をパターニングする方法であって:
    基板上に、ピナコールベースのレジストを有する感放射線材料の層を形成する工程と、
    或るパターンの放射線に前記感放射線材料の層を曝す露光工程であり、該パターンは:
    高い放射線露光量を有する第1の領域、
    低い放射線露光量を有する第2の領域、及び
    およそ前記高い放射線露光量からおよそ前記低い放射線露光量までの範囲内の露光勾配を有する第3の領域
    を有する、露光工程と、
    前記露光後のポスト露光ベークを実行する工程と、
    前記感放射線材料の層を第1の水ベースの溶液と接触させることによって前記感放射線材料の層のネガ型現像を実行する工程であり、前記第2の領域を前記基板から除去して、現像された感放射線材料の層を形成する、工程と、
    前記第3の領域及び必要に応じて前記第1の領域を、実質的に均一なレベルの放射線露光量となるように露光された、及び/又は実質的に均一なレベルの極性を有した、及び/又は前記感放射線材料が保護されたタイプである場合に実質的に均一なレベルに脱保護された、前記第1及び第3の領域から形成された第4の領域へと変換することによって、前記第3の領域の前記露光勾配を除去する工程と、
    前記第4の領域を幅狭化する工程と
    を有し、
    前記感放射線材料の層は:
    材料であり、
    前記感放射線材料の層の、前記露光、及び前記露光後の前記ポスト露光ベーク、
    前記感放射線材料の層の、酸洗浄、及びポスト酸洗浄ベーク、
    前記感放射線材料の熱分解温度以上の温度への前記感放射線材料の層の加熱、若しくは
    これらのうちの2つ以上の組合せ
    を実行することを受けて極性を減少させる材料;又は
    酸発生剤であり、
    前記感放射線材料の層の、前記露光、及び前記露光後の前記ポスト露光ベーク、
    前記感放射線材料の層の、前記酸洗浄、及び前記ポスト酸洗浄ベーク、
    前記感放射線材料の前記熱分解温度以上の前記温度への前記感放射線材料の層の前記加熱、若しくは
    これらのうちの2つ以上の組合せ
    を実行することを受けて、酸を生成する酸発生剤;又は
    保護ポリマーであり、当該保護ポリマーの熱分解温度以上の温度への加熱を受けて脱保護される保護ポリマー;
    を有し、
    前記露光勾配を除去する工程は:
    前記現像された感放射線材料の層のフラッド露光及びポストフラッド露光ベークを実行すること、又は
    前記現像された感放射線材料の層を前記感放射線材料の熱分解温度以上の温度に加熱すること
    を有し、
    前記第4の領域を幅狭化する工程は、前記第4の領域を有機溶媒ベースの組成物と接触させて前記第4の領域の一部を除去することを有し、前記有機溶媒ベースの組成物は約0.1nm/secから約5nm/secまでの範囲内の溶解速度を達成するものである、方法。
  12. 基板をパターニングする方法であって:
    基板上に、ピナコールベースのレジストを有する感放射線材料の層を形成する工程と、
    或るパターンの放射線に前記感放射線材料の層を曝す露光工程であり、該パターンは:
    高い放射線露光量を有する第1の領域、
    低い放射線露光量を有する第2の領域、及び
    およそ前記高い放射線露光量からおよそ前記低い放射線露光量までの範囲内の露光勾配を有する第3の領域
    を有する、露光工程と、
    前記露光後のポスト露光ベークを実行する工程と、
    前記感放射線材料の層を第1の水ベースの溶液と接触させることによって前記感放射線材料の層のネガ型現像を実行する工程であり、前記第2の領域を前記基板から除去して、現像された感放射線材料の層を形成する、工程と、
    前記第3の領域及び必要に応じて前記第1の領域を、実質的に均一なレベルの放射線露光量となるように露光された、及び/又は実質的に均一なレベルの極性を有した、及び/又は前記感放射線材料が保護されたタイプである場合に実質的に均一なレベルに脱保護された、前記第1及び第3の領域から形成された第4の領域へと変換することによって、前記第3の領域の前記露光勾配を除去する工程と、
    前記第4の領域を幅狭化する工程と
    を有し、
    前記感放射線材料の層は:
    材料であり、
    前記感放射線材料の層の、前記露光、及び前記露光後の前記ポスト露光ベーク、
    前記感放射線材料の層の、酸洗浄、及びポスト酸洗浄ベーク、
    前記感放射線材料の熱分解温度以上の温度への前記感放射線材料の層の加熱、若しくは
    これらのうちの2つ以上の組合せ
    を実行することを受けて極性を減少させる材料;又は
    酸発生剤であり、
    前記感放射線材料の層の、前記露光、及び前記露光後の前記ポスト露光ベーク、
    前記感放射線材料の層の、前記酸洗浄、及び前記ポスト酸洗浄ベーク、
    前記感放射線材料の前記熱分解温度以上の前記温度への前記感放射線材料の層の前記加熱、若しくは
    これらのうちの2つ以上の組合せ
    を実行することを受けて、酸を生成する酸発生剤;又は
    保護ポリマーであり、当該保護ポリマーの熱分解温度以上の温度への加熱を受けて脱保護される保護ポリマー;
    を有し、
    前記露光勾配を除去する工程は:
    前記現像された感放射線材料の層のフラッド露光及びポストフラッド露光ベークを実行すること、又は
    前記現像された感放射線材料の層を前記感放射線材料の熱分解温度以上の温度に加熱すること
    を有し、
    前記第4の領域を幅狭化する工程は、前記第4の領域を第2の水ベースの溶液と接触させて前記第4の領域の一部を除去することを有する、方法。
  13. 基板をパターニングする方法であって:
    基板上に、ピナコールベースのレジストを有する感放射線材料の層を形成する工程と、
    或るパターンの放射線に前記感放射線材料の層を曝す露光工程であり、該パターンは:
    高い放射線露光量を有する第1の領域、
    低い放射線露光量を有する第2の領域、及び
    およそ前記高い放射線露光量からおよそ前記低い放射線露光量までの範囲内の露光勾配を有する第3の領域
    を有する、露光工程と、
    前記露光後のポスト露光ベークを実行する工程と、
    前記感放射線材料の層を第1の水ベースの溶液と接触させることによって前記感放射線材料の層のネガ型現像を実行する工程であり、前記第2の領域を前記基板から除去して、現像された感放射線材料の層を形成する、工程と、
    前記第3の領域及び必要に応じて前記第1の領域を、実質的に均一なレベルの放射線露光量となるように露光された、及び/又は実質的に均一なレベルの極性を有した、及び/又は前記感放射線材料が保護されたタイプである場合に実質的に均一なレベルに脱保護された、前記第1及び第3の領域から形成された第4の領域へと変換することによって、前記第3の領域の前記露光勾配を除去する工程と、
    前記第4の領域を幅狭化する工程と
    を有し、
    前記感放射線材料の層は:
    材料であり、
    前記感放射線材料の層の、前記露光、及び前記露光後の前記ポスト露光ベーク、
    前記感放射線材料の層の、酸洗浄、及びポスト酸洗浄ベーク、
    前記感放射線材料の熱分解温度以上の温度への前記感放射線材料の層の加熱、若しくは
    これらのうちの2つ以上の組合せ
    を実行することを受けて極性を減少させる材料;又は
    酸発生剤であり、
    前記感放射線材料の層の、前記露光、及び前記露光後の前記ポスト露光ベーク、
    前記感放射線材料の層の、前記酸洗浄、及び前記ポスト酸洗浄ベーク、
    前記感放射線材料の前記熱分解温度以上の前記温度への前記感放射線材料の層の前記加熱、若しくは
    これらのうちの2つ以上の組合せ
    を実行することを受けて、酸を生成する酸発生剤;又は
    保護ポリマーであり、当該保護ポリマーの熱分解温度以上の温度への加熱を受けて脱保護される保護ポリマー;
    を有し、
    前記露光勾配を除去する工程は:
    前記現像された感放射線材料の層のフラッド露光及びポストフラッド露光ベークを実行すること、又は
    前記現像された感放射線材料の層を前記感放射線材料の熱分解温度以上の温度に加熱すること
    を有し、
    前記第4の領域を幅狭化する工程は、前記第4の領域を促進剤を有する第2の水ベースの溶液と接触させて、前記第4の領域の一部を除去することを有する、方法。
  14. 前記促進剤は、アルカリ金属を有する塩である、請求項13に記載の方法。
  15. 基板をパターニングする方法であって:
    基板上に、ピナコールベースのレジストを有する感放射線材料の層を形成する工程と、
    或るパターンの放射線に前記感放射線材料の層を曝す露光工程であり、該パターンは:
    高い放射線露光量を有する第1の領域、
    低い放射線露光量を有する第2の領域、及び
    およそ前記高い放射線露光量からおよそ前記低い放射線露光量までの範囲内の露光勾配を有する第3の領域
    を有する、露光工程と、
    前記露光後のポスト露光ベークを実行する工程と、
    前記感放射線材料の層を第1の水ベースの溶液と接触させることによって前記感放射線材料の層のネガ型現像を実行する工程であり、前記第2の領域を前記基板から除去して、現像された感放射線材料の層を形成する、工程と、
    前記第3の領域及び必要に応じて前記第1の領域を、実質的に均一なレベルの放射線露光量となるように露光された、及び/又は実質的に均一なレベルの極性を有した、及び/又は前記感放射線材料が保護されたタイプである場合に実質的に均一なレベルに脱保護された、前記第1及び第3の領域から形成された第4の領域へと変換することによって、前記第3の領域の前記露光勾配を除去する工程と、
    前記第4の領域を幅狭化する工程と
    を有し、
    前記感放射線材料の層は:
    材料であり、
    前記感放射線材料の層の、前記露光、及び前記露光後の前記ポスト露光ベーク、
    前記感放射線材料の層の、酸洗浄、及びポスト酸洗浄ベーク、
    前記感放射線材料の熱分解温度以上の温度への前記感放射線材料の層の加熱、若しくは
    これらのうちの2つ以上の組合せ
    を実行することを受けて極性を減少させる材料;又は
    酸発生剤であり、
    前記感放射線材料の層の、前記露光、及び前記露光後の前記ポスト露光ベーク、
    前記感放射線材料の層の、前記酸洗浄、及び前記ポスト酸洗浄ベーク、
    前記感放射線材料の前記熱分解温度以上の前記温度への前記感放射線材料の層の前記加熱、若しくは
    これらのうちの2つ以上の組合せ
    を実行することを受けて、酸を生成する酸発生剤;又は
    保護ポリマーであり、当該保護ポリマーの熱分解温度以上の温度への加熱を受けて脱保護される保護ポリマー;
    を有し、
    前記露光勾配を除去する工程は:
    前記現像された感放射線材料の層のフラッド露光及びポストフラッド露光ベークを実行すること、又は
    前記現像された感放射線材料の層を前記感放射線材料の熱分解温度以上の温度に加熱すること
    を有し、
    前記第4の領域を幅狭化する工程は、前記第4の領域を第2の水ベースの溶液と、約30℃から該水ベースの溶液の沸点までの範囲内の温度で接触させることを有する、方法。
  16. 基板をパターニングする方法であって:
    基板上に感放射線材料の層を形成する工程と、
    或るパターンの放射線に前記感放射線材料の層を曝す露光工程であり、該パターンは:
    高い放射線露光量を有する第1の領域、
    低い放射線露光量を有する第2の領域、及び
    およそ前記高い放射線露光量からおよそ前記低い放射線露光量までの範囲内の露光勾配を有する第3の領域
    を有する、露光工程と、
    前記露光後のポスト露光ベークを実行する工程と、
    前記感放射線材料の層を第1の有機溶媒ベースの組成物と接触させることによってネガ型現像を実行する工程であり、前記第2の領域を前記基板から除去して、現像された感放射線材料の層を形成する、工程と、
    前記第3の領域及び必要に応じて前記第1の領域を、実質的に均一なレベルの放射線露光量となるように露光された、及び/又は実質的に均一なレベルの極性を有した、及び/又は前記感放射線材料が保護されたタイプである場合に実質的に均一なレベルに脱保護された、前記第1及び第3の領域から形成された第4の領域へと変換することによって、前記第3の領域の前記露光勾配を除去する工程であり、
    前記現像された感放射線材料の層のフラッド露光及びポストフラッド露光ベークを実行すること、又
    前記現像された感放射線材料の層を前記感放射線材料の熱分解温度以上の温度に加熱すること
    を有する、露光勾配を除去する工程と、
    前記第4の領域を第2の有機溶媒ベースの組成物と接触させることによって前記第4の領域を幅狭化する工程と
    を有し、
    前記感放射線材料の層は:
    材料であり、
    前記感放射線材料の層の、前記露光、及び前記露光後の前記ポスト露光ベーク、
    前記感放射線材料の層の、酸洗浄、及びポスト酸洗浄ベーク、
    前記感放射線材料の熱分解温度以上の温度への前記感放射線材料の層の加熱、若しくは
    これらのうちの2つ以上の組合せ
    を実行することを受けて極性を増大させる材料;又は
    酸発生剤であり、
    前記感放射線材料の層の、前記露光、及び前記露光後の前記ポスト露光ベーク、
    前記感放射線材料の層の、前記酸洗浄、及び前記ポスト酸洗浄ベーク、
    前記感放射線材料の前記熱分解温度以上の前記温度への前記感放射線材料の層の前記加熱、若しくは
    これらのうちの2つ以上の組合せ
    を実行することを受けて、酸を生成する酸発生剤;又は
    保護ポリマーであり、当該保護ポリマーの熱分解温度以上の温度への加熱を受けて脱保護される保護ポリマー;
    を有する、
    方法。
JP2014502631A 2011-03-31 2012-03-21 リソグラフィ適用において感放射線材料のラインを幅狭化する方法 Active JP5944484B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/077,833 2011-03-31
US13/077,833 US8435728B2 (en) 2010-03-31 2011-03-31 Method of slimming radiation-sensitive material lines in lithographic applications
PCT/US2012/029905 WO2012134910A1 (en) 2011-03-31 2012-03-21 Method of slimming radiation-sensitive material lines in lithographic applications

Publications (2)

Publication Number Publication Date
JP2014510954A JP2014510954A (ja) 2014-05-01
JP5944484B2 true JP5944484B2 (ja) 2016-07-05

Family

ID=45929039

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014502631A Active JP5944484B2 (ja) 2011-03-31 2012-03-21 リソグラフィ適用において感放射線材料のラインを幅狭化する方法

Country Status (6)

Country Link
US (1) US8435728B2 (ja)
JP (1) JP5944484B2 (ja)
KR (1) KR101938905B1 (ja)
CN (1) CN103547968B (ja)
TW (1) TWI560527B (ja)
WO (1) WO2012134910A1 (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US8980651B2 (en) 2011-09-30 2015-03-17 Tokyo Electron Limited Overlay measurement for a double patterning
US8647817B2 (en) 2012-01-03 2014-02-11 Tokyo Electron Limited Vapor treatment process for pattern smoothing and inline critical dimension slimming
US9086631B2 (en) 2012-08-27 2015-07-21 Tokyo Electron Limited EUV resist sensitivity reduction
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
JP2015082046A (ja) * 2013-10-23 2015-04-27 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法、及び、電子デバイス
KR20230156842A (ko) 2014-10-23 2023-11-14 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
US9612536B2 (en) * 2015-08-31 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Developer for lithography
JP6805244B2 (ja) 2015-10-13 2020-12-23 インプリア・コーポレイションInpria Corporation 有機スズオキシドヒドロキシドのパターン形成組成物、前駆体およびパターン形成
JP2017068281A (ja) * 2016-12-27 2017-04-06 Hoya株式会社 フォトマスクの製造方法、パターン転写方法及び表示装置の製造方法
WO2019240891A1 (en) * 2018-06-15 2019-12-19 Mattson Technology, Inc. Methods and apparatus for post exposure bake processing of a workpiece
KR20210046052A (ko) * 2018-08-23 2021-04-27 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 시스템
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
US20200326627A1 (en) * 2019-04-12 2020-10-15 Inpria Corporation Organometallic photoresist developer compositions and processing methods
KR20220147617A (ko) 2020-03-02 2022-11-03 인프리아 코포레이션 무기 레지스트 패터닝을 위한 공정 환경
CN113845082B (zh) * 2021-09-08 2022-10-18 清华大学 辐射热流调控器件及其应用

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07117751B2 (ja) 1987-12-14 1995-12-18 株式会社日立製作所 感光剤
US5741624A (en) 1996-02-13 1998-04-21 Micron Technology, Inc. Method for reducing photolithographic steps in a semiconductor interconnect process
JPH09251210A (ja) 1996-03-15 1997-09-22 Toshiba Corp レジストパターンの形成方法
US5914202A (en) 1996-06-10 1999-06-22 Sharp Microeletronics Technology, Inc. Method for forming a multi-level reticle
JP2000035672A (ja) * 1998-03-09 2000-02-02 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
JP4689082B2 (ja) * 2001-06-06 2011-05-25 富士通株式会社 ネガ型レジスト組成物
TWI238465B (en) * 2002-07-24 2005-08-21 Toshiba Corp Method of forming pattern and substrate processing apparatus
WO2004012012A1 (ja) * 2002-07-30 2004-02-05 Hitachi, Ltd. 電子装置の製造方法
JP2004086203A (ja) * 2002-08-07 2004-03-18 Renesas Technology Corp 微細パターン形成材料および電子デバイスの製造方法
US6740473B1 (en) * 2002-11-28 2004-05-25 United Microelectronics Corp. Method for shrinking critical dimension of semiconductor devices
US20040166448A1 (en) 2003-02-26 2004-08-26 United Microelectronics Corp. Method for shrinking the image of photoresist
JP4040515B2 (ja) 2003-03-26 2008-01-30 株式会社東芝 マスクのセット、マスクデータ作成方法及びパターン形成方法
US6905811B2 (en) 2003-04-22 2005-06-14 Headway Technologies, Inc. Method to form reduced dimension pattern with good edge roughness
TWI281690B (en) 2003-05-09 2007-05-21 Toshiba Corp Pattern forming method, and manufacturing method for semiconductor using the same
JPWO2005108444A1 (ja) 2004-05-06 2008-03-21 Jsr株式会社 ラクトン系共重合体および感放射線性樹脂組成物
KR100598290B1 (ko) * 2004-05-20 2006-07-07 동부일렉트로닉스 주식회사 리소그래피 공정의 감광막 패턴 형성 방법
RU2346996C2 (ru) 2004-06-29 2009-02-20 ЮРОПИЭН НИКЕЛЬ ПиЭлСи Усовершенствованное выщелачивание основных металлов
US7897323B2 (en) 2004-11-25 2011-03-01 Nxp B.V. Lithographic method
JP4588551B2 (ja) * 2005-06-16 2010-12-01 富士通株式会社 レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
JP2006351861A (ja) 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
CN100427285C (zh) * 2006-09-11 2008-10-22 东华大学 聚乳酸/ε-聚己内酯嵌段共聚物形成微观结构的方法
JP4554665B2 (ja) * 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
KR100989567B1 (ko) 2007-05-15 2010-10-25 후지필름 가부시키가이샤 패턴형성방법
US7811923B2 (en) 2007-07-17 2010-10-12 International Business Machines Corporation Integrated wafer processing system for integration of patternable dielectric materials
JP5154395B2 (ja) * 2008-02-28 2013-02-27 東京エレクトロン株式会社 半導体装置の製造方法及びレジスト塗布・現像処理システム
US8257911B2 (en) 2008-08-26 2012-09-04 Tokyo Electron Limited Method of process optimization for dual tone development
JP4671065B2 (ja) 2008-09-05 2011-04-13 信越化学工業株式会社 ダブルパターン形成方法
US8283111B2 (en) 2008-09-17 2012-10-09 Tokyo Electron Limited Method for creating gray-scale features for dual tone development processes
JP4779028B2 (ja) * 2009-02-27 2011-09-21 パナソニック株式会社 パターン形成方法
US8568964B2 (en) * 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
JP2010267879A (ja) * 2009-05-15 2010-11-25 Tokyo Electron Ltd レジストパターンのスリミング処理方法
WO2011000020A1 (en) 2009-06-12 2011-01-06 Sbc Research Pty Ltd Enhanced method of detection
US8338086B2 (en) 2010-03-31 2012-12-25 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications

Also Published As

Publication number Publication date
WO2012134910A1 (en) 2012-10-04
US20110244403A1 (en) 2011-10-06
KR20140031884A (ko) 2014-03-13
US8435728B2 (en) 2013-05-07
KR101938905B1 (ko) 2019-01-15
CN103547968B (zh) 2016-03-23
TWI560527B (en) 2016-12-01
TW201303520A (zh) 2013-01-16
CN103547968A (zh) 2014-01-29
JP2014510954A (ja) 2014-05-01

Similar Documents

Publication Publication Date Title
JP5944484B2 (ja) リソグラフィ適用において感放射線材料のラインを幅狭化する方法
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
US10281819B2 (en) Silicon-containing photoresist for lithography
US8338086B2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
TWI459440B (zh) 微影應用中之雙型顯影用之全面性曝光製程
CN106226998B (zh) 光刻方法
TWI662369B (zh) 半導體元件的形成方法
US8574810B2 (en) Dual tone development with a photo-activated acid enhancement component in lithographic applications
CN108983546A (zh) 微影方法
US10520821B2 (en) Lithography process with enhanced etch selectivity
KR100772801B1 (ko) 반도체 소자의 제조 방법
US8257911B2 (en) Method of process optimization for dual tone development
TWI737782B (zh) 微影圖案化的方法
US20100055624A1 (en) Method of patterning a substrate using dual tone development
TWI761987B (zh) 負光阻及其顯影的方法
TW202238276A (zh) 微影的方法
CN115524944A (zh) 用于制造半导体装置的方法和***

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150609

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151006

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160517

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160525

R150 Certificate of patent or registration of utility model

Ref document number: 5944484

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250