TWI611997B - 用於傳送基板的氣動端效器設備與基板傳送系統 - Google Patents

用於傳送基板的氣動端效器設備與基板傳送系統 Download PDF

Info

Publication number
TWI611997B
TWI611997B TW103133258A TW103133258A TWI611997B TW I611997 B TWI611997 B TW I611997B TW 103133258 A TW103133258 A TW 103133258A TW 103133258 A TW103133258 A TW 103133258A TW I611997 B TWI611997 B TW I611997B
Authority
TW
Taiwan
Prior art keywords
base
end effector
pneumatic
coupled
substrate
Prior art date
Application number
TW103133258A
Other languages
English (en)
Other versions
TW201515977A (zh
Inventor
格林博格丹尼爾
瑪強達亞彥
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201515977A publication Critical patent/TW201515977A/zh
Application granted granted Critical
Publication of TWI611997B publication Critical patent/TWI611997B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/0052Gripping heads and other end effectors multiple gripper units or multiple end effectors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J15/00Gripping heads and other end effectors
    • B25J15/06Gripping heads and other end effectors with vacuum or magnetic holding means
    • B25J15/0616Gripping heads and other end effectors with vacuum or magnetic holding means with vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

提供用於在電子裝置製造系統的系統元件間傳送基板的包含氣動端效器之系統、設備與方法。在一個態樣中,端效器具有經調整而與機械臂元件連接的基部,及定位於該基部上的一或多個氣吸元件。使用氣動源於氣吸元件以將基板拉入而與端效器的接觸墊接觸。揭露方法與系統及眾多其他態樣。

Description

用於傳送基板的氣動端效器設備與基板傳送系統 【相關申請案的交叉引用】
本申請案主張於2013年9月26日提出申請的美國臨時專利申請案第61/882,787號之優先權權益,該美國臨時專利申請案發明名稱為「用於傳送基板的氣動端效器設備、基板傳送系統與方法」(代理人案號21232/L),本申請案之參考整體上結合此美國臨時專利申請案之揭露。
本發明係關於電子裝置的製造,而更特定言之係關於用於傳送基板的端效器設備、系統與方法。
在電子裝置的製造中,到此等半導體晶圓(例如,基板-圖案以及非圖案的)的產品與記憶體物件、前導物件可藉由機械臂設備於各式製造設施的元件之間與工具中傳送。例如,在傳送腔室中可由一個處理腔室傳送到另一個處理腔室,從負載鎖定室傳送到處理腔室,從基板載體傳送到叢集工具的生產介面中的載入口,或諸如此類。在此機械臂傳送 期間,對於具有速度與精確度的基板移動與放置係有需求的。端效器上任何基板的滑動可能導致不必要的粒子被產生且可能需要失準校正,其可能係花費時間的。
因此,尋求電子裝置製造中用於傳送基板具效率的系統、設備與方法。
根據第一態樣,提供一種用於電子裝置製造系統中的系統元件間傳送基板的端效器設備。該端效器設備包括經調整而與機械臂元件連接的基部,及定位在該基部上的氣吸元件。
在另一個態樣中,提供一種用於電子裝置製造系統系統元件之間傳送基板的基板傳送系統。該基板傳送系統包括機械臂元件,及與機械臂元件耦接的端效器,端效器包含經調整而與機械臂元件連接的基部,及定位在該基部上的氣吸元件。
在又另一個態樣中,提供一種於電子裝置製造系統的元件之間傳送基板的方法。該方法包括提供與機械臂元件耦接的端效器,端效器具有一或多個氣吸元件及三個或三個以上接觸墊,支撐在三個或三個以上接觸墊上的基板,及經由一或多個氣吸元件的操作而產生吸力以將基板拉入而與至少三個接觸墊有增加的接觸,該吸力超過重力所提供的力。
根據本發明的此等與其他實施例,提供諸多其他態樣。本發明實施例的其他特徵與態樣將從以下詳盡說明、所附申請專利範圍與所附圖示而得以彰顯。
100‧‧‧端效器
102‧‧‧基部
105A‧‧‧氣吸元件
105B‧‧‧氣吸元件
105C‧‧‧氣吸元件
105D‧‧‧氣吸元件
106‧‧‧第一支腳
106T‧‧‧第一支腳尖端
107‧‧‧第二支腳
107T‧‧‧第二支腳尖端
108‧‧‧連接部分
109‧‧‧機械臂元件
111A‧‧‧氣動通道
111B‧‧‧氣動通道
111C‧‧‧氣動通道
111D‧‧‧氣動通道
112A‧‧‧凹槽
112B‧‧‧凹槽
112C‧‧‧凹槽
112D‧‧‧凹槽
114‧‧‧蓋件
115‧‧‧主要連接部
116‧‧‧主要氣動供應通道
118‧‧‧氣動供應系統
120‧‧‧氣動源
122‧‧‧閥
124‧‧‧控制器
325‧‧‧主體
326‧‧‧導件部分
328‧‧‧內凹部
330A‧‧‧流動埠
330B‧‧‧流動埠
330C‧‧‧流動埠
332‧‧‧凸緣部分
333‧‧‧環形流動通道
334‧‧‧凹孔
335‧‧‧主要板
336‧‧‧外壁
338‧‧‧密封件
340‧‧‧固定件
342‧‧‧接觸墊
345‧‧‧基板
346‧‧‧支柱
400‧‧‧基板傳送系統
450‧‧‧機械臂
452‧‧‧傳送腔室
454‧‧‧主框架殼體
455A‧‧‧處理腔室
455B‧‧‧處理腔室
455C‧‧‧處理腔室
455D‧‧‧處理腔室
455E‧‧‧處理腔室
455F‧‧‧處理腔室
456A‧‧‧負載鎖定室
456B‧‧‧負載鎖定室
458‧‧‧臂
460‧‧‧臂
461‧‧‧機械臂
462‧‧‧生產介面
464‧‧‧基板載體
500‧‧‧方法
600‧‧‧端效器
602‧‧‧基部
605A‧‧‧氣吸元件
605B‧‧‧氣吸元件
606‧‧‧第一支腳
607‧‧‧第二支腳
611A‧‧‧氣動通道
611B‧‧‧氣動通道
612A‧‧‧凹槽
612B‧‧‧凹槽
612C‧‧‧凹槽
612D‧‧‧凹槽
615‧‧‧主要連接部
625‧‧‧主體
626‧‧‧導件部分
628‧‧‧內凹部
630A‧‧‧流動埠
630B‧‧‧流動埠
630C‧‧‧流動埠
630D‧‧‧流動埠
632‧‧‧凸緣部分
633‧‧‧環形流動通道
636‧‧‧外壁
642‧‧‧接觸墊
645‧‧‧基板
第1圖根據實施例繪示包括氣吸元件的端效器之透視圖。
第2圖根據實施例繪示包括裸露氣動通道(移除覆蓋物)的端效器基部之實施例的部分之下側透視圖。
第3A圖繪示沿著第1圖的線3A-3A之端效器的氣吸元件之截面示意圖。
第3B圖係根據實施例的端效器設備的吸力元件之透視圖。
第4圖根據實施例繪示包括帶有一或多個氣吸元件的端效器之電子裝置處理系統之頂部概要示意圖。
第5圖係根據實施例繪示了介於電子裝置製造系統的元件之間傳送基板的方法之流程圖。
第6A-6C圖根據實施例繪示帶有一或多個氣吸元件的另一各端效器之各式組件與元件的示意圖。
電子裝置製造處理使用各式前導物件以產生最後裝置,如半導體晶圓、玻璃板、遮罩(本發明中的全部此等前導物件被稱為「基板」(「substrate」或「substrates」))。在基板從製造處理過程與系統中的一個位置到另一個位置的傳送期間,基板可由端效器(有時被稱為「葉片(blade)」)承載。在傳送期間,基板靜置在端效器上且可預期葉片與基板之間的滑動被減少或消除。當經歷橫向移動時,減少或消除端效器與基板之間的此相對滑動移動可減少定位誤差、減 少當實際滑動產生時的重定位時間(如失準校正時間),即可進一步減少粒子產生,粒子產生可能用為污染工具、其他系統元件及基板。
本發明人發現,如果提供適當的向下吸力用於將基板夾到端效器或將基板黏接到端效器,則端效器與固定的基板的加速度(如垂直與(或)橫向加速度)增加。因為基板可在各式系統元件之間被更快速地移動,如此可轉換成增加的處理量,潛在地導致降低電子裝置製造成本。此外,可減少的粒子產生可能導致改善的處理產率。此外,亦可期望夾緊或黏接機制可被輕易地操作與放開。
根據第一態樣,端效器設備的一或多個實施例可包括具有一或多個氣吸元件(在所繪示的實施例中有多個)於其中的基部。氣動元件可操作性地增加黏附力而將基板吸引到端效器的接觸墊。因此,端效器與固定的基板的快速移動可藉由使用一或多個氣動元件而執行。
根據另一個實施例,提供一種基板傳送系統。基板傳送系統可包括機械構件,如機械腕、機械臂與(或)一系列的機械元件,及端效器設備包括與機械構件耦接的一或多個氣吸元件。包括一或多個氣吸元件的端效器可適當產生高黏附力(有時稱為「夾緊力」)使得在垂直與(或)橫向移動期間可減少或消除端效器與基板之間的滑動。在某些例子中,可達到在基板上大於1磅的吸力。
包括一或多個氣吸元件、基板傳送系統的端效器設備以及於電子裝置製造系統的元件之間傳送基板及操作端效 器與系統的方法之此等與其他實施例參考第1-6C圖而描述於下。相同的數字編號在各處用於代表相同的元件。
第1-3B圖根據本發明一或多個實施例繪示端效器100及端效器100的各式元件。端效器100包括基部102,基部102包含經調整而附接於機械臂元件(未圖示出)的第一端,及相對於第一端的端上之第二端,第二端包括第一支腳106與第二支腳107。如圖所示,基部102可係實質平坦,且可具有約0.118英吋(3mm)至約0.157英吋(4mm)之間的厚度,且可由適當材料製造,如鋁、鈦、不鏽鋼或陶瓷。根據基板的尺寸與端效器100可暴露的處理溫度,可使用其他的材料與尺寸。
基部102與第一支腳及第二支腳106、107可如實際容納讓基板支撐件(如處理腔室的升舉銷(未圖示出))通過的寬度。端效器100可藉由適當方法(如藉由固定件(如螺絲、鉚釘、夾具、快拆或其類似物))附接於第一端上的機械臂元件109(如第4圖中虛線所示的機械腕或可選擇的機械臂)。
一或多個氣動元件(如氣吸元件105A-105D)可藉由機械固定或凹陷定位在基部102上。如圖所示的係四個氣吸元件,但可依據所需額外黏附力的程度而提供少如一個或多如四個或更多。在所示的端效器100中,複數個氣吸元件105A-105D定位在基部102上。可依適當間距設置複數個氣吸元件105A-105D。例如,在所繪示的實施例中,第一氣吸元件105A可設置於靠近於第一支腳尖端106T的第一支腳 106。第二氣吸元件105B可設置於靠近於第二支腳尖端107T的第二支腳107。第三氣吸元件105C與甚至於第四氣吸元件105D可設置於靠近連接部分108的基部102上。連接部分108經調整而與機械臂元件109耦接(如虛線所示)。如本說明書將進一步討論,由於所提供的至少1 lb.的吸力(至少1.1N),端效器100經調整而產生完全向下的吸力以將基板345夾持在端效器100上(見第4圖)。在某些實驗性的實施例中,預估各氣吸元件在約60psi的流處會產生約4.3N。同樣地,在40psi的流處,可產生約0.43 lb.(約1.9N)的力。所以使用四個氣吸元件105A-105D並在40psi處操作可能產生約1.7 lb.的黏附力(約0.43 lb.x4=1.7 lb.(約7.5N))。
端效器100可包括形成於基部102內的一或多個氣動通道(如111A-111D)。如所示,提供四個氣動通道111A-111D。氣動通道111A-111D與氣吸元件105A-105D連接且在氣吸元件105A-105D處提供氣動流。通道111A-111D可藉由凹槽112A-112D與容納於該等凹槽之上的一或多個蓋件114(只有一個蓋件114示於第2圖中)之組件形成。凹槽112A-112D可於基部102的下側中形成,圖示於第2圖。因此,氣動通動111A-111D可於基部102的層之間形成。蓋件114(只圖示了一個)可容納於凹穴中且固定於其中,凹穴環繞基部102中的凹槽112A-112D。可藉由固定件、烤(braising)、黏接劑等固定。因此,在所繪示的實施例中,基部102中的氣動通道111A-111D可由具有凹槽112A-112D形成於其中的第一層及包含蓋件114的第二層組成。因此, 氣動通道111A-111D可介於層之間形成,如介於基部102的層之間。可使用其他用於連接到氣吸元件105A-105D的適當構造與導管。
在某些實施例中,氣動通道111A-111D可往氣吸元件105A-105D延展且可與氣吸元件105A-105D相交。各氣動通道111A、111D可與主要連接部115相交。主要連接部115可與主要氣動供應通道116耦接,如第1圖中的主要氣動供應通道116(以虛線示於第1圖中),其可將氣動通道111A-111D及氣吸元件105A-105D連接至氣動供應系統118。在某些實施例中,主要氣動供應通道116可經由端效器100所附接的機械臂的各式臂元件而通過。
通過主要氣動供應通道116的流以及由氣吸元件105A-105D施於基板的吸力程度可由氣動供應系統118控制。氣動供應系統118可包括氣動源120、一或多個閥122及控制器124。氣動源120可包括泵、儲存器、蓄電池及(或)供應約25slm至約90slm之間的流動速率之適當氣動元件。可使用用於控制氣體流動的其他流動速率與方法。可藉由氣動供應系統118打開及關閉流動或者可控制或調整流動速率。
現在參考第3A與3B圖,將描述氣吸元件105A-105D的細節。氣吸元件105A-105D的各個可係與本說明書所述的氣吸元件105A相同。如圖所示,氣吸元件105A包括主體325,主體325經調整而與基部102耦接的且具有導件(pilot)部分326、內凹部328,及與內凹部328相交並通過進入內凹部328的一或多個流動埠330A-330C。在繪示的實施例中, 凸緣部分332亦可被提供。如圖示,氣吸元件105A包括形成於主體325與凹孔334之間的環形流動通道333,凹孔334於基部102中形成。環形流動通道333與氣動通道111A相交,氣動通道111A於基部102中形成且氣流自氣動通道111A接收。如第3A圖中所示,蓋件114與基部102的主要板335可形成氣動通道111A。
在所繪示的實施例中,圖示了三個流動埠330A-330C。然而,可使用較少個或更多個。流動埠330A-330C於環形流動通道333與內凹部328之間連接。具體言之,流動埠330A-330C與內凹部328的外壁336相交。在所繪示的實施例中,一或多個流動埠330A-330C通過進入內凹部328並經配置而將流導向內凹部328的外壁336。例如,流動埠330A-330C可係斜角的使得流以與內凹部328的外壁336相切的方式進入內凹部328。在此方式中,通過流動埠330A-330C的流可設定類渦流的流圖案,類渦流的流圖案在內凹部328中附近循環移動。
如圖所示,主體325可容納於凹孔334中且可提供凸緣部分332而與該密封件338密封接觸,如O形環密封件。固定件340可將氣吸元件105A固定到基部102。
此外,氣吸元件105A可包括接觸墊342,用於將基板345(只顯示了部分)從基部102分隔出適當距離。在所繪示的實施例中,接觸墊342與主體325耦接,如與支柱346耦接,支柱346在內凹部328中向上延伸。在第1圖與第2圖所繪示的實施例中,接觸墊342的數量可實質上由每氣吸 元件105A-105D一個接觸墊組成。在其他實施例中,接觸墊342可在適當位置與基部102耦接或與基部102整合,即不同於氣吸元件105A-105D的位置。
接觸墊342可係任何適合形狀(在頂視圖中),如圓形、卵形、正方形、六邊形、八邊形或矩形。可使用其他形狀。在所繪示的實施例中,兩個接觸墊342可在橫向方向分隔開,如在支腳106、107上,且至少一個以上可設置在基部102的其他地方上。在所繪示的實施例中,接觸墊342可實質設置在氣吸元件105A的中心線上。在某些實施例中,接觸墊342提供與基板345至少三點的接觸,從而提供介於基板345與基部102頂表面之間的間隙。該間隙在某些實施例中可係約0.5mm至約1.5mm之間。例如,可使用小於0.15mm的間隙,或甚至可使用小於0.10mm,或甚至可使用小於0.9mm。可使用其他的間隙尺寸。
接觸墊342可藉由任何適當的方法固定至主體325,如焊接、壓配合、黏接、以螺絲旋住、閂或其他機械固定,或類似者。接觸墊342可具有平坦或半圓頂外形。
第4圖繪示經調整而用於在電子裝置製造系統元件之間傳送基板345(虛線所示)的基板傳送系統400。基板傳送系統400包括機械臂元件109,如機械腕元件或其他可移除的機械臂元件或臂。在所繪示的實施例中,機械臂元件109可係機械臂450的元件(如機械腕構件),其可設置在所繪示實施例中的主框架殼體454的傳送腔室452中。機械臂450與所耦接的端效器100可經配置與調整而將基板345(在第4 圖中以虛線表示)於叢集工具的各式腔室來回傳送,如在處理腔室455A-455F來回傳送,及如在負載鎖定室456A、456B來回傳送。與機械臂元件109耦接的端效器100可係包括本說明書所述的一或多個氣吸元件105A-105D的端效器100的任一個。
如圖所示,可與端效器100的主要連接部115(第1圖)耦接的主要氣動供應通道116可經由機械臂450的各式元件通過,例如經由機械臂元件109與臂458、460通過並與氣動供應系統118耦接。
機械臂450可係機械臂的任意形式,如三連桿機械臂、四連桿機械臂、選擇順應性關節機械臂(SCARA)機械臂,或可獨立控制機械臂。可使用其他類型的機械臂。例如,機械臂450可包括支撐基部,經調整而安置到如主框架殼體454。選擇性地,包括本說明書所述的端效器100之生產介面機械臂461(如虛線框所示)可用於生產介面462中而將基板從與生產介面462的載入口及負載鎖定室456A、456B耦接的基板載體464傳送。在某些實施例中,機械臂450、461可包括垂直(Z軸)移動能力。沿著Z軸的端效器100之垂直運動可用於完成將基板345放置於基板支撐件上,如藉由將基板345放置在處理腔室(如任何一或多個處理腔室455A-455F)中的升舉銷上,或放置在如基板載體464或負載鎖定室456A、456B中的槽部上。機械臂450、461可包括任意數量的機械臂,其可由帶或其他傳輸元件連接與驅動。機械臂控制器(未圖示出)可提供適當的控制訊號到機械臂 450、461以控制端效器100的移動。氣動供應系統118的控制器124(第1圖)可與機械臂控制器整合或通訊以完成基板345的傳送。
端效器100可經調整而與任何適當的機械臂450、461使用,經調整以傳送基板345。例如,端效器100可經調整而與如美國專利號5,789,878;5,879,127;6,267,549;6,379,095;6,582,175;與6,722,834及美國專利公開號2010/0178147;2013/0039726;2013/0149076:2013/0115028;與2010/0178146中所述的機械臂使用。同樣地,端效器100可經調整而與其他類型與構造的處理腔室使用。
第5圖繪示於電子裝置製造系統的元件之間傳送基板的方法500(見第4圖)。方法500包括,在502中,提供與機械臂元件(如機械臂元件109,如腕構件或其他機械臂元件)耦接的端效器(如端效器100),端效器具有一或多個氣吸元件(如氣吸元件105A、105B、105C與(或)105D)及三個或三個以上的接觸墊(如接觸墊342)。
方法500進一步包括,在504中,將基板(如基板345)支撐在三個或三個以上接觸墊上,及,在506中,經由一或多個氣吸元件的操作產生吸力而將基板拉入與至少三個接觸墊的增加之接觸,該吸力超過重力所提供的力。吸力提供的額外之向下力可係1 lb.(約4.45N)或更多而超過重力提供的力。
第6A-6C圖繪示端效器600的另一個實施例及其各式元件。端效器600包括基部602,基部602包括經調整而附 接於機械臂元件的第一端(未圖示出),及相對於第一端的端上之第二端,第二端包括第一支腳606與第二支腳607。如圖所示,基部602可係實質平坦,且可具有一厚度,且可由如上所討論的材料製成。
端效器100可藉由任何適合的方法而附接於機械臂元件109,如藉由固定件(如閂、螺絲、鉚釘、夾具、快拆或類似物)。
一或多個氣吸元件可藉由機械固定件、烤或凹陷而定位在基部602上。如圖所示的係兩個氣吸元件605A、605B,但可依據超過重力的所需額外黏附力(如吸力)的程度而提供少如一個或多如四個或更多。在所繪示的端效器600中,氣吸元件605A、605B定位於基部602上。除了在支腳606、607上,氣吸元件605A、605B可設置在基部602上。
端效器600可包括形成於其中的一或多個氣動通道(如611A、611B)。如所繪示的,氣動通道611A、611B提供在氣吸元件605A、605B處的氣動流。通道611A、611B可藉由凹槽612A、612B與凹槽612C、612D的組件形成,凹槽612A、612B於基部602中形成,凹槽612C、612D於主體625中形成。因此,氣動通道611A、611B可於基部602與主體625的層之間形成。主體625可容納於凹穴中,凹穴於基部602中形成。可藉由固定件或黏接劑或類似物固定。
在某些實施例中,氣動通道611A、611B可向氣吸元件605A、605B延伸且可與氣吸元件605A、605B延伸相交。各氣動通道611A、611B可與主要連接部615相交。主要連接 部615可與主要氣動供應通道耦接,如第1圖中的主要氣動供應通道116。
再參考第6A-6C圖,將描述氣吸元件605A、605B的細節。氣吸元件605A、605B的各個可係相同,但只是鏡像。如圖所示,氣吸元件605A、605B包括經調整而與基部602耦接的主體625。主體625與基部602形成導件部分626、內凹部628,及與內凹部628相交並通過進入內凹部628的一或多個流動埠630A-630D。
在繪示的實施例中,亦提供凸緣部分632。如圖示,氣吸元件605A、605B各包括形成於主體625與基部602之間的環形流動通道633,其中環形流動通道633與氣動通道611A、611B相交。
在繪示的實施例中,圖示四個流動埠630A-630D。然而,可使用較少個或更多個。流動埠630A-630D連接並提供環形流動通道633與內凹部628之間的流動埠。具體言之,流動埠630A-630D與內凹部628的外壁636相交。在繪示的實施例中,一或多個流動埠630A-630D通過進入內凹部628並經配置而將氣體流導向內凹部628的外壁636。例如,流動埠630A-630D可係斜角的使得氣體流以與內凹部328的外壁636相切的方式進入內凹部628。在此方式中,通過流動埠630A-630D的流可在內凹部628中設定類渦流的氣體流圖案,而產生在基板645(在第6A圖中以虛線表示)上的向下力。
如所示,主體625可容納於基部602的凹孔中且可 與該主體密封接觸,如藉由焊接、烤、黏接劑、固定件等。
此外,基部602可包括將基板645從基部602分隔一適當距離的接觸墊642。接觸墊642的數量可包括三個或三個以上且可在適當位置與基部602耦接或整合。
接觸墊642可係任意形狀(在頂視圖中),如圓形、卵形、正方形、六邊形、八邊形或矩形。可使用其他形狀。兩個接觸墊642最好可在橫向方向分隔開,如在支腳606、607上,且至少一個以上可設置在基部602的其他地方上。在所繪示的實施例中,接觸墊642提供與基板645至少三點的接觸,從而提供介於基板645與基部602頂表面之間的間隙。該間隙在某些實施例中可係約0.5mm至約1.5mm之間。例如,可使用小於0.15mm的間隙,或甚至可使用小於0.10mm,或甚至可使用小於0.9mm。可使用其他的間隙尺寸。接觸墊342可具有平坦或半圓頂外形。
前述說明只揭露了本發明的示範實施例。落於本發明範圍內的以上揭露之系統、設備與方法的變化將對發明所屬領域具有通常知識者彰顯。因此,雖然已揭露本發明與其相關聯的若干實施例,但可藉由以下申請專利範圍所定義而了解其他實施例可落於本發明範圍內。
100‧‧‧端效器
102‧‧‧基部
105A‧‧‧氣吸元件
105B‧‧‧氣吸元件
105C‧‧‧氣吸元件
105D‧‧‧氣吸元件
106‧‧‧第一支腳
106T‧‧‧第一支腳尖端
107‧‧‧第二支腳
107T‧‧‧第二支腳尖端
108‧‧‧連接部分
109‧‧‧機械臂元件
111A‧‧‧氣動通道
111B‧‧‧氣動通道
111C‧‧‧氣動通道
111D‧‧‧氣動通道
115‧‧‧主要連接部
116‧‧‧主要氣動供應通道
118‧‧‧氣動供應系統
120‧‧‧氣動源
122‧‧‧閥
124‧‧‧控制器

Claims (15)

  1. 一種端效器設備,包括:一基部,該基部經調整而連接到一機械臂元件;及一氣吸元件,該氣吸元件定位於該基部上,該氣吸元件包含一主體、一內凹部、一環形流動通道、一導件部分及一或多個流動埠,該主體與該基部耦接,該環形流動通道包含一環,該環圍繞該內凹部且設置在該主體與該基部之間,該導件部分介於該環形流動通道與該內凹部之間,該一或多個流動埠連接於該環形流動通道與該內凹部之間且穿過該導件部分。
  2. 如請求項1所述之端效器設備,包括複數個定位於該基部上的氣吸元件,其中該等氣吸元件由該主體與該基部形成。
  3. 如請求項1所述之端效器設備,其中該端效器經調整而產生至少1 lb的一總吸力。
  4. 如請求項1所述之端效器設備,其中該基部進一步包括一第一支腳與一第二支腳。
  5. 如請求項1所述之端效器設備,其中該基部包括一第一層與第二層。
  6. 如請求項1所述之端效器設備,包括至少部分形成於該基部內的氣動通道。
  7. 如請求項6所述之端效器設備,其中該等氣動通道形成於層之間。
  8. 如請求項1所述之端效器設備,其中該基部進一步包括一第一層與一第二層,及至少一個氣動通道形成於該等層之間並往氣吸元件延伸。
  9. 如請求項1所述之端效器設備,其中該氣吸元件包括經調整而與該基部耦接的一主體及與該主體耦接的一接觸墊。
  10. 如請求項1所述之端效器設備,其中該氣吸元件包括經調整而與該基部耦接的一主體及一環形流動通道,該環形流動通道包含形成於該主體與該基部之間的一環,其中該環形流動通道與一氣動通道相交。
  11. 如請求項1所述之端效器設備,其中通過進入該內凹部的該一或多個流動埠與該內凹部的一外壁實質地相切。
  12. 一種用於在電子裝置製造系統元件之間傳送一基板的基板傳送系統,包括:一機械臂元件;及 一端效器,該端效器與該機械臂元件耦接,該端效器包含一基部,該基部經調整而與一機械臂元件連接,及一氣吸元件,該氣吸元件定位在該基部上,該氣吸元件包含一主體、一內凹部、一環形流動通道、一導件部分及一或多個流動埠,該主體與該基部耦接,該環形流動通道包含一環,該環圍繞該內凹部且設置在該主體與該基部之間,該導件部分介於該環形流動通道與該內凹部之間,該一或多個流動埠連接於該環形流動通道與該內凹部之間且穿過該導件部分。
  13. 如請求項12所述之基板傳送系統,包括至少部分形成於該基部的一或多個氣動通道。
  14. 如請求項13所述之基板傳送系統,包括與該一或多個氣動通道耦接的一氣動供應系統。
  15. 如請求項12所述之基板傳送系統,包括該氣吸元件,及定位於該基部上的至少一個其他氣吸元件。
TW103133258A 2013-09-26 2014-09-25 用於傳送基板的氣動端效器設備與基板傳送系統 TWI611997B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361882787P 2013-09-26 2013-09-26
US61/882,787 2013-09-26

Publications (2)

Publication Number Publication Date
TW201515977A TW201515977A (zh) 2015-05-01
TWI611997B true TWI611997B (zh) 2018-01-21

Family

ID=52691092

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103133258A TWI611997B (zh) 2013-09-26 2014-09-25 用於傳送基板的氣動端效器設備與基板傳送系統

Country Status (6)

Country Link
US (1) US9202738B2 (zh)
JP (1) JP6362681B2 (zh)
KR (1) KR20160062095A (zh)
CN (1) CN105556654B (zh)
TW (1) TWI611997B (zh)
WO (1) WO2015048303A1 (zh)

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6224437B2 (ja) * 2013-11-26 2017-11-01 東京エレクトロン株式会社 基板搬送装置
US9370863B2 (en) * 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11183401B2 (en) 2015-05-15 2021-11-23 Suss Microtec Lithography Gmbh System and related techniques for handling aligned substrate pairs
US10825705B2 (en) 2015-05-15 2020-11-03 Suss Microtec Lithography Gmbh Apparatus, system, and method for handling aligned wafer pairs
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
KR101636069B1 (ko) * 2015-12-08 2016-07-11 주식회사 라파스 마이크로구조체 제조방법
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10566230B2 (en) * 2016-04-01 2020-02-18 Sunpower Corporation Gripper for semiconductor devices
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10090188B2 (en) 2016-05-05 2018-10-02 Applied Materials, Inc. Robot subassemblies, end effector assemblies, and methods with reduced cracking
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
NL2018244B1 (en) 2017-01-27 2018-08-07 Suss Microtec Lithography Gmbh Endeffektor
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
CN108695217B (zh) * 2017-04-07 2021-06-29 台湾积体电路制造股份有限公司 衬底传送装置及其端缘作用器
TWI636523B (zh) * 2017-04-07 2018-09-21 台灣積體電路製造股份有限公司 基材傳送裝置及其端緣作用器
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US20190013215A1 (en) * 2017-07-05 2019-01-10 Kawasaki Jukogyo Kabushiki Kaisha Substrate holding hand and substrate conveying apparatus including the same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US20190131161A1 (en) * 2017-10-27 2019-05-02 Kla-Tencor Corporation Substrate Handling Apparatus for Extreme Warped Wafers
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11121019B2 (en) * 2018-06-19 2021-09-14 Kla Corporation Slotted electrostatic chuck
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10553472B2 (en) * 2018-06-22 2020-02-04 Jabil Inc. Apparatus, system and method for providing a bernoulli-based semiconductor wafer pre-aligner
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102204884B1 (ko) * 2018-09-27 2021-01-19 세메스 주식회사 기판 반송 로봇 및 기판 처리 설비
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11600580B2 (en) 2019-02-27 2023-03-07 Applied Materials, Inc. Replaceable end effector contact pads, end effectors, and maintenance methods
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11458639B2 (en) * 2019-07-18 2022-10-04 Mujin, Inc. Systems for changing tools on a gripper apparatus
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004186355A (ja) * 2002-12-03 2004-07-02 Nikon Corp 吸着保持部材及び吸着保持装置
US20050110292A1 (en) * 2002-11-26 2005-05-26 Axcelis Technologies, Inc. Ceramic end effector for micro circuit manufacturing
CN1765013A (zh) * 2004-03-03 2006-04-26 秋山泉 非接触保持装置和非接触保持传送装置
JP2007176637A (ja) * 2005-12-27 2007-07-12 Harmotec Corp 非接触搬送装置
JP2007216329A (ja) * 2006-02-15 2007-08-30 Uinzu:Kk ハンド
JP2008087910A (ja) * 2006-10-02 2008-04-17 Smc Corp 非接触搬送装置
US20110160897A1 (en) * 2008-07-10 2011-06-30 Kawasaki Jukogyo Kabushiki Kaisha Robot and instruction method thereof

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5789878A (en) 1996-07-15 1998-08-04 Applied Materials, Inc. Dual plane robot
US5955858A (en) 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
AT411304B (de) 1997-06-18 2003-11-25 Sez Ag Träger für scheibenförmige gegenstände, insbesondere silizium-wafer
US6722834B1 (en) 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6267549B1 (en) 1998-06-02 2001-07-31 Applied Materials, Inc. Dual independent robot blades with minimal offset
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
US6513848B1 (en) 1999-09-17 2003-02-04 Applied Materials, Inc. Hydraulically actuated wafer clamp
US6379095B1 (en) 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US6582175B2 (en) 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
US6817640B2 (en) 2001-06-28 2004-11-16 Applied Materials, Inc. Four-bar linkage wafer clamping mechanism
US6935830B2 (en) * 2001-07-13 2005-08-30 Tru-Si Technologies, Inc. Alignment of semiconductor wafers and other articles
US6682113B2 (en) 2001-11-16 2004-01-27 Applied Materials, Inc. Wafer clamping mechanism
JP2003282668A (ja) * 2002-03-26 2003-10-03 Dainippon Screen Mfg Co Ltd 非接触基板保持装置
KR200404848Y1 (ko) * 2005-10-27 2005-12-28 에스브이에스 주식회사 웨이퍼 이송암
KR100916532B1 (ko) * 2007-01-19 2009-09-11 피에스케이 주식회사 기판 반송 장치
CN201102246Y (zh) * 2007-11-16 2008-08-20 沈阳芯源微电子设备有限公司 传送手臂
JP5250864B2 (ja) * 2008-03-26 2013-07-31 Smc株式会社 搬送装置
DE202008010424U1 (de) 2008-07-30 2009-12-24 J. Schmalz Gmbh Mit Druckluft betriebener Flächensauggreifer
JP4629763B2 (ja) * 2008-10-17 2011-02-09 日本特殊陶業株式会社 配線基板の非接触搬送装置及び方法、樹脂製配線基板の製造方法
WO2010081003A2 (en) 2009-01-11 2010-07-15 Applied Materials, Inc. Systems, apparatus and methods for moving substrates
KR101778519B1 (ko) 2009-01-11 2017-09-15 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조시에 기판을 이송하기 위한 로봇 시스템, 장치 및 방법
CN102349145B (zh) 2009-01-11 2015-05-27 应用材料公司 用于传送基板的静电末端执行器设备、***和方法
US8777547B2 (en) 2009-01-11 2014-07-15 Applied Materials, Inc. Systems, apparatus and methods for transporting substrates
JP2011138877A (ja) * 2009-12-28 2011-07-14 Seiko Epson Corp 非接触保持体及び非接触保持ハンド
TW201222715A (en) 2010-07-02 2012-06-01 Fortrend Engineering Corp Thin substrate, mass-transfer bernoulli end-effector
US9076829B2 (en) 2011-08-08 2015-07-07 Applied Materials, Inc. Robot systems, apparatus, and methods adapted to transport substrates in electronic device manufacturing
US9076830B2 (en) 2011-11-03 2015-07-07 Applied Materials, Inc. Robot systems and apparatus adapted to transport dual substrates in electronic device manufacturing with wrist drive motors mounted to upper arm
WO2013090181A1 (en) 2011-12-12 2013-06-20 Applied Materials, Inc Fully-independent robot systems, apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050110292A1 (en) * 2002-11-26 2005-05-26 Axcelis Technologies, Inc. Ceramic end effector for micro circuit manufacturing
JP2004186355A (ja) * 2002-12-03 2004-07-02 Nikon Corp 吸着保持部材及び吸着保持装置
CN1765013A (zh) * 2004-03-03 2006-04-26 秋山泉 非接触保持装置和非接触保持传送装置
JP2007176637A (ja) * 2005-12-27 2007-07-12 Harmotec Corp 非接触搬送装置
JP2007216329A (ja) * 2006-02-15 2007-08-30 Uinzu:Kk ハンド
JP2008087910A (ja) * 2006-10-02 2008-04-17 Smc Corp 非接触搬送装置
US20110160897A1 (en) * 2008-07-10 2011-06-30 Kawasaki Jukogyo Kabushiki Kaisha Robot and instruction method thereof

Also Published As

Publication number Publication date
CN105556654B (zh) 2019-07-26
KR20160062095A (ko) 2016-06-01
WO2015048303A1 (en) 2015-04-02
CN105556654A (zh) 2016-05-04
US9202738B2 (en) 2015-12-01
TW201515977A (zh) 2015-05-01
US20150086316A1 (en) 2015-03-26
JP2016533636A (ja) 2016-10-27
JP6362681B2 (ja) 2018-07-25

Similar Documents

Publication Publication Date Title
TWI611997B (zh) 用於傳送基板的氣動端效器設備與基板傳送系統
KR101697839B1 (ko) 비접촉 흡착반
JP5080090B2 (ja) 保持装置及び保持方法
TWI693664B (zh) 用於腔室接口的氣體裝置、系統及方法
JP6634449B2 (ja) ベロウズによる歪みウェハのチャッキング
TWI529848B (zh) 接合裝置及接合加工方法
TWI665146B (zh) 非接觸搬送裝置及非接觸吸著盤
JP6908626B2 (ja) 亀裂が低減されたロボットサブアセンブリ、エンドエフェクタアセンブリ、及び方法
TW201927577A (zh) 用於接合基板之方法及裝置
CA2524137A1 (en) Device for processing components for means of transport, in particular for processing sections for aircraft
US8905680B2 (en) Ultrathin wafer transport systems
KR20150083780A (ko) 이재 방법, 보유지지 장치 및 이재 시스템
JP6367158B2 (ja) 吸着装置、把持装置および搬送方法
TWI689027B (zh) 被加工物之搬運托盤
TWI737848B (zh) 加工裝置的搬運機構
TW201344830A (zh) 基板轉移機械裝置、基板處理裝置及其控制方法
JP2015153837A5 (zh)
TWI611499B (zh) 搬送機構
JP2013226607A (ja) チャックテーブル及びチャックテーブルを備える加工装置
US10950486B2 (en) Wafer tray
JP4812660B2 (ja) 基板等の取扱装置及び基板等の取扱方法
KR102321504B1 (ko) 로봇 가공용 지그의 구조
KR101329041B1 (ko) 기판 이송로봇
JP2024073260A (ja) 保持テーブル及び加工装置
CN113169115A (zh) 用于板形衬底的末端执行器

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees