TWI608534B - 電容式耦合電漿處理設備中之噴淋頭電極組件 - Google Patents

電容式耦合電漿處理設備中之噴淋頭電極組件 Download PDF

Info

Publication number
TWI608534B
TWI608534B TW102134326A TW102134326A TWI608534B TW I608534 B TWI608534 B TW I608534B TW 102134326 A TW102134326 A TW 102134326A TW 102134326 A TW102134326 A TW 102134326A TW I608534 B TWI608534 B TW I608534B
Authority
TW
Taiwan
Prior art keywords
independently controllable
heat transfer
showerhead electrode
controllable gas
transfer plate
Prior art date
Application number
TW102134326A
Other languages
English (en)
Other versions
TW201421575A (zh
Inventor
南象基
羅金德 漢沙
萊恩 白斯
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201421575A publication Critical patent/TW201421575A/zh
Application granted granted Critical
Publication of TWI608534B publication Critical patent/TWI608534B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

電容式耦合電漿處理設備中之噴淋頭電極組件
本發明關於一種電漿處理設備,其中一傳熱板係用以控制支撐於一電容式耦合電漿處理設備中的噴淋頭電極組件之溫度均勻度。
半導體基板(「基板」)之加工通常包含將基板暴露至電漿,以使電漿之反應性組成物改變基板之表面,例如,將材料從基板表面之未受保護區域移除。電漿加工處理中所造成之基板特性係取決於處理條件,包含電漿特性和基板溫度。例如,在一些電漿處理中,基板表面上之關鍵尺寸,即特徵部寬度,可隨著每℃之基板溫度變化而改變約1nm。吾人應理解,在其它方面相同之基板加工處理之間的基板溫度差異,將導致不同的基板表面特性。因此,不同基板之間的處理結果之偏移可能由在電漿處理期間之基板溫度變化所引起。可附加地,基板中心到邊緣的溫度變化可對每個基板之晶粒良率造成不利影響。
基板加工的一般目標為使每個基板之晶粒良率最佳化,以及儘可能以相同的方式加工相同類型之每個基板。為滿足這些目標,必須控制影響整個各別基板以及相同類型之各種基板之間的電漿處理特性之加工參數。由於電漿組成物之反應性與溫度成正比,因此基板溫度和電漿暴露表面的溫度可對整個基板和各種基板之間的電漿處理的結果造成很大的影響。因此,長期以來都需要一種可改善在電漿加工處理期間之溫度控制的作法。
本發明揭露一種電漿處理腔室之噴淋頭電極組件,該噴淋頭電極組件包含一噴淋頭電極、一用以支持該噴淋頭電極之溫度受控之頂板、一設置在該溫度受控之頂板及該噴淋頭電極之間的加熱器板、以及一傳熱板。傳熱板係設置於噴淋頭電極和溫度受控之頂板之間,其中該傳熱板包含複數可獨立控制之氣體體積,該等可獨立控制之氣體體積係界定為與該複數可獨立控制之氣體體積之其它者係流體隔離,俾使在該複數可獨立控制之氣體體積之任何既定一者內的氣體壓力不影響該複數可獨立控制之氣體體積之任何其它者內之另一氣體壓力。
可附加地,本發明揭露一種電容式耦合電漿處理設備,其包含一真空腔室、適用以容納一半導體基板之一下部電極組件、以及上述之噴淋頭電極組件。至少一真空埠係設置於該真空腔室之底壁中,並連接至至少一真空泵,該至少一真空泵可操作以使該真空腔室維持在一預定之真空壓力。一氣體源經由該噴淋頭電極組件將處理氣體供應至該真空腔室,且一射頻能量源係用以將處理氣體激發為電漿狀態。
本發明更揭露在一電容式耦合電漿處理設備中處理半導體基板之方法。該方法包含將一半導體基板置於真空腔室中之下部電極組件的頂部表面上。在傳熱板中之每個可獨立控制之氣體體積係維持在一預定之壓力,以實現期望之溫度分佈於噴淋頭電極之整個電漿暴露表面。噴淋頭電極之整個電漿暴露表面的溫度係確定且在每個可獨立控制之氣體體積中的壓力係受調整以補償噴淋頭電極之整個電漿暴露表面上的溫度梯度。處理氣體係從氣體供應器供應至真空腔室中,該處理氣體被激發成電漿狀態,且半導體基板係接著以該電漿進行蝕刻。
10‧‧‧半導體基板
100‧‧‧電漿處理設備
102‧‧‧真空腔室
103‧‧‧腔室壁
104‧‧‧噴淋頭電極組件
106‧‧‧射頻電源
108‧‧‧電漿暴露表面
110‧‧‧箭頭
111‧‧‧基板支架
113‧‧‧頂部表面
114‧‧‧靜電夾盤
115‧‧‧上表面
116‧‧‧射頻電源
118‧‧‧基板傳輸縫
150‧‧‧溫度感應器
220‧‧‧傳熱板
301‧‧‧頂板
302‧‧‧背襯構件
303‧‧‧噴淋頭電極
304‧‧‧加熱器板
304a‧‧‧外部加熱器構件
401‧‧‧內部區域
402‧‧‧外部區域
420‧‧‧第一圓柱形可獨立控制之氣體體積
421a‧‧‧同心環狀的可獨立控制之氣體體積
421b‧‧‧同心環狀的可獨立控制之氣體體積
421c‧‧‧同心環狀的可獨立控制之氣體體積
圖1描繪一示例性電漿處理設備,其可根據本文所述之電極組件的較佳實施例使用。
圖2A、B顯示噴淋頭電極組件之較佳實施例的橫剖面圖。
圖3A、B顯示傳熱板之示例性實施例。
本發明揭露一種電容式耦合電漿處理設備之噴淋頭電極組件,現將參照數個如隨附圖式中所示之較佳實施例加以詳細描述。在下面的描述中提出許多具體的細節,以提供對所提出之實施例的透徹理解。然而對熟習本技藝者將顯而易見,本發明可在缺少這些具體細節的部份或所有者的情況下實施。在其它情況下,已為人所熟知之程序步驟以及/或是結構將不再詳述,以不非必要地使本發明失焦。如本發明所用,用語「約」應解釋為包含達該數值之10%以上或以下。
圖1描繪一示例性電漿處理設備100,其可用以實施本文所述之組件的較佳實施例。電漿處理設備為一可產生電漿的電容式耦合電漿處理真空腔室。電漿處理設備100包含一含有腔室壁103之真空腔室102。腔室壁103之內表面係較佳地為陽極化鋁及/或具有如熱噴塗氧化釔塗層的抗電漿材料之塗層。真空腔室102包含設置在腔室壁103中之基板傳輸縫118,以將半導體基板傳輸進入及移出真空腔室102。
真空腔室102可包含具有電漿暴露表面108之噴淋頭電極組件104。噴淋頭電極組件104可具有單件式電極或多件式電極。例如,噴淋頭電極組件104可具有包含一噴淋頭電極板之單件式結構,或其可包含一噴淋頭電極板和一外部電極環。在後者之實施例的情形中,噴淋頭電極板和外部電極環兩者可選擇性地由石墨板或例如鋁之金屬板所支持,該石墨板或金屬板係藉由例如彈性體材料之接合材料加以接合、或使用合適的緊固件固定在一起。噴淋頭電極組件104之尺寸可設定以處理200mm之半導體基板、300mm之基板、或例如更大的基板。噴淋頭電極組件104之噴淋頭電極板(在多件式結構中包含外部電極環)可由矽(如單晶矽、多晶矽或非晶矽)或碳化矽所製成。設備100包含:氣體源(未示出),用以供應處理氣體至噴淋頭電極組件104。噴淋頭電極組件104係較佳地由射頻電源106經由匹配網路供電。在另一實施例中,噴淋頭電極組件104之噴淋頭電極板可接地以提供由底部電極所提供的電源之返回路徑,該底部電極係包含在真空腔室102之基板支架111中,如下所述。
在圖1所示的設備100之實施例中,處理氣體係供應至真空腔室102之電漿區域處,該電漿區域係產生介於噴淋頭電極組件104和支撐在基板支架111上之半導體基板10之間。基板支架111較佳地包含一靜電夾盤114(“ESC”),該靜電夾盤藉由靜電夾緊力將半導體基板10固定在基板支架111上。在一實施例中,ESC 114可作為底部電極,且係較佳地由射頻電源116(通常經由匹配網路)施加偏壓。ESC 114之上表面115較佳地具有與半導體基板10大致相同的直徑。
在一實施例中,ESC 114更可包含一嵌入式溫度控制模組,該溫度控制模組包含複數通道(未示出)以提供加熱/冷卻區域。可使用的示例性溫度控制模組可在共同擁有的美國專利第8,083,855號中找到,其係全數併入於此作為參考。
基板支架111更可包含至少一溫度感應器150,以測量噴淋頭電極組件104之整個電漿暴露表面108的溫度。溫度感應器150可為雷射干涉儀或其它合適的感應器,且較佳地連接至用以處理由該感應器所測量之溫度的控制器。在替代性實施例中,溫度感應器150可結合至噴淋頭電極組件104中。
真空腔室102可具有至少一真空埠(未示出)連接至至少一真空泵(未示出)。真空泵係適用以在真空腔室102中維持一預定之真空壓力。處理氣體及反應副產物係由泵通常在由箭頭110所示的方向抽出。
一可使用之示例性電容式耦合電漿反應器為雙頻電漿蝕刻反應器(參照例如共同轉讓的美國專利第6,090,304號,其係全數併入於此作為參考)。在此等反應器中,蝕刻氣體可從氣體供應器供應至噴淋頭電極,且電漿可藉由將來自兩個射頻源之射頻能量供應至噴淋頭電極及/或底部電極而產生於反應器中,或噴淋頭電極可電接地,且在兩個不同頻率的射頻能量可供應至底部電極。
圖2A為一橫剖面圖,顯示用於電容式耦合電漿腔室中之噴淋頭電極組件104的實施例。該電容式耦合電漿腔室包含噴淋頭電極303和固定至噴淋頭電極303之選擇性背襯構件302、加熱器板304、及溫度受控之頂板301。加熱器板304可具有選擇性外部加熱器構件304a。噴淋頭電 極303係放置於支撐半導體基板10之基板支架111上方(參見圖1)。
溫度受控之頂板301可形成電漿處理設備的可拆卸式頂壁。噴淋頭電極303可包含內部電極構件和選擇性外部電極構件(未示出)。內部電極構件通常係由單晶矽製成。若期望,內部及外部電極可由單片材料,如CVD碳化矽、單晶矽或其它合適的材料製成。
內部電極構件可具有小於、等於、或大於待處理之半導體基板的直徑,例如,達200mm。對於處理例如300mm或更大之較大的半導體基板,外部電極構件係適用於擴大噴淋頭電極303之直徑。外部電極構件可為一連續之構件(如多晶矽或碳化矽構件,例如環)、或分段之構件(如設置為一環形結構之2-6個獨立之分段,如單晶矽之分段)。可替代地,噴淋頭可為單一部件。
噴淋頭電極303較佳地包含複數氣體通道,用以將處理氣體注入噴淋頭電極303下方之真空腔室102中的空間。外部電極較佳地可形成一突起之台階於噴淋頭電極303之週緣。階梯狀電極之詳細細節可在共同擁有的美國專利第6,824,627號中找到,其係併入於此作為參考。
在一實施例中,噴淋頭電極組件104包含用以控制在噴淋頭電極組件104中之熱傳遞的傳熱板220。傳熱板220係設置於加熱器板304和溫度受控之頂板301之間,且適於容納傳熱氣體,該傳熱氣體可加壓以增進加熱器板304和溫度受控之頂板301之間的熱傳導。在一替代性實施例中,如圖2B所示,傳熱板220可設置於加熱器板304和噴淋頭電極303之間。傳熱板220包含複數氣體體積,其中每個氣體體積係可獨立控制,俾使在任何既定氣體體積內之氣體壓力不影響複數可獨立控制之氣體體積之其它任一者內的氣體壓力。
當在傳熱板220內之可獨立控制之氣體體積經歷氣體壓力增加時,相鄰於該傳熱板220之元件,例如溫度受控之頂板和加熱器板之間的熱耦合亦增加。熱耦合之增加可用於快速加熱噴淋頭電極組件104,以準備進行半導體基板處理,或可用以補償噴淋頭電極組件104之整個電漿暴露表面的熱梯度,並提供更均勻的蝕刻結果。可附加地,氣體可從傳熱板220之可獨立控制之氣體體積中排空,其中傳熱板220將作為絕緣體,且 可維持在噴淋頭電極組件104中之溫度。
該複數可獨立控制之氣體體積可容納加壓之傳熱氣體,例如氦氣、氖氣、氬氣、氮氣、或其混合物。較佳地,所使用之傳熱氣體為氦。氣體導管(未示出)係設置於溫度受控之頂板301內以與每個可獨立控制之氣體體積流體連接。在電漿處理期間,傳熱氣體可經由氣體導管供應或排出,以達成在複數可獨立控制之氣體體積內的特定氣體壓力。
氣體體積係較佳地配置以在徑向及/或週向地延伸穿過至少部分的傳熱板220。藉由控制在複數可獨立控制之氣體體積之每一者內的氣體壓力及進而溫度受控之頂板301和加熱器板304之間的熱傳導率,或可替代地,加熱器板304和噴淋頭電極303之間的熱傳導率,可在噴淋頭電極303之電漿暴露表面上建立指定的徑向溫度梯度。在一實施例中,一特定可獨立控制之氣體體積內的氣體壓力可控制在從約0torr延伸至約1atm的範圍內。較佳地,在特定可獨立控制之氣體體積內的氣體壓力介於約0torr延伸至10torr之範圍內。在一實施例中,氦氣係供應至各氣體體積。然而,在其它實施例中,其它類型的氣體或氣體混合物,例如氮,可供應至不同的氣體體積。
圖3A、B顯示傳熱板220之實施例的俯視圖。傳熱板包含複數可獨立控制之氣體體積,該等體積係界定為與其他複數可獨立控制之氣體體積流體隔離。在該複數可獨立控制之氣體體積之任何既定一者內的氣體壓力不影響複數可獨立控制之氣體體積之任何其他者內的氣體壓力。
如圖3A所示,傳熱板220可包含16個徑向延伸之可獨立控制的氣體體積。8個氣體體積係位於傳熱板220之內部區域401,且其餘的8個氣體體積係位於傳熱板之外部區域402。每個可獨立控制之氣體體積圍繞傳熱板220之外周延伸約38°至45°左右。
圖3B繪示傳熱板220,其中傳熱板220包含第一圓柱形可獨立控制之氣體體積420,位於傳熱板220之中心,以及三個同心環狀的可獨立控制之氣體體積421a,b,c,位於該第一圓柱形可獨立控制之氣體體積徑向向外處。然而,對於熟習本領域技術者將是顯而易見的,傳熱板220可具有多於或少於三個同心環狀的可獨立控制之氣體體積。
可附加地,儘管傳熱板220係描述為具有徑向延伸的溫度控制體積(參見圖3A),吾人應理解在其他實施例中,傳熱板220內之各種可獨立控制之氣體體積可被界定為對應於非徑向幾何配置。例如,在其它實施例中,傳熱板220內的各種氣體體積可界定為六邊形分割之配置或扇形分割之配置。
傳熱板220可局部地增加或減少加熱器板304和溫度受控之頂板301之間的熱傳導,或可替代地,在噴淋頭電極組件中之噴淋頭電極303和加熱器板304之間的熱傳導。對於在噴淋頭電極組件中之熱傳導的更佳控制,可在電漿處理設備中之噴淋頭電極組件之整個電漿暴露表面獲得更均勻的溫度。
再次參照圖1,半導體基板10係於電容式耦合電漿處理設備100中進行處理。處理方法包含將半導體基板10置於真空腔室102內之基板支架11的頂部表面113上。接著在傳熱板202中的每個可獨立控制之氣體體積係加壓至一預定壓力,以在噴淋頭電極組件104之整個電漿暴露表面108上獲得期望的溫度分佈。噴淋頭電極組件104之整個電漿暴露表面108之溫度的測量被確定,且在每個可獨立控制之氣體體積中之壓力係以原位(in-situ)調節,以補償在噴淋頭電極組件之整個電漿暴露表面上的溫度梯度。處理氣體係接著從氣體源供應至真空腔室102,該處理氣體係激發為電漿狀態,且半導體基板係以該電漿進行蝕刻。
在替代性實施例中,整個電漿暴露表面108之溫度梯度係於蝕刻時測量,且實施在每個可獨立控制之氣體體積中之壓力的原位調整,以藉由降低沿著噴淋頭電極組件104的電漿暴露表面108之溫度梯度而提高蝕刻的均勻度。
雖已參照本發明之具體的實施例詳細描述本發明,但對於熟習本技術領域者將顯而易見地,在不脫離隨附請求項之情況下,可做出各種變化和修改及採用均等物。
10‧‧‧半導體基板
100‧‧‧電漿處理設備
102‧‧‧真空腔室
103‧‧‧腔室壁
104‧‧‧噴淋頭電極組件
106‧‧‧射頻電源
108‧‧‧電漿暴露表面
110‧‧‧箭頭
111‧‧‧基板支架
113‧‧‧頂部表面
114‧‧‧靜電夾盤
115‧‧‧上表面
116‧‧‧射頻電源
118‧‧‧基板傳輸槽
150‧‧‧溫度感應器

Claims (34)

  1. 一種電漿處理腔室之噴淋頭電極組件,包含:一噴淋頭電極;一溫度受控之頂板,用以支撐該噴淋頭電極;一加熱器板,設置於該溫度受控之頂板和該噴淋頭電極之間;以及一傳熱板,位於該噴淋頭電極和該溫度受控之頂板之間,其中該傳熱板包含複數可獨立控制之氣體體積,該等體積與該複數可獨立控制之氣體體積之其它者係流體隔離,俾使在該複數可獨立控制之氣體體積之任何既定一者內的氣體壓力不影響該複數可獨立控制之氣體體積之任何其它者內的另一氣體壓力,其中可使傳熱氣體供應至該複數可獨立控制之氣體體積之各者、或自該複數可獨立控制之氣體體積之各者排出,以在該複數可獨立控制之氣體體積之各者內達到預定的氣體壓力,俾使整個該傳熱板的熱傳導可受控制。
  2. 如申請專利範圍第1項之電漿處理腔室之噴淋頭電極組件,其中該傳熱板係設置於該溫度受控之頂板和該加熱器板之間。
  3. 如申請專利範圍第1項之電漿處理腔室之噴淋頭電極組件,其中該傳熱板係設置於該加熱器板和該噴淋頭電極之間。
  4. 如申請專利範圍第1項之電漿處理腔室之噴淋頭電極組件,其中該等可獨立控制之氣體體積可具有一徑向配置、一非徑向配置、一六邊形分割之配置、一八角形分割之配置,或一扇形分割之配置。
  5. 如申請專利範圍第1項之電漿處理腔室之噴淋頭電極組件,其中該傳熱板包含16個徑向延伸之可獨立控制的氣體體積,其中8個氣體體積係位於該傳熱板之內部區域,且8個氣體體積係位於該傳熱板之外部區域,每個可獨立控制之氣體體積圍繞該傳熱板之外周延伸約38°至45°左右。
  6. 如申請專利範圍第1項之電漿處理腔室之噴淋頭電極組件,其中該傳熱板包含第一圓柱形可獨立控制之氣體體積,以及三個同心環狀的可獨立控制之氣體體積位於該第一圓柱形可獨立控制之氣體體積徑向向外處。
  7. 如申請專利範圍第1項之電漿處理腔室之噴淋頭電極組件,其中供應至該傳熱板的該等可獨立控制之氣體體積的氣體為氦、氖、氬、氮、或其混合物。
  8. 如申請專利範圍第1項之電漿處理腔室之噴淋頭電極組件,更包含至少一感應器,該至少一感應器係用以判斷該噴淋頭電極的整個電漿暴露表面之溫度梯度。
  9. 如申請專利範圍第1項之電漿處理腔室之噴淋頭電極組件,其中該等可獨立控制之氣體體積之每一者可加壓至約0torr至約1atm的範圍內。
  10. 如申請專利範圍第1項之電漿處理腔室之噴淋頭電極組件,其中該等可獨立控制之氣體體積之每一者可加壓至約0torr至約10torr的範圍內。
  11. 一種電容式耦合電漿處理設備,包含:一真空腔室;一下部電極組件,適用以容納一半導體基板;如申請專利範圍第1項之電漿處理腔室之噴淋頭電極組件;至少一真空埠,位於連接至至少一真空泵之底壁中,該至少一真空泵可操作以將該真空腔室維持在一預定之真空壓力;一氣體源,可操作以經由該噴淋頭電極組件提供處理氣體至該真空腔室;以及一射頻能量源,用以將該處理氣體激發為電漿狀態。
  12. 如申請專利範圍第11項之電容式耦合電漿處理設備,其中該傳熱板係設置於該溫度受控之頂板以及該傳熱板之間,或該傳熱板係設置於該加熱器板和該噴淋頭電極之間。
  13. 如申請專利範圍第11項之電容式耦合電漿處理設備,其中該傳熱板之該等可獨立控制之氣體體積可具有一徑向配置、一非徑向配置、一六邊形分割之配置、一八角形分割之配置、或一扇形分割之配置。
  14. 如申請專利範圍第11項之電容式耦合電漿處理設備,其中該傳熱板包含16個徑向延伸之可獨立控制的氣體體積,其中8個氣體體積係位於該傳熱板之內部區域,且8個氣體體積係位於該傳熱板之外部區域,每個可獨立控制之氣體體積圍繞該傳熱板之外周延伸約38°至45°左右。
  15. 如申請專利範圍第11項之電容式耦合電漿處理設備,其中該傳熱板包含第一圓柱形可獨立控制之氣體體積,以及三個同心環狀的可獨立控制之氣體體積位於該第一圓柱形可獨立控制之氣體體積徑向向外處。
  16. 如申請專利範圍第11項之電容式耦合電漿處理設備,其中該等可獨立控制之氣體體積之每一者可加壓至約0torr至約1atm的範圍內。
  17. 如申請專利範圍第11項之電容式耦合電漿處理設備,其中該等可獨立控制之氣體體積之每一者可加壓至約0torr至約10torr的範圍內。
  18. 如申請專利範圍第11項之電容式耦合電漿處理設備,更包含至少一感應器,該至少一感應器係用以判斷該噴淋頭電極的電漿暴露表面之溫度梯度。
  19. 一種在使用如申請專利範圍第11項之電容式耦合電漿處理設備之電容式耦合電漿處理設備中蝕刻一半導體基板之方法,包含:將一半導體基板置於該真空腔室中之該下部電極組件的頂部表面上;加壓包含在該噴淋頭電極組件中的該傳熱板中之每個可獨立控制之氣體體積至一預定之壓力,以在該噴淋頭電極之整個電漿暴露表面實現期望之溫度分佈;測量該噴淋頭電極之整個電漿暴露表面的至少一溫度;原位調節在該每個可獨立控制之氣體體積中的壓力,以補償該噴淋頭電極之整個電漿暴露表面上的溫度梯度;從一氣體供應器供應一氣體至該真空腔室中;以及將該氣體激發為電漿狀態以及使用該電漿蝕刻該半導體基板。
  20. 如申請專利範圍第19項之在使用如申請專利範圍第11項之電容式耦合電漿處理設備之電容式耦合電漿處理設備中蝕刻一半導體基板之方法,更包含在進行蝕刻時測量整個電漿暴露表面之溫度梯度,以及原位調節在該每個可獨立控制之氣體體積中的壓力,以藉由降低沿著該噴淋頭電漿之電漿暴露表面的溫度梯度實現更均勻的蝕刻。
  21. 一種電漿處理腔室之噴淋頭電極組件,包含:一噴淋頭電極;一溫度受控之頂板,用以支撐該噴淋頭電極;一加熱器板,設置於該溫度受控之頂板和該噴淋頭電極之間;以及一傳熱板,位於該噴淋頭電極和該溫度受控之頂板之間,其中該傳熱板包含複數可獨立控制之氣體體積,該等體積與該複數可獨立控制之氣體體積之其它者係流體隔離,俾使在該複數可獨立控制之氣體體積之任何既定一者內的氣體壓力不影響該複數可獨立控制之氣體體積之任何其它者內的另一氣體壓力,其中該傳熱板包含16個徑向延伸之可獨立控制的氣體體積,其中8個 氣體體積係位於該傳熱板之內部區域,且8個氣體體積係位於該傳熱板之外部區域,每個可獨立控制之氣體體積圍繞該傳熱板之外周延伸約38°至45°左右。
  22. 一種電漿處理腔室之噴淋頭電極組件,包含:一噴淋頭電極;一溫度受控之頂板,用以支撐該噴淋頭電極;一加熱器板,設置於該溫度受控之頂板和該噴淋頭電極之間;以及一傳熱板,位於該噴淋頭電極和該溫度受控之頂板之間,其中該傳熱板包含複數可獨立控制之氣體體積,該等體積與該複數可獨立控制之氣體體積之其它者係流體隔離,俾使在該複數可獨立控制之氣體體積之任何既定一者內的氣體壓力不影響該複數可獨立控制之氣體體積之任何其它者內的另一氣體壓力,其中該傳熱板包含第一圓柱形可獨立控制之氣體體積,以及三個同心環狀的可獨立控制之氣體體積位於該第一圓柱形可獨立控制之氣體體積徑向向外處。
  23. 一種電漿處理腔室之噴淋頭電極組件,包含:一噴淋頭電極;一溫度受控之頂板,用以支撐該噴淋頭電極;一加熱器板,設置於該溫度受控之頂板和該噴淋頭電極之間;以及一傳熱板,位於該噴淋頭電極和該溫度受控之頂板之間,其中該傳熱板包含複數可獨立控制之氣體體積,該等體積與該複數可獨立控制之氣體體積之其它者係流體隔離,俾使在該複數可獨立控制之氣體體積之任何既定一者內的氣體壓力不影響該複數可獨立控制之氣體體積之任何其它者內的另一氣體壓力,其中該等可獨立控制之氣體體積之每一者可加壓至約0torr至約1atm的範圍內。
  24. 一種電漿處理腔室之噴淋頭電極組件,包含:一噴淋頭電極;一溫度受控之頂板,用以支撐該噴淋頭電極;一加熱器板,設置於該溫度受控之頂板和該噴淋頭電極之間;以及一傳熱板,位於該噴淋頭電極和該溫度受控之頂板之間,其中該傳熱板包含複數可獨立控制之氣體體積,該等體積與該複數可獨立控制之氣體體積之其它者係流體隔離,俾使在該複數可獨立控制之氣體體積之任何既定一者內的氣體壓力不影響該複數可獨立控制之氣體體積之任何其它者內的另一氣體壓力,其中該等可獨立控制之氣體體積之每一者可加壓至約0torr至約10torr的範圍內。
  25. 一種電容式耦合電漿處理設備,包含:一真空腔室;一下部電極組件,適用以容納一半導體基板;該噴淋頭電極組件包含:一噴淋頭電極;一溫度受控之頂板,用以支撐該噴淋頭電極;一加熱器板,設置於該溫度受控之頂板和該噴淋頭電極之間;及一傳熱板,位於該噴淋頭電極和該溫度受控之頂板之間,其中該傳熱板包含複數可獨立控制之氣體體積,該等體積與該複數可獨立控制之氣體體積之其它者係流體隔離,俾使在該複數可獨立控制之氣體體積之任何既定一者內的氣體壓力不影響該複數可獨立控制之氣體體積之任何其它者內的另一氣體壓力;至少一真空埠,位於連接至至少一真空泵之底壁中,該至少一真空泵可操作以將該真空腔室維持在一預定之真空壓力;一氣體源,可操作以經由該噴淋頭電極組件提供處理氣體至該真空腔 室;以及一射頻能量源,用以將該處理氣體激發為電漿狀態。
  26. 如申請專利範圍第25項之電容式耦合電漿處理設備,其中該傳熱板係設置於該溫度受控之頂板以及該傳熱板之間,或該傳熱板係設置於該加熱器板和該噴淋頭電極之間。
  27. 如申請專利範圍第25項之電容式耦合電漿處理設備,其中該傳熱板之該等可獨立控制之氣體體積可具有一徑向配置、一非徑向配置、一六邊形分割之配置、一八角形分割之配置、或一扇形分割之配置。
  28. 如申請專利範圍第25項之電容式耦合電漿處理設備,其中該傳熱板包含16個徑向延伸之可獨立控制的氣體體積,其中8個氣體體積係位於該傳熱板之內部區域,且8個氣體體積係位於該傳熱板之外部區域,每個可獨立控制之氣體體積圍繞該傳熱板之外周延伸約38°至45°左右。
  29. 如申請專利範圍第25項之電容式耦合電漿處理設備,其中該傳熱板包含第一圓柱形可獨立控制之氣體體積,以及三個同心環狀的可獨立控制之氣體體積位於該第一圓柱形可獨立控制之氣體體積徑向向外處。
  30. 如申請專利範圍第25項之電容式耦合電漿處理設備,其中該等可獨立控制之氣體體積之每一者可加壓至約0torr至約1atm的範圍內。
  31. 如申請專利範圍第25項之電容式耦合電漿處理設備,其中該等可獨立控制之氣體體積之每一者可加壓至約0torr至約10torr的範圍內。
  32. 如申請專利範圍第25項之電容式耦合電漿處理設備,更包含至少一感應器,該至少一感應器係用以判斷該噴淋頭電極的電漿暴露表面之溫度梯 度。
  33. 一種在使用如申請專利範圍第25項之電容式耦合電漿處理設備之電容式耦合電漿處理設備中蝕刻一半導體基板之方法,包含:將一半導體基板置於該真空腔室中之該下部電極組件的頂部表面上;加壓包含在該噴淋頭電極組件中的該傳熱板中之每個可獨立控制之氣體體積至一預定之壓力,以在該噴淋頭電極之整個電漿暴露表面實現期望之溫度分佈;測量該噴淋頭電極之整個電漿暴露表面的至少一溫度;原位調節在該每個可獨立控制之氣體體積中的壓力,以補償該噴淋頭電極之整個電漿暴露表面上的溫度梯度;從一氣體供應器供應一氣體至該真空腔室中;以及將該氣體激發為電漿狀態以及使用該電漿蝕刻該半導體基板。
  34. 如申請專利範圍第33項之在使用如申請專利範圍第25項之電容式耦合電漿處理設備之電容式耦合電漿處理設備中蝕刻一半導體基板之方法,更包含在進行蝕刻時測量整個電漿暴露表面之溫度梯度,以及原位調節在該每個可獨立控制之氣體體積中的壓力,以藉由降低沿著該噴淋頭電漿之電漿暴露表面的溫度梯度實現更均勻的蝕刻。
TW102134326A 2012-09-24 2013-09-24 電容式耦合電漿處理設備中之噴淋頭電極組件 TWI608534B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/625,555 US9018022B2 (en) 2012-09-24 2012-09-24 Showerhead electrode assembly in a capacitively coupled plasma processing apparatus

Publications (2)

Publication Number Publication Date
TW201421575A TW201421575A (zh) 2014-06-01
TWI608534B true TWI608534B (zh) 2017-12-11

Family

ID=50318500

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102134326A TWI608534B (zh) 2012-09-24 2013-09-24 電容式耦合電漿處理設備中之噴淋頭電極組件

Country Status (4)

Country Link
US (3) US9018022B2 (zh)
KR (1) KR102236646B1 (zh)
CN (1) CN103681304B (zh)
TW (1) TWI608534B (zh)

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
CN104024477B (zh) * 2011-11-23 2016-05-18 朗姆研究公司 多区域气体注入上电极***
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) * 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106922071B (zh) * 2015-12-25 2019-10-01 中微半导体设备(上海)股份有限公司 一种用于等离子反应装置的喷淋头加热冷却装置及方法
WO2017127163A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
JP6675260B2 (ja) * 2016-04-27 2020-04-01 東京エレクトロン株式会社 変圧器、プラズマ処理装置、及び、プラズマ処理方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6640040B2 (ja) * 2016-06-23 2020-02-05 株式会社ニューフレアテクノロジー 伝熱板および描画装置
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021061461A1 (en) * 2019-09-23 2021-04-01 Lam Research Corporation Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
CN112951694B (zh) * 2019-11-26 2024-05-10 中微半导体设备(上海)股份有限公司 等离子体处理装置及其半导体晶圆的处理方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050133160A1 (en) * 2003-12-23 2005-06-23 Kennedy William S. Showerhead electrode assembly for plasma processing apparatuses

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
JP4151749B2 (ja) * 1998-07-16 2008-09-17 東京エレクトロンAt株式会社 プラズマ処理装置およびその方法
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP5000842B2 (ja) 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US7161121B1 (en) 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
US6483690B1 (en) 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US7156951B1 (en) 2002-06-21 2007-01-02 Lam Research Corporation Multiple zone gas distribution apparatus for thermal control of semiconductor wafer
JP2005166354A (ja) 2003-12-01 2005-06-23 Ngk Insulators Ltd セラミックヒーター
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7501605B2 (en) 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US7671412B2 (en) 2007-02-15 2010-03-02 Tokyo Electron Limited Method and device for controlling temperature of a substrate using an internal temperature control device
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
CN101842877B (zh) 2007-10-31 2012-09-26 朗姆研究公司 用于半导体处理室的温度控制模块及控制元件温度的方法
US7972444B2 (en) 2007-11-07 2011-07-05 Mattson Technology, Inc. Workpiece support with fluid zones for temperature control
US20100078151A1 (en) 2008-09-30 2010-04-01 Osram Sylvania Inc. Ceramic heat pipe with porous ceramic wick
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP5198226B2 (ja) 2008-11-20 2013-05-15 東京エレクトロン株式会社 基板載置台および基板処理装置
JP5479867B2 (ja) 2009-01-14 2014-04-23 東京エレクトロン株式会社 誘導結合プラズマ処理装置
SG173778A1 (en) * 2009-02-20 2011-09-29 Ngk Insulators Ltd Ceramic-metal bonded body and method of producing the same
US8038855B2 (en) 2009-04-29 2011-10-18 Freeport-Mcmoran Corporation Anode structure for copper electrowinning
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050133160A1 (en) * 2003-12-23 2005-06-23 Kennedy William S. Showerhead electrode assembly for plasma processing apparatuses

Also Published As

Publication number Publication date
KR20140040655A (ko) 2014-04-03
CN103681304A (zh) 2014-03-26
US20140087488A1 (en) 2014-03-27
US9245718B2 (en) 2016-01-26
TW201421575A (zh) 2014-06-01
US20150194291A1 (en) 2015-07-09
KR102236646B1 (ko) 2021-04-06
CN103681304B (zh) 2016-09-28
US9396910B2 (en) 2016-07-19
US9018022B2 (en) 2015-04-28
US20160079041A1 (en) 2016-03-17

Similar Documents

Publication Publication Date Title
TWI608534B (zh) 電容式耦合電漿處理設備中之噴淋頭電極組件
JP5974054B2 (ja) 温度制御式ホットエッジリング組立体
US8216486B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
KR102009595B1 (ko) 플라즈마 처리 챔버에서 갭 높이 및 평탄화 조정을 제공하는 기판 서포트
US9976215B2 (en) Semiconductor film formation apparatus and process
TWI512135B (zh) 用於半導體材料處理設備之具有低微塵粒特性的噴淋頭電極與噴淋頭電極組件
KR101166740B1 (ko) 플라즈마 프로세싱을 위해 샤워헤드 전극 및 가열기를포함하는 장치
US8084375B2 (en) Hot edge ring with sloped upper surface
US9460893B2 (en) Substrate processing apparatus
JP4869610B2 (ja) 基板保持部材及び基板処理装置
KR20050057423A (ko) 기판상에의 중합체 증착을 감소시키기 위한 장치를 구비한플라즈마 장치 및 중합체 증착을 감소시키는 방법
TWI618167B (zh) 具有降低之腐蝕敏感度的製程套件
KR102196208B1 (ko) 유도성 결합 플라즈마 프로세싱 장치의 절연된 유전체 윈도우 어셈블리
TWI827654B (zh) 用於基板處理系統之侷限環與在基板處理系統中使用侷限環的方法
US20200251312A1 (en) Temperature-controlled plasma generation system
JP2004207533A (ja) プラズマ処理用シリコンプレート