TWI525720B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI525720B
TWI525720B TW102148373A TW102148373A TWI525720B TW I525720 B TWI525720 B TW I525720B TW 102148373 A TW102148373 A TW 102148373A TW 102148373 A TW102148373 A TW 102148373A TW I525720 B TWI525720 B TW I525720B
Authority
TW
Taiwan
Prior art keywords
protective layer
package
forming
via electrodes
semiconductor device
Prior art date
Application number
TW102148373A
Other languages
English (en)
Other versions
TW201436067A (zh
Inventor
洪瑞斌
林俊成
蔡柏豪
林儀柔
陳碩懋
葉炅翰
葉德強
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201436067A publication Critical patent/TW201436067A/zh
Application granted granted Critical
Publication of TWI525720B publication Critical patent/TWI525720B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0652Bump or bump-like direct electrical connections from substrate to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

半導體裝置及其形成方法
本發明係有關一種半導體技術,且特別有關一種半導體裝置及其形成方法。
自積體電路發明以來,由於各種電子部件(即電晶體、二極體、電阻、電容等)之集積度不斷的改良,半導體產業已經歷持續且快速的成長。主要來說,這些集積度的改良來自於最小特徵尺寸一再的微縮,使得更多部件能被整合至特定的晶片區域內。
由於集積部件所佔據的體積基本上位於半導體晶圓的表面,此種集積度的改良本質上為二維(2D)的形式。雖然微影製程的大幅進步使二維積體電路製造有顯著的改良,在二維中所能達到的密度仍有其物理限制。其中一種限制為製造這些部件所需的最小尺寸。此外,當更多裝置置於同一晶片中時,亦需要更複雜的設計。另一額外的限制為當裝置數量增加時,裝置之間的內連線數量與長度亦會隨之大量增加。當內連線的數量與長度增加時,會同時增加線路的訊號延遲(RC delay)與功率消耗。
三維積體電路(3DICs)因此而形成,其中可堆疊兩 晶片或封裝體,並藉由形成於其中之一晶片或封裝體內的矽通孔電極(through-silicon vias,TSVs)來連結另一晶片至其他基板。層疊封裝(Package on Package,PoP)因可形成更高密度的電子產品,其已成為日益普遍的積體電路封裝技術。
本發明之實施例係揭示一種半導體裝置的形成方法,包括:於一承載基板上形成一保護層;形成複數個通孔電極,其自保護層向上延伸;貼附一或多個晶片至保護層;於此些通孔電極與一或多個晶片之間形成一模封化合物;電性耦接複數個電性連接體至此些通孔電極與一或多個晶片上的複數個電性接點;以及剝除承載基板並暴露保護層。
本發明之另一實施例係揭示一種半導體裝置,包括:一第一封裝體,包括:一或多層重佈線層;一或多個積體電路晶片,位於一或多層重佈線層上;一模封化合物,鄰近於一或多個積體電路晶片側壁;複數個通孔電極,延伸穿過模封化合物;以及一保護層,位於一或多個積體電路晶片上;以及一第二封裝體,裝設於第一封裝體上,第二封裝體係電性耦合至此些通孔電極。
為讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下:
102‧‧‧承載基板
104‧‧‧黏著層
106‧‧‧保護層
208‧‧‧晶種層
310‧‧‧圖案化罩幕
412‧‧‧通孔電極
614‧‧‧第一積體電路晶片
616‧‧‧晶片貼附膜
716‧‧‧模封化合物
818‧‧‧重佈線層
820‧‧‧第一組電性連接體
922‧‧‧承載膠帶
1024‧‧‧接觸墊開口
1126‧‧‧第一積體電路封裝體
1128‧‧‧第二積體電路封裝體
1130‧‧‧積體電路晶片
1132‧‧‧封裝基板
1134‧‧‧第二組電性連接體
1136‧‧‧底膠材料
2402、2404、2406、2408、2410、2412、2414、2416、2502、2504、2506、2508、2510、2512、2514、2602、2604、2606、2608‧‧‧步驟
第1-11圖為根據本發明一實施例之一封裝體在不同中間製 造階段的剖面示意圖。
第12-18圖為根據本發明另一實施例之一封裝體在不同中間製造階段的剖面示意圖。
第19-22圖為根據本發明又一實施例之一封裝體在不同中間製造階段的剖面示意圖。
第23圖為根據本發明又另一實施例之一封裝體剖面示意圖。
第24圖為根據本發明一些實施例之方法流程圖。
第25圖為根據本發明另一些實施例之方法流程圖。
第26圖為根據本發明另一些實施例之方法流程圖。
以下詳細說明本發明實施例之製作與使用。然而,可輕易了解本發明提供許多合適的發明概念而可實施於廣泛的各種特定背景。所揭示的特定實施例僅為示例性而非用以侷限本發明的範圍。
本發明在此提供一種新穎的三維積體電路(3DIC)及其製造方法,並說明本發明實施例之中間製造階段及討論本發明實施例之各種變化。在不同圖式及示例性實施例中,相似部件標號係用以表示類似的部件。
第1-11圖為一層疊封裝(PoP)結構在不同中間製造階段的剖面示意圖。在本實施例中,於下封裝體內的積體電路晶片上形成保護層(如聚苯噁唑(polybenzoxazole,PBO)層),其中保護層係在下封裝體的上表面上延伸。接著,於保護層內形成複數個開口使其可形成電性連接。
首先參照第1圖,其繪示具有黏著層104的承載基板102及形成於其上的保護層106。一般來說,承載基板102可在如後所述的製程步驟中提供暫時的機械性及結構性支撐。承載基板102可包括例如玻璃、氧化矽、氧化鋁、上述組合及/或其類似物。黏著層104可為任何適合的黏著物,如紫外光(UV)膠,其當暴露於紫外光時會失去黏性,且可透過層壓、旋轉塗佈或其他適合的技術施加。其他種類的黏著物亦可採用,例如當暴露於熱能時會失去黏性的黏著物,上述熱能可藉由紫外光或雷射產生。
保護層106係形成於黏著層104上。如後所述,積體電路的背側將置放於保護層106上。保護層106將提供接合的結構性支撐及有助於減少晶片偏移與焊球破裂的問題。保護層106亦可在後續製程中保護黏著層104。目前已發現部分的黏著層104可於後續製程步驟中去除,而黏著層104的殘留物將負面地影響到後續製程步驟,包括造成不良的晶片置放。保護層106可形成自例如聚苯噁唑(PBO)、聚亞醯胺、防焊層、具有填料基材的環氧樹脂如LC膠帶、晶片貼附膜(die attach film,DAF)、ABF增層膜(Ajinomoto build-up films)、聚合物基材料、上述組合或其類似物,其厚度約為1μm至40μm。依上述材料及厚度所形成的保護層106有助於控制翹曲(warpage),進而減少在晶片組裝時的不良接合。
第2圖繪示於保護層106的表面上沉積晶種層208。晶種層208係由導電材料所構成的薄膜層,其有助於在後續製程步驟中形成較厚的膜層。在一實施例中,晶種層208係 透過化學氣相沉積(chemical vapor deposition,CVD)或物理氣相沉積(physical vapor deposition,PVD)技術沉積一薄導電層,例如一或多層的鈦、銅、鉭、氮化鈦、氮化鉭、鈦鎢合金、上述組合或其類似物以形成。
之後,如第3圖所示,依據本發明一實施例,於晶種層208上形成圖案化罩幕310。圖案化罩幕310定義後續形成之貫穿模封化合物的通孔電極的形狀,進而提供封裝體第一側面與封裝體第二側面之間的電性連接。圖案化罩幕310可為圖案化光罩、硬罩幕、上述組合或其類似物。
第4圖繪示依據本發明一實施例,形成通孔電極412。通孔電極412可形成自任何適合的導電材料,包括銅、鎳、鉑、鋁、無鉛銲錫(例如錫銀、錫銅、錫銀銅)、上述組合或其類似物,且可透過任何適合的技術,包括電鍍、物理氣相沉積(PVD)、化學氣相沉積(CVD)、電化學沉積(electrochemical deposition,ECD)、分子束磊晶(molecular beam epitaxy,MBE)、原子層沉積(atomic layer deposition,ALD)或其類似製程以形成。應注意到在一些實施例中,例如於晶圓的整個表面上沉積順應性膜層(如透過物理氣相沉積或化學氣相沉積)時,可較佳地實施蝕刻或平坦化製程(如,化學機械研磨(chemical mechanical polishing,CMP)、機械研磨(grinding)或水平切刻(fly cutting))以自圖案化罩幕310表面去除多餘的導電材料。
第5圖繪示依據本發明一實施例,去除圖案化罩幕310。在由光阻材料形成的圖案化罩幕310的實施例中,光阻可透過例如乳酸乙酯、苯甲醚、甲基丁基乙酸酯、乙酸戊酯、甲 基酚醛樹脂及重氮感光化合物(稱作SPR9)之混合物所構成的化學溶液或其他剝除製程來剝除。在其他實施例中,光阻可透過溶劑(如二甲基亞碸(dimethyl sulfoxide,DMSP))、鹼性物(如氫氧化鉀或氫氧化四甲銨(tetramethylammonium hydroxide,(TMAH))及表面活性劑添加劑的混合物來剝除。
第5圖還繪示去除暴露出的晶種層208部分。可透過例如與2%的氫氟酸一同濕式浸漬(wet dip)於磷酸及過氧化氫的化學溶液(稱作DPP)中,或是其他的清洗製程來實施去除製程,以自保護層106表面去除暴露出的晶種層208部分與汙染物。
第6圖繪示依據本發明一實施例,置放一或多個第一積體電路晶片614。接合第一積體電路晶片614以使得積體電路晶片614的背側(如相對於電性接點的一側)係利用例如晶片貼附膜(DAF)616或其他黏著物而置放於保護層106上。第一積體電路晶片614可為裝置晶片,其內部包括如電晶體、電容、電導、電阻(未繪示)或其類似物的積體電路裝置。再者,第一積體電路晶片614可為邏輯晶片、中央處理單元(central processing unit,CPU)晶片、記憶體晶片或其類似晶片。此外,第6圖所繪示之兩個第一積體電路晶片614僅作為示例,其他實施例可包括更少或更多個積體電路晶片。另外,如後所述,所形成的結構將切割以形成個別的封裝體,每一封裝體包含單一積體電路晶片。然而,在其他的實施例中,每一封裝體可包含複數個積體電路晶片。
參照第7圖,於積體電路晶片614及通孔電極412上 塑造模封化合物(molding compound)716(或稱作封裝材料(encapsulating material))。模封化合物716的上表面可高於或齊平於第一積體電路晶片614及/或通孔電極412的上表面。在所形成的模封化合物716高度高於通孔電極412的實施例中,可實施薄化製程以暴露穿過模封化合物716的通孔電極412,進而達成類似第7圖所示之結果,並進而形成如後所述之通孔電極的電性連接。薄化製程可為機械研磨製程、化學研磨製程、蝕刻製程、上述組合或其類似製程。
通孔電極412可延伸高過第一積體電路晶片614,據此,通孔電極412與模封化合物716可進一步縮減使其可形成第一積體電路晶片614的電性接觸。通孔電極412可藉由研磨、蝕刻或其他適合的方法進行縮減。
可選擇性地實施一清洗製程。上述研磨製程可能造成研磨殘留物遺留在通孔電極412上。清洗製程可去除或減少此研磨殘留物,進而使通孔電極412能有較佳的電性接觸。上述清洗製程可能造成凹處(recess),且可為利用例如氫氧化鉀、甲酸、硫酸、硝酸與氟化氫的混合物、過氯酸與醋酸的混合物或磷酸(H3PO4)溶液與的過氧化氫(H2O2)的混合物的濕蝕刻製程。
接著,如第8圖所示,依據本發明一實施例,形成一或多層(所繪示為一層)的重佈線層(redistribution layers,RDLs)818,以提供通孔電極412及/或通孔電極412與第一積體電路晶片614之間的電性連接。重佈線層818可包括介電層(如,鈍化層),其具有導電層形成於其內。介電層可包括聚合 物材料,如環氧樹脂、聚亞醯胺、聚苯噁唑或其類似物,或由一般習知的介電材料所構成,如旋轉塗佈玻璃、氧化矽、氮氧化矽或其類似物,並可透過任何適合的方法形成,如旋轉塗佈。導線可形成自金屬,例如銅、銀、鋁、鎳、上述組合或其類似物。
第8圖亦繪示沿著重佈線層818的表面形成第一組電性連接體820。電性連接體820可為焊球、金屬墊、金屬柱及/或上述組合,且可形成自金、銀、鎳、鎢、鋁及/或上述合金。選擇性地,在形成第一組電性連接體820之前,可於重佈線層818上形成凸塊下金屬層(under-bump metallurgy,UBM)結構(未繪示)。一般來說,凸塊下金屬層結構包括形成在重佈線層上的導電墊,並於其上方置放第一組電性連接體820。
第9圖繪示依據本發明一實施例,在剝除承載基板102之後,接合第8圖的結構至承載結構,如承載膠帶922。在一實施例中,承載膠帶922具有一黏著面,其係用以將電性連接體820貼附至承載膠帶922。承載基板102係被剝除。在由光敏黏著物形成的黏著層104的實施例中,承載基板102可藉由例如將黏著層104暴露至紫外光或雷射中,使其失去黏著性來剝除。
其後,如第10圖所示,依據本發明一實施例,可穿過保護層106形成接觸墊開口1024。接觸墊開口1024暴露下方部分的通孔電極412。在後續製程中,接觸墊開口1024可形成每一個別通孔電極412的電性接觸。舉例來說,如後所述,具有一或多個積體電路晶片614的半導體封裝體可裝設在保護 層106上,並透過接觸墊開口1024與通孔電極412形成電性接觸。在形成電性連接體820之前,可選擇性地於暴露出的通孔電極412部份上方形成凸塊下金屬層結構。
接觸墊開口1024可藉由蝕刻或雷射鑽孔(laser drilling)技術形成。舉例來說,可透過微影技術以沉積及圖案化保護層106上方的罩幕。其後,可藉由乾式或濕式蝕刻製程來形成開口並去除罩幕。
可選擇性地透過蝕刻製程去除晶種層208及/或部分的通孔電極412,以使通孔電極412凹陷。如後所述,使晶種層208凹陷或是去除晶種層208可形成較佳的電性連接及提供可置放焊球的凹口(indentation)。此外,晶種層208及/或通孔電極412的凹處可提供更佳的結構支撐及減少晶片偏移。上述蝕刻製程可為濕式或乾式蝕刻,例如四氟化碳、氧氣及氬氣的氣體混合物、高錳酸鉀(KMnO4)、磷酸(H3PO4)及過氧化氫(H2O2)的化學溶液(被稱作DPP)或2%的氫氟酸(HF acid)。
第11圖繪示依據本發明一實施例,實施切割製程並堆疊多個積體電路封裝體的結果。對第10圖所示結構實施切割製程,以形成第一積體電路封裝體1126,並接合第二積體電路封裝體1128至第一積體電路封裝體1126上。第二積體電路封裝體1128包括例如將兩個積體電路晶片1130打線接合(wire bond)至封裝基板1132上。第二積體電路封裝體1128係透過例如第二組電性連接體1134以電性耦接至通孔電極412。第二組電性連接體1134可為焊球、金屬墊、金屬柱及/或其組合,且可形成自金、銀、鎳、鎢、鋁及/或其合金。
可選擇性地於第一積體電路封裝體1126與第二積體電路封裝體1128之間置入底膠(underfill)材料1136。底膠材料1136係分配在第一積體電路封裝體1126與第二積體電路封裝體1128之間。底膠材料1136可為液態環氧樹脂、可變形之凝膠、矽橡膠、可重工底膠(reworkable underfill)、上述組合及/或其類似物,且透過合適的分配設備來分配。
第12-18圖繪示層疊封裝結構製造的其他實施例。第12-18圖所使用的部件標號與第1-11圖中類似膜層/結構的部件標號相同。確切而言,具有相同部件標號的膜層與結構可使用如第1-11圖所述之類似材料與方法形成,於此不再重述。下列討論將提供第二實施例製造流程的簡要概述。
第12圖繪示於承載基板102上形成黏著層104,於黏著層104上形成晶種層208,及於晶種層208上形成保護層106。第12圖亦繪示於保護層106上形成圖案化罩幕310。圖案化罩幕310係圖案化以形成如第13圖所示之通孔電極412。在本實施例中,在形成通孔電極412之前,藉由圖案化罩幕310以圖案化保護層106。
第14圖繪示去除圖案化罩幕310及置放第一積體電路晶片614。第15圖繪示形成及研磨(若有需要)模封化合物716,形成一或多層重佈線層818,以及置放電性連接體820。
之後,第16圖繪示將上述結構貼附至承載結構,如承載膠帶922,以及剝除承載基板102。在第17圖中,去除晶種層208。晶種層208可透過例如研磨、蝕刻、上述組合或其類似製程去除。經過單體化(singulation)製程與貼附第二積體電 路封裝體1128後,最終結構如第18圖所示。
第12-18圖之實施例與第1-11圖之實施例不同之處至少在於晶種層208與保護層106的形成。特別來說,第1-11圖之實施例係先形成保護層106,再於保護層106上形成晶種層208。相反地,第12-18圖之實施例係先形成晶種層208,再於晶種層208上形成保護層106。由於上述順序的交換,第二實施例的晶種層208並不會藉由通孔電極412作為罩幕而圖案化,如第一實施例之第5圖所示。相反地,在實施第16圖之剝除製程之前,晶種層208均被保留下來。所形成的封裝體的保護層(如聚苯噁唑層)係在下方晶片上延伸,且部分沿著通孔電極412的側壁延伸。
相較之下,第1-11圖之實施例的保護層106係位在通孔電極412上,且藉由形成於保護層106內的開口來形成通孔電極412的電性接觸。
第19-22圖繪示層疊封裝結構製造的其他實施例。第19-22圖所使用的部件標號與第1-11圖中類似膜層/結構的部件標號相同。確切而言,具有相同部件標號的膜層與結構可使用如第1-11圖所述之類似材料與方法形成,於此不再重述。下列討論將提供第三實施例製造流程的簡要概述。
首先參照第19圖,於承載基板102上形成黏著層104,以及選擇性地形成晶種層208。形成圖案化罩幕310,及於圖案化罩幕310的開口內形成通孔電極412。在本實施例中,保護層106不再形成於承載基板102上,而將直接形成於晶片上,如後所述。
第20圖繪示去除圖案化罩幕310。暴露出的晶種層208部分(若存在)可透過通孔電極412作為罩幕來蝕刻,其類似第一實施例。可置放第一積體電路晶片614。形成(及研磨,若有需要)模封化合物716,形成重佈線層818,以及置放電性連接體820。在第21圖中,剝除承載基板102。經過切割製程與貼附第二積體電路封裝體1128後,最終結構如第22圖所示。
在其他實施例中,可利用類似上述的製程以形成無通孔電極的封裝體。舉例來說,第23圖繪示不使用通孔電極的實施例。可使用上述第19-22圖的製程,但省略與選擇性形成的晶種層及第二積體電路封裝體有關的步驟。上述實施例所提供的保護層(如聚苯噁唑層)係位在積體電路晶片的背側上。
第24圖為第1-11圖所述之製程流程圖。此製程起始於步驟2402,其於承載基板上形成黏著層與保護層,如第1圖所示。接著,在步驟2404中,於黏著層與保護層上形成晶種層,如第2圖所示。在步驟2406中,沉積及圖案化罩幕以形成開口,並在開口內填入導電材料以形成通孔電極,如第3及4圖所示。接著,在步驟2408中,去除圖案化罩幕,及去除暴露出的晶種層部分,如第5圖所示。在步驟2410中,貼附晶片及形成模封化合物,如第6及7圖所示。在步驟2412中,形成重佈線層及貼附焊球,如第8圖所示。在步驟2414中,將上述結構貼附至承載膠帶,剝除承載基板,以及圖案化保護層,如第9及10圖所示。最後,在步驟2416中,實施單體化製程及將第二封裝體貼附至上述形成的第一封裝體,如第11圖所示。
第25圖為第12-18圖所述之製程流程圖。此製程起 始於步驟2502,其於承載基板上形成黏著層、晶種層與保護層,如第12圖所示。同時亦形成罩幕,並將其圖案化以形成開口。接著,在步驟2504中,在圖案化罩幕的開口內填入導電材料以形成通孔電極,如第13圖所示。在步驟2506中,去除圖案化罩幕及貼附晶片,如第14圖所示。在步驟2508中,形成模封化合物與重佈線層及貼附焊球,如第15圖所示。接著,在步驟2510中,將上述結構貼附至承載膠帶,及剝除承載基板,如第16圖所示。在步驟2512中,去除多餘的晶種層材料,如第17圖所示。最後,在步驟2514中,實施單體化製程以形成第一封裝體,及於第一封裝體上形成第二封裝體,如第18圖所示。亦可在第一及第二封裝體之間置入底膠材料。
第26圖為第19-23圖所述之製程流程圖。此製程起始於步驟2602,其於承載基板上形成黏著層及選擇性形成晶種層。形成及圖案化罩幕以形成開口,並在開口內填入導電材料以形成通孔電極,如第19圖所示。接著,在步驟2604中,去除圖案化罩幕及去除暴露出的晶種層部分(若存在)。將表面貼附有保護層的晶片貼附至承載基板,及形成模封化合物與重佈線層。此外,貼附焊球,如第20圖所示。在步驟2606中,將上述結構貼附至承載膠帶,及剝除承載基板,如第21圖所示。最後,在步驟2608中,實施單體化製程以形成第一封裝體,及於第一封裝體上形成第二封裝體,如第22圖所示,或選擇性地實施切割製程以形成無通孔電極的第一封裝體,如第23圖所示。亦可在第一及第二封裝體之間置入底膠材料。
上述實施例可達成較佳的翹曲控制,特別是對薄 型整合式扇出(integrated fan-out,InFO)層疊封裝設計,其提供與重佈線層所引發之翹曲方向相反的應力。此外,由於在形成模封化合物之前已去除多餘的晶種層材料,可能導致研磨設備阻塞與導致研磨表面粗化的金屬研磨量可因此減少。保護層及/或形成於通孔電極的凹處亦提供額外的側向支撐,進而減少晶片偏移與焊球破裂的問題。
在一實施例中,提供一種半導體裝置的形成方法。此方法包括於一承載基板上形成一保護層,及形成複數個通孔電極自保護層向上延伸。貼附一或多個晶片至保護層,及於此些通孔電極與晶片之間置入一模封化合物。於此些通孔電極上置放複數個電性連接體並與此些晶片形成電性接觸。實施一剝除製程以去除承載基板並暴露保護層。
在另一實施例中,提供一半導體裝置。此半導體裝置包括一第一封裝體及裝設於第一封裝體上的一第二封裝體。第一封裝體包括一或多層重佈線層及位於重佈線層上的一或多個積體電路晶片。一模封化合物係置放於鄰近一或多個積體電路晶片側壁處,及使此些通孔電極延伸穿過模封化合物。一保護層係位於一或多個積體電路晶片上。
雖然本發明實施例及其優點已揭露如上,應了解到在不脫離本發明所附請求項定義之實施例之精神和範圍內,當可作出各種不同的更動、替代與潤飾。再者,本發明之範圍並未侷限於特定實施例中的製程、機器、製造、物質組成、手段、方法及步驟。所屬技術領域中具有通常知識者將可從本發明揭示內容中輕易理解現行或未來所發展出的製程、機器、 製造、物質組成、手段、方法及步驟,只要可以在此處所述實施例中實施大體相同功能或獲得大體相同結果皆可使用於本發明中。因此,本發明所附請求項範圍包括上述製程、機器、製造、物質組成、手段、方法及步驟。另外,每一請求項構成個別的實施例,且本發明之範圍也包括各個請求項及實施例的組合。
106‧‧‧保護層
616‧‧‧晶片貼附膜
1126‧‧‧第一積體電路封裝體
1128‧‧‧第二積體電路封裝體
1130‧‧‧積體電路晶片
1132‧‧‧封裝基板
1134‧‧‧第二組電性連接體
1136‧‧‧底膠材料

Claims (10)

  1. 一種半導體裝置的形成方法,包括:於一承載基板上形成一保護層;形成複數個通孔電極,其自該保護層向上延伸;貼附一或多個晶片至該保護層;於該些通孔電極與該一或多個晶片之間形成一模封化合物;電性耦接複數個電性連接體至該些通孔電極與該一或多個晶片上的複數個電性接點;剝除該承載基板並暴露該保護層;以及在剝除該承載基板之後,於該保護層內形成複數個開口以暴露該些通孔電極。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中形成該些通孔電極的步驟包括:於該保護層上形成一晶種層;於該保護層上形成一圖案化罩幕,該圖案化罩幕具有複數個開口形成於其內,以暴露部分的該晶種層;於該圖案化罩幕的該些開口內填入一導電材料,藉以形成該些通孔電極;以及去除該圖案化罩幕。
  3. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括在形成該模封化合物之後:薄化該模封化合物以暴露該一或多個晶片上的該些電性接點;以及 於該模封化合物上形成一或多層重佈線層。
  4. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括在剝除該承載基板之後:實施一單體化製程以形成一第一封裝體;將一第二封裝體貼附至該第一封裝體,該第二封裝體係藉由形成於該保護層內的該些開口電性耦接至該第一封裝體內的該些通孔電極;以及於該第一封裝體及該第二封裝體之間形成一底膠材料。
  5. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中形成該些通孔電極的步驟包括:在形成該保護層之前,於該承載基板上形成一晶種層;於該晶種層上形成該保護層;於該保護層上形成一圖案化罩幕,該圖案化罩幕具有複數個開口形成於其內,以暴露部分的該晶種層;於該圖案化罩幕的該些開口內填入一導電材料,進而形成該些通孔電極;以及去除該圖案化罩幕。
  6. 一種半導體裝置,包括:一第一封裝體,包括:一或多層重佈線層;一積體電路晶片,位於該一或多層重佈線層上;一模封化合物,鄰近於該一或多個積體電路晶片側壁;複數個通孔電極,延伸穿過該模封化合物;以及一保護層,位於該些積體電路晶片上; 一第二封裝體,裝設於該第一封裝體上,該第二封裝體係電性耦合至該些通孔電極;以及一底膠材料,位於該第一封裝體與該第二封裝體之間。
  7. 如申請專利範圍第6項所述之半導體裝置,其中該保護層與該積體電路晶片的側壁相接,且該保護層的上表面延伸至該些通孔電極的上表面。
  8. 如申請專利範圍第6項所述之半導體裝置,其中該些通孔電極延伸穿過該保護層,且該保護層的上表面延伸至該些通孔電極的上表面。
  9. 如申請專利範圍第6項所述之半導體裝置,其中該保護層的下表面係高於或齊平於該些通孔電極的上表面。
  10. 如申請專利範圍第6項所述之半導體裝置,其中該第一封裝體係透過複數個電性連接體耦接至該第二封裝體,其中該些電性連接體延伸穿過該保護層。
TW102148373A 2013-03-06 2013-12-26 半導體裝置及其形成方法 TWI525720B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/787,547 US9048222B2 (en) 2013-03-06 2013-03-06 Method of fabricating interconnect structure for package-on-package devices

Publications (2)

Publication Number Publication Date
TW201436067A TW201436067A (zh) 2014-09-16
TWI525720B true TWI525720B (zh) 2016-03-11

Family

ID=51486883

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102148373A TWI525720B (zh) 2013-03-06 2013-12-26 半導體裝置及其形成方法

Country Status (2)

Country Link
US (6) US9048222B2 (zh)
TW (1) TWI525720B (zh)

Families Citing this family (760)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283443B2 (en) 2009-11-10 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package having integrated capacitor
US9385095B2 (en) 2010-02-26 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
US11244896B2 (en) 2019-01-27 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US9224674B2 (en) * 2011-12-15 2015-12-29 Intel Corporation Packaged semiconductor die with bumpless die-package interface for bumpless build-up layer (BBUL) packages
US10050004B2 (en) * 2015-11-20 2018-08-14 Deca Technologies Inc. Fully molded peripheral package on package device
KR101947722B1 (ko) * 2012-06-07 2019-04-25 삼성전자주식회사 적층 반도체 패키지 및 이의 제조방법
US10622310B2 (en) 2012-09-26 2020-04-14 Ping-Jung Yang Method for fabricating glass substrate package
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9455211B2 (en) 2013-09-11 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure with openings in buffer layer
US9425121B2 (en) 2013-09-11 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure with guiding trenches in buffer layer
US9466581B2 (en) 2013-10-18 2016-10-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device and manufacturing method thereof
US9406588B2 (en) 2013-11-11 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method thereof
US10056294B2 (en) * 2013-12-02 2018-08-21 Maxim Integrated Products, Inc. Techniques for adhesive control between a substrate and a die
US9299688B2 (en) 2013-12-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and methods of packaging semiconductor devices
US9583420B2 (en) 2015-01-23 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufactures
US10056267B2 (en) 2014-02-14 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9935090B2 (en) 2014-02-14 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9653443B2 (en) 2014-02-14 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal performance structure for semiconductor packages and method of forming same
US9768090B2 (en) 2014-02-14 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US10026671B2 (en) 2014-02-14 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate design for semiconductor packages and method of forming same
US9293442B2 (en) 2014-03-07 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US9281297B2 (en) 2014-03-07 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Solution for reducing poor contact in info packages
US9824990B2 (en) 2014-06-12 2017-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Pad design for reliability enhancement in packages
US9881857B2 (en) 2014-06-12 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Pad design for reliability enhancement in packages
US10177032B2 (en) * 2014-06-18 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaging devices, and methods of packaging semiconductor devices
US9449947B2 (en) 2014-07-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package for thermal dissipation
US9754928B2 (en) 2014-07-17 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. SMD, IPD, and/or wire mount in a package
US9613910B2 (en) 2014-07-17 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Anti-fuse on and/or in package
US9373585B2 (en) 2014-09-17 2016-06-21 Invensas Corporation Polymer member based interconnect
US9633934B2 (en) 2014-11-26 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semicondutor device and method of manufacture
US9812337B2 (en) 2014-12-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package pad and methods of forming
US10354974B2 (en) * 2014-12-11 2019-07-16 Mediatek Inc. Structure and formation method of chip package structure
US10424541B2 (en) 2014-12-16 2019-09-24 At&S Austria Technologie & Systemtechnik Aktiengesellschaft Component carrier and method for manufacturing the same
DE102015104507B4 (de) * 2014-12-19 2022-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte Fan-Out-Struktur mit Öffnungen in einer Pufferschicht und deren Herstellungsverfahren
KR20160080965A (ko) 2014-12-30 2016-07-08 앰코 테크놀로지 코리아 주식회사 반도체 디바이스 및 그 제조 방법
US9701534B2 (en) * 2015-01-28 2017-07-11 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming MEMS package
US10032651B2 (en) 2015-02-12 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and method of forming the same
US10032704B2 (en) 2015-02-13 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing cracking by adjusting opening size in pop packages
US9564416B2 (en) 2015-02-13 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
US10032725B2 (en) 2015-02-26 2018-07-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10497660B2 (en) 2015-02-26 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9704836B2 (en) 2015-03-16 2017-07-11 Mediatek Inc. Semiconductor package assembly
US9589903B2 (en) 2015-03-16 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Eliminate sawing-induced peeling through forming trenches
US9595482B2 (en) 2015-03-16 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for die probing
US10115647B2 (en) 2015-03-16 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Non-vertical through-via in package
US10368442B2 (en) 2015-03-30 2019-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method of forming
JP2016192447A (ja) * 2015-03-30 2016-11-10 株式会社東芝 半導体装置
US9786519B2 (en) 2015-04-13 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and methods of packaging semiconductor devices
US9666514B2 (en) * 2015-04-14 2017-05-30 Invensas Corporation High performance compliant substrate
US9653406B2 (en) 2015-04-16 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive traces in semiconductor devices and methods of forming same
US9461018B1 (en) * 2015-04-17 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out PoP structure with inconsecutive polymer layer
US9666502B2 (en) 2015-04-17 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Discrete polymer in fan-out packages
US9659805B2 (en) 2015-04-17 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and methods forming the same
US9613931B2 (en) 2015-04-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) having dummy dies and methods of making the same
US10340258B2 (en) 2015-04-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures, packaged semiconductor devices, and methods of packaging semiconductor devices
US9748212B2 (en) 2015-04-30 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shadow pad for post-passivation interconnect structures
US9418926B1 (en) * 2015-05-18 2016-08-16 Micron Technology, Inc. Package-on-package semiconductor assemblies and methods of manufacturing the same
US9484227B1 (en) 2015-06-22 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Dicing in wafer level package
US10170444B2 (en) 2015-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages for semiconductor devices, packaged semiconductor devices, and methods of packaging semiconductor devices
US9741586B2 (en) 2015-06-30 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structures
US10276541B2 (en) 2015-06-30 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. 3D package structure and methods of forming same
US9793231B2 (en) 2015-06-30 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Under bump metallurgy (UBM) and methods of forming same
US9818711B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Post-passivation interconnect structure and methods thereof
US9842826B2 (en) 2015-07-15 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9373605B1 (en) 2015-07-16 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. DIE packages and methods of manufacture thereof
US9391028B1 (en) 2015-07-31 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit dies having alignment marks and methods of forming same
US9847269B2 (en) 2015-07-31 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out packages and methods of forming same
US10141288B2 (en) 2015-07-31 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface mount device/integrated passive device on package or device structure and methods of forming
US10269767B2 (en) 2015-07-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip packages with multi-fan-out scheme and methods of manufacturing the same
US11018025B2 (en) 2015-07-31 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution lines having stacking vias
US9570410B1 (en) 2015-07-31 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming connector pad structures, interconnect structures, and structures thereof
US9564345B1 (en) 2015-08-18 2017-02-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9768145B2 (en) 2015-08-31 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming multi-die package structures including redistribution layers
US9685411B2 (en) 2015-09-18 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit dies having alignment marks and methods of forming same
US9881850B2 (en) 2015-09-18 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and method of forming the same
US10784206B2 (en) 2015-09-21 2020-09-22 Mediatek Inc. Semiconductor package
US10049953B2 (en) 2015-09-21 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing an integrated fan-out package having fan-out redistribution layer (RDL) to accommodate electrical connectors
US9761534B2 (en) 2015-09-21 2017-09-12 Mediatek Inc. Semiconductor package, semiconductor device using the same and manufacturing method thereof
US9917072B2 (en) 2015-09-21 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing an integrated stacked package with a fan-out redistribution layer (RDL) and a same encapsulating process
US9929112B2 (en) 2015-09-25 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9704825B2 (en) 2015-09-30 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Chip packages and methods of manufacture thereof
US10068844B2 (en) 2015-09-30 2018-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure and method of forming
US20170098589A1 (en) * 2015-10-05 2017-04-06 Mediatek Inc. Fan-out wafer level package structure
US10720788B2 (en) 2015-10-09 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Wireless charging devices having wireless charging coils and methods of manufacture thereof
US10304700B2 (en) * 2015-10-20 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9640498B1 (en) 2015-10-20 2017-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out (InFO) package structures and methods of forming same
DE102016115788A1 (de) * 2015-10-20 2017-04-20 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleitervorrichtung und Verfahren
US10438881B2 (en) * 2015-10-29 2019-10-08 Marvell World Trade Ltd. Packaging arrangements including high density interconnect bridge
US9691723B2 (en) 2015-10-30 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Connector formation methods and packaged semiconductor devices
US9524959B1 (en) 2015-11-04 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming same
US9953892B2 (en) 2015-11-04 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Polymer based-semiconductor structure with cavity
US9953963B2 (en) 2015-11-06 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit process having alignment marks for underfill
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US9793245B2 (en) 2015-11-16 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US9786614B2 (en) * 2015-11-16 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure and method of forming
US9898645B2 (en) 2015-11-17 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fingerprint sensor device and method
US9627365B1 (en) 2015-11-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-layer CoWoS structure
US9892962B2 (en) 2015-11-30 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level chip scale package interconnects and methods of manufacture thereof
US9735118B2 (en) 2015-12-04 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Antennas and waveguides in InFO structures
US9893042B2 (en) 2015-12-14 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10074472B2 (en) 2015-12-15 2018-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. InFO coil on metal plate with slot
US10165682B2 (en) 2015-12-28 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Opening in the pad for bonding integrated passive device in InFO package
US10050013B2 (en) 2015-12-29 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and packaging methods
US9850126B2 (en) 2015-12-31 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US9984998B2 (en) 2016-01-06 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Devices employing thermal and mechanical enhanced layers and methods of forming same
US9881908B2 (en) 2016-01-15 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package on package structure and methods of forming same
US9773757B2 (en) 2016-01-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaged semiconductor devices, and semiconductor device packaging methods
US9620465B1 (en) 2016-01-25 2017-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-sided integrated fan-out package
US9768303B2 (en) 2016-01-27 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for FinFET device
US10269702B2 (en) * 2016-01-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Info coil structure and methods of manufacturing same
DE102016118802B4 (de) 2016-01-29 2022-12-08 Taiwan Semiconductor Manufacturing Co. Ltd. Drahtloses Ladepaket mit in Spulenmitte integriertem Chip und Herstellungsverfahren dafür
US9761522B2 (en) 2016-01-29 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wireless charging package with chip integrated in coil center
US9904776B2 (en) 2016-02-10 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Fingerprint sensor pixel array and methods of forming same
US9911629B2 (en) 2016-02-10 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated passive device package and methods of forming same
US10797038B2 (en) 2016-02-25 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and rework process for the same
US9754805B1 (en) 2016-02-25 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging method and structure
US9842815B2 (en) 2016-02-26 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10062648B2 (en) 2016-02-26 2018-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US9847320B2 (en) 2016-03-09 2017-12-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of fabricating the same
US9831148B2 (en) 2016-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package including voltage regulators and methods forming same
US10777486B2 (en) 2016-03-25 2020-09-15 Intel Corporation Substrate-free system in package design
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
TWI563602B (en) * 2016-04-15 2016-12-21 Phoenix Pioneer Technology Co Ltd Method of fabricating a package substrate
US9935024B2 (en) 2016-04-28 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
US9859229B2 (en) 2016-04-28 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US9997464B2 (en) 2016-04-29 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy features in redistribution layers (RDLS) and methods of forming same
US9947552B2 (en) 2016-04-29 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out structure
US9935080B2 (en) 2016-04-29 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Three-layer Package-on-Package structure and method forming same
US10068853B2 (en) 2016-05-05 2018-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US9922895B2 (en) 2016-05-05 2018-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package with tilted interface between device die and encapsulating material
US9806059B1 (en) 2016-05-12 2017-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US10797025B2 (en) 2016-05-17 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced INFO POP and method of forming thereof
US9870997B2 (en) 2016-05-24 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10157807B2 (en) 2016-05-26 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Sensor packages and manufacturing mehtods thereof
US9852957B2 (en) 2016-05-27 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Testing, manufacturing, and packaging methods for semiconductor devices
US10269481B2 (en) 2016-05-27 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked coil for wireless charging structure on InFO package
US9941248B2 (en) 2016-05-30 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures, pop devices and methods of forming the same
US9941216B2 (en) 2016-05-30 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive pattern and integrated fan-out package having the same
US9985006B2 (en) * 2016-05-31 2018-05-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US9793246B1 (en) 2016-05-31 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Pop devices and methods of forming the same
US10032722B2 (en) 2016-05-31 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure having am antenna pattern and manufacturing method thereof
US9812381B1 (en) 2016-05-31 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10504827B2 (en) * 2016-06-03 2019-12-10 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
US11056436B2 (en) * 2016-06-07 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out structure with rugged interconnect
US10354114B2 (en) 2016-06-13 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fingerprint sensor in InFO structure and formation method
US10050024B2 (en) 2016-06-17 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10475769B2 (en) * 2016-06-23 2019-11-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package and manufacturing method of the same
US10854579B2 (en) 2016-06-23 2020-12-01 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure
US10431738B2 (en) 2016-06-24 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method for fabricating the same
US10229901B2 (en) 2016-06-27 2019-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion interconnections for semiconductor devices and methods of manufacture thereof
US9812426B1 (en) 2016-06-29 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package, semiconductor device, and method of fabricating the same
US9941186B2 (en) 2016-06-30 2018-04-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US9859254B1 (en) 2016-06-30 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and a manufacturing method thereof
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9793230B1 (en) 2016-07-08 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming
US10163800B2 (en) 2016-07-08 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with dummy feature in passivation layer
US9824902B1 (en) 2016-07-12 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US9825007B1 (en) 2016-07-13 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US11469215B2 (en) 2016-07-13 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US9661794B1 (en) 2016-07-13 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing package structure
US9691708B1 (en) 2016-07-20 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US10062654B2 (en) 2016-07-20 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor structure and semiconductor manufacturing process thereof
US9799615B1 (en) 2016-07-20 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures having height-adjusted molding members and methods of forming the same
US9984960B2 (en) 2016-07-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10276542B2 (en) * 2016-07-21 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10276506B2 (en) 2016-07-21 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package
US9899443B2 (en) * 2016-07-22 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Complementary metal-oxide-semiconductor (CMOS) image sensor (CIS) package with an image buffer
US10163860B2 (en) 2016-07-29 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure
US10083949B2 (en) * 2016-07-29 2018-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Using metal-containing layer to reduce carrier shock in package formation
US10134708B2 (en) 2016-08-05 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package with thinned substrate
US10340206B2 (en) 2016-08-05 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dense redistribution layers in semiconductor packages and methods of forming the same
US10297551B2 (en) * 2016-08-12 2019-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing redistribution circuit structure and method of manufacturing integrated fan-out package
US10672741B2 (en) 2016-08-18 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with thermal-electrical-mechanical chips and methods of forming the same
US10658334B2 (en) 2016-08-18 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a package structure including a package layer surrounding first connectors beside an integrated circuit die and second connectors below the integrated circuit die
US11081371B2 (en) * 2016-08-29 2021-08-03 Via Alliance Semiconductor Co., Ltd. Chip package process
US10120971B2 (en) 2016-08-30 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and layout method thereof
US9741690B1 (en) 2016-09-09 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
KR102600106B1 (ko) 2016-09-13 2023-11-09 삼성전자주식회사 반도체 패키지의 제조 방법
US10276548B2 (en) 2016-09-14 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having dummy connectors and methods of forming same
US10128182B2 (en) 2016-09-14 2018-11-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and manufacturing method thereof
US9922896B1 (en) 2016-09-16 2018-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Info structure with copper pillar having reversed profile
US10529697B2 (en) 2016-09-16 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US9922964B1 (en) 2016-09-19 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with dummy die
US9859245B1 (en) 2016-09-19 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with bump and method for forming the same
US9911672B1 (en) 2016-09-30 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, method for fabricating integrated fan-out packages, and method for fabricating semiconductor devices
US9837359B1 (en) 2016-09-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10515899B2 (en) 2016-10-03 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with bump
US10157846B2 (en) 2016-10-13 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package involving cutting process
US10290609B2 (en) 2016-10-13 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US10163801B2 (en) 2016-10-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out structure
US11158619B2 (en) 2016-10-31 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US10304801B2 (en) 2016-10-31 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US9893035B1 (en) * 2016-11-07 2018-02-13 Nanya Technology Corporation Stacked package structure and manufacturing method thereof
US10014260B2 (en) 2016-11-10 2018-07-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US10163813B2 (en) 2016-11-17 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure including redistribution structure and conductive shielding film
US10622340B2 (en) 2016-11-21 2020-04-14 Samsung Electronics Co., Ltd. Semiconductor package
US10103125B2 (en) 2016-11-28 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10692813B2 (en) 2016-11-28 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package with dummy bumps connected to non-solder mask defined pads
US9837366B1 (en) 2016-11-28 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor structure and semiconductor manufacturing process thereof
US10177078B2 (en) 2016-11-28 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package structure
US10304793B2 (en) 2016-11-29 2019-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US10163802B2 (en) 2016-11-29 2018-12-25 Taiwan Semicondcutor Manufacturing Company, Ltd. Fan-out package having a main die and a dummy die, and method of forming
US10128193B2 (en) 2016-11-29 2018-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US10183858B2 (en) 2016-11-29 2019-01-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US10825780B2 (en) 2016-11-29 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with electromagnetic interference protection and method of manufacture
US10037963B2 (en) 2016-11-29 2018-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US10529666B2 (en) 2016-11-29 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10290590B2 (en) * 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Company Ltd. Stacked semiconductor device and method of manufacturing the same
US10163824B2 (en) 2016-12-02 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US20180166419A1 (en) * 2016-12-12 2018-06-14 Nanya Technology Corporation Semiconductor package
US10529671B2 (en) 2016-12-13 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US10312203B2 (en) 2016-12-13 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with antenna element
US10535554B2 (en) 2016-12-14 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor die having edge with multiple gradients and method for forming the same
US9972581B1 (en) * 2017-02-07 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Routing design of dummy metal cap and redistribution line
US10354964B2 (en) 2017-02-24 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated devices in semiconductor packages and methods of forming same
US10529698B2 (en) 2017-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming same
US10937719B2 (en) 2017-03-20 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US10157808B2 (en) 2017-03-30 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming package structure
US10672729B2 (en) 2017-03-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming package structure
US10872850B2 (en) 2017-03-30 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming thereof
US10784220B2 (en) 2017-03-30 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Plurality of semiconductor devices encapsulated by a molding material attached to a redistribution layer
US10854568B2 (en) 2017-04-07 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
DE102017123449B4 (de) 2017-04-10 2023-12-28 Taiwan Semiconductor Manufacturing Co. Ltd. Gehäuse mit Si-substratfreiem Zwischenstück und Ausbildungsverfahren
US10522449B2 (en) 2017-04-10 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
US10685896B2 (en) * 2017-04-13 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method of fabricating the same
US10510709B2 (en) 2017-04-20 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package and manufacturing method thereof
US10923417B2 (en) * 2017-04-26 2021-02-16 Taiwan Semiconductor Manufacturing Company Limited Integrated fan-out package with 3D magnetic core inductor
US10074604B1 (en) 2017-04-28 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10276536B2 (en) * 2017-04-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out structure
US10163848B2 (en) 2017-04-28 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package
US10460987B2 (en) 2017-05-09 2019-10-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package device with integrated antenna and manufacturing method thereof
SG11201910104UA (en) * 2017-05-10 2019-11-28 Hitachi Chemical Co Ltd Temporary protective film for semiconductor sealing molding
US10283470B2 (en) 2017-05-19 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US10515923B2 (en) 2017-05-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor package structure with twinned copper layer
US10461060B2 (en) 2017-05-31 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with redistribution layers
US10163781B1 (en) 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US10163803B1 (en) 2017-06-20 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out packages and methods of forming the same
US10312112B2 (en) 2017-06-20 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package having multi-band antenna and method of forming the same
US10157888B1 (en) 2017-06-20 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out packages and methods of forming the same
US10276481B2 (en) 2017-06-26 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having a plurality of conductive balls having narrow width for the ball waist
US10872885B2 (en) 2017-06-30 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming same
US10269589B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a release film as isolation film in package
US10269587B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages and methods of forming same
US10283474B2 (en) 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure and method for forming the same
US10290605B2 (en) * 2017-06-30 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fan-out package structure and method for forming the same
DE102017126028B4 (de) 2017-06-30 2020-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gehäuse und Herstellungsverfahren mit einem Trennfilm als Isolierfilm
DE102018109028B4 (de) 2017-06-30 2023-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit Abschirmstruktur zur Verringerung von Übersprechen und Verfahren zur Herstellung derselben
US10263064B2 (en) 2017-06-30 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US10269728B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with shielding structure for cross-talk reduction
US10727198B2 (en) 2017-06-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
US10283428B2 (en) 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method manufacturing the same
DE102018108409B4 (de) * 2017-06-30 2023-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte schaltkreis-packages und verfahren zu deren herstellung
US10170341B1 (en) * 2017-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Release film as isolation film in package
US10276551B2 (en) 2017-07-03 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device package and method of forming semiconductor device package
US10867924B2 (en) 2017-07-06 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with redistribution structure and pre-made substrate on opposing sides for dual-side metal routing
US10522476B2 (en) * 2017-07-18 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure, integrated fan-out package and method of fabricating the same
US10186492B1 (en) 2017-07-18 2019-01-22 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10461034B2 (en) 2017-07-26 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10290611B2 (en) 2017-07-27 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming same
US10854570B2 (en) 2017-07-27 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package and method of fabricating the same
US10162139B1 (en) 2017-07-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package
US10515921B2 (en) 2017-07-27 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating semiconductor package
US10134685B1 (en) 2017-07-27 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method of fabricating the same
US10157862B1 (en) 2017-07-27 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10157864B1 (en) 2017-07-27 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming the same
US10522526B2 (en) * 2017-07-28 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. LTHC as charging barrier in InFO package formation
US10157850B1 (en) 2017-07-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and manufacturing method thereof
US11335767B2 (en) 2017-07-31 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US10541209B2 (en) * 2017-08-03 2020-01-21 General Electric Company Electronics package including integrated electromagnetic interference shield and method of manufacturing thereof
US10541153B2 (en) * 2017-08-03 2020-01-21 General Electric Company Electronics package with integrated interconnect structure and method of manufacturing thereof
US10804115B2 (en) * 2017-08-03 2020-10-13 General Electric Company Electronics package with integrated interconnect structure and method of manufacturing thereof
US10475747B2 (en) 2017-08-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method for fabricating the same
US10276428B2 (en) 2017-08-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of fabricating semiconductor package
US10290610B2 (en) * 2017-08-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. PoP device and method of forming the same
US11075132B2 (en) 2017-08-29 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package, package-on-package structure, and manufacturing method thereof
US10636757B2 (en) * 2017-08-29 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit component package and method of fabricating the same
US10861773B2 (en) 2017-08-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10276404B2 (en) 2017-08-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package
US10879197B2 (en) 2017-08-30 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating package structure
DE102018103061A1 (de) 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Maskenanrdnung und Verfahren zum Herstellen eines Chip-Package
US11107680B2 (en) 2017-08-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Mask assembly and method for fabricating a chip package
US10510631B2 (en) 2017-09-18 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fan out package structure and method of manufacturing the same
US10157834B1 (en) 2017-09-18 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Electronic apparatus
US10290571B2 (en) 2017-09-18 2019-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with si-substrate-free interposer and method forming same
US11417569B2 (en) * 2017-09-18 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having integrated circuit component with conductive terminals of different dimensions
US10867938B2 (en) 2017-09-25 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US10276537B2 (en) 2017-09-25 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and manufacturing method thereof
US10157870B1 (en) 2017-09-26 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10297544B2 (en) 2017-09-26 2019-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating the same
US10340253B2 (en) 2017-09-26 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10319707B2 (en) 2017-09-27 2019-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component, package structure and manufacturing method thereof
US10629540B2 (en) 2017-09-27 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10510693B2 (en) 2017-09-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package structure
US10347574B2 (en) 2017-09-28 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out packages
US10276508B2 (en) 2017-09-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming the same
US10181449B1 (en) 2017-09-28 2019-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US10504865B2 (en) 2017-09-28 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10276920B2 (en) 2017-09-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure, electronic device and method of fabricating package structure
US10269773B1 (en) 2017-09-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US10727217B2 (en) 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device that uses bonding layer to join semiconductor substrates together
US10483617B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure
US10790244B2 (en) 2017-09-29 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10510732B2 (en) * 2017-09-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. PoP device and method of forming the same
US10157871B1 (en) 2017-10-12 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and manufacturing method thereof
US10396003B2 (en) * 2017-10-18 2019-08-27 Micron Technology, Inc. Stress tuned stiffeners for micro electronics package warpage control
US10074615B1 (en) 2017-10-26 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10163858B1 (en) * 2017-10-26 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and manufacturing methods thereof
US10163825B1 (en) * 2017-10-26 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10276543B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor device package and method of forming semicondcutor device package
US10636775B2 (en) 2017-10-27 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10163832B1 (en) 2017-10-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package, redistribution circuit structure, and method of fabricating the same
US10763206B2 (en) 2017-10-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating integrated fan-out packages
US10461023B2 (en) 2017-10-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and methods of forming the same
US10515827B2 (en) 2017-10-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package with recessed interposer substrate
US10629509B2 (en) 2017-10-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution circuit structures and methods of forming the same
US11322449B2 (en) 2017-10-31 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package with fan-out structures
TWI736780B (zh) 2017-10-31 2021-08-21 台灣積體電路製造股份有限公司 晶片封裝及其形成方法
US10861814B2 (en) 2017-11-02 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US10283473B1 (en) 2017-11-03 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10522440B2 (en) 2017-11-07 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10283377B1 (en) * 2017-11-07 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and manufacturing method thereof
US10629539B2 (en) 2017-11-07 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10446521B2 (en) 2017-11-07 2019-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and method of fabricating an integrated fan-out package
US11482491B2 (en) 2017-11-08 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with porous conductive structure and manufacturing method thereof
US10741404B2 (en) 2017-11-08 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10553533B2 (en) 2017-11-08 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package and manufacturing method thereof
US10283468B1 (en) 2017-11-09 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures
US10957672B2 (en) 2017-11-13 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10163827B1 (en) 2017-11-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with protrusion structure
US10529650B2 (en) 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US11410918B2 (en) 2017-11-15 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making an integrated circuit package including an integrated circuit die soldered to a bond pad of a carrier
DE102018111389A1 (de) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und Herstellungsverfahren
US11177201B2 (en) * 2017-11-15 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages including routing dies and methods of forming same
US10566261B2 (en) 2017-11-15 2020-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages with embedded heat dissipation structure
DE102018122228B4 (de) 2017-11-15 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integriertes Multichip-Fan-Out-Package sowie Verfahren zu dessen Herstellung
US11031342B2 (en) 2017-11-15 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
DE102018106038A1 (de) 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrierte schaltkreis-packages und verfahren zu deren herstellung
US10784203B2 (en) 2017-11-15 2020-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US10515922B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip integrated fan-out package
US10361139B2 (en) 2017-11-16 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package and manufacturing method thereof
US10522501B2 (en) 2017-11-17 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US10658208B2 (en) 2017-11-21 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Polyimide composition for package structure, package structure and method of fabricating the same
US10679947B2 (en) 2017-11-21 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package and manufacturing method thereof
US10381309B2 (en) 2017-11-21 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having connecting module
US10734323B2 (en) 2017-11-22 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Package structures
US10797007B2 (en) 2017-11-28 2020-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10685947B2 (en) * 2018-01-12 2020-06-16 Intel Corporation Distributed semiconductor die and package architecture
US10468339B2 (en) 2018-01-19 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Heterogeneous fan-out structure and method of manufacture
US10510704B2 (en) 2018-01-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US10762319B2 (en) 2018-01-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Fingerprint sensor and manufacturing method thereof
US10510650B2 (en) 2018-02-02 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device packaging structure having through interposer vias and through substrate vias
US10510646B2 (en) 2018-02-26 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Packae structure, RDL structure and method of forming the same
US10573573B2 (en) 2018-03-20 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package and package-on-package structure having elliptical conductive columns
US11069671B2 (en) 2018-03-23 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US11315891B2 (en) * 2018-03-23 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor packages having a die with an encapsulant
US10741477B2 (en) 2018-03-23 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US11488881B2 (en) 2018-03-26 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10665537B2 (en) 2018-03-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US11158555B2 (en) 2018-03-29 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having sensor die with touch sensing electrode, and method of fabricating the same
US11062915B2 (en) 2018-03-29 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution structures for semiconductor packages and methods of forming the same
US10872862B2 (en) 2018-03-29 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having bridge structure for connection between semiconductor dies and method of fabricating the same
US10546845B2 (en) 2018-04-20 2020-01-28 Taiwan Semiconductor Manufacturing Co., Ltd. Package on package structure
US10504858B2 (en) 2018-04-27 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10276511B1 (en) 2018-04-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package and manufacturing method thereof
US10529593B2 (en) 2018-04-27 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package comprising molding compound having extended portion and manufacturing method of semiconductor package
US10510686B2 (en) 2018-04-27 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US10672681B2 (en) 2018-04-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages
US10510595B2 (en) * 2018-04-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US10510645B2 (en) 2018-04-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Planarizing RDLs in RDL-first processes through CMP process
US10741508B2 (en) 2018-04-30 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having antenna and manufacturing method thereof
US10631392B2 (en) 2018-04-30 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. EUV collector contamination prevention
US10438934B1 (en) 2018-05-15 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Package-on-package structure and manufacturing method thereof
US10756037B2 (en) * 2018-05-15 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and fabricating method thereof
US10748861B2 (en) 2018-05-16 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10366966B1 (en) 2018-05-17 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing integrated fan-out package
US10700008B2 (en) 2018-05-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having redistribution layer structures
US10658287B2 (en) 2018-05-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a tapered protruding pillar portion
US10622321B2 (en) 2018-05-30 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures and methods of forming the same
US10748831B2 (en) 2018-05-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages having thermal through vias (TTV)
US10811404B2 (en) 2018-05-31 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10658263B2 (en) 2018-05-31 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US11114407B2 (en) * 2018-06-15 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package and manufacturing method thereof
US11289426B2 (en) 2018-06-15 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10879220B2 (en) 2018-06-15 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure and manufacturing method thereof
US10643943B2 (en) 2018-06-25 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure, package-on-package structure and manufacturing method thereof
US10333623B1 (en) 2018-06-25 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Optical transceiver
US10340249B1 (en) 2018-06-25 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10879166B2 (en) 2018-06-25 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having redistribution structure with photosensitive and non-photosensitive dielectric materials and fabricating method thereof
US10483174B1 (en) 2018-06-25 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit component and package structure having the same
US10566686B2 (en) * 2018-06-28 2020-02-18 Micron Technology, Inc. Stacked memory package incorporating millimeter wave antenna in die stack
US11049805B2 (en) 2018-06-29 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US10886231B2 (en) 2018-06-29 2021-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming RDLS and structure formed thereof
US10872855B2 (en) * 2018-06-29 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Chip package and method of fabricating the same
US10510591B1 (en) 2018-06-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package-on-package structure and method of manufacturing package
US10854552B2 (en) 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11145564B2 (en) 2018-06-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer passivation structure and method
US10867962B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging process and manufacturing method
US10825696B2 (en) 2018-07-02 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Cross-wafer RDLs in constructed wafers
US11004803B2 (en) 2018-07-02 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy dies for reducing warpage in packages
US10522470B1 (en) 2018-07-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10510668B1 (en) 2018-07-16 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating semiconductor device
US10504835B1 (en) 2018-07-16 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure, semiconductor chip and method of fabricating the same
US11469198B2 (en) 2018-07-16 2022-10-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device manufacturing method and associated semiconductor die
US10950554B2 (en) 2018-07-16 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with electromagnetic interference shielding layer and methods of forming the same
US11410923B2 (en) 2018-07-16 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, integrated fan-out package and method of forming the same
US11075159B2 (en) 2018-07-16 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US10867925B2 (en) 2018-07-19 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package structure
US11424197B2 (en) 2018-07-27 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package, package structure with redistributing circuits and antenna elements and method of manufacturing the same
US11239180B2 (en) 2018-07-30 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with stacked semiconductor dies
US10790210B2 (en) 2018-07-31 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US11289373B2 (en) 2018-07-31 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11075439B2 (en) 2018-07-31 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US10658333B2 (en) 2018-07-31 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of fabricating the same
US10515848B1 (en) 2018-08-01 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US10978424B2 (en) 2018-08-03 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11088124B2 (en) 2018-08-14 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US11011501B2 (en) 2018-08-14 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, package-on-package structure and method of fabricating the same
US10720416B2 (en) 2018-08-15 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package including thermal relaxation block and manufacturing method thereof
US10665572B2 (en) 2018-08-15 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US11515128B2 (en) 2018-08-28 2022-11-29 Lam Research Corporation Confinement ring with extended life
US10756058B2 (en) 2018-08-29 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US11107801B2 (en) 2018-08-29 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Multi fan-out package structure and method for forming the same
US11171090B2 (en) 2018-08-30 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11270953B2 (en) 2018-08-31 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with shielding structure
US11309294B2 (en) * 2018-09-05 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out packages and methods of forming the same
US11164839B2 (en) 2018-09-11 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11264300B2 (en) 2018-09-17 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with lid and method for forming the same
US10914895B2 (en) 2018-09-18 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11004827B2 (en) * 2018-09-18 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method of semiconductor package
US11004812B2 (en) 2018-09-18 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US10796990B2 (en) 2018-09-19 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, package structure, and manufacturing method thereof
US10867919B2 (en) 2018-09-19 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US10665545B2 (en) 2018-09-19 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, semiconductor packages and methods of forming the same
US11062997B2 (en) 2018-09-20 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming chip package structure
US11177192B2 (en) 2018-09-27 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including heat dissipation structure and fabricating method of the same
US10868353B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US10658348B2 (en) 2018-09-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having a plurality of first and second conductive strips
US10867890B2 (en) * 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Mutli-chip package with encapsulated conductor via
US10825773B2 (en) 2018-09-27 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with reinforcement structures in a redistribution circuit structure and method of manufacturing the same
US10832985B2 (en) * 2018-09-27 2020-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Sensor package and method
US10672728B2 (en) 2018-09-27 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package
US11171098B2 (en) 2018-09-27 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US10998202B2 (en) 2018-09-27 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11158600B2 (en) 2018-09-28 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process for semiconductor packaging and structures resulting therefrom
US11164754B2 (en) 2018-09-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out packages and methods of forming the same
DE102019101999B4 (de) 2018-09-28 2021-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung mit mehreren polaritätsgruppen
US10861841B2 (en) 2018-09-28 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multiple polarity groups
US11322450B2 (en) 2018-10-18 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Chip package and method of forming the same
US10510713B1 (en) 2018-10-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package and method of manufacturing the same
US10679915B2 (en) * 2018-10-28 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and manufacturing method thereof
US10665520B2 (en) * 2018-10-29 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10638616B1 (en) 2018-10-30 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit carrier and manifacturing method thereof
US11430739B2 (en) 2018-10-30 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with fan-out structure
US11307500B2 (en) 2018-10-30 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing photoresistor layer, method of forming a pattern and method of manufacturing a package
US11626343B2 (en) 2018-10-30 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with enhanced thermal dissipation and method for making the same
US11545370B2 (en) 2018-10-30 2023-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pattern and manufacturing method of package
US10840197B2 (en) 2018-10-30 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US10879224B2 (en) 2018-10-30 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, die and method of manufacturing the same
US11075173B2 (en) 2018-10-31 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
US11031289B2 (en) 2018-10-31 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and methods of forming the same
US11637186B2 (en) 2018-11-20 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor having gate contact and source/drain contact separated by a gap
DE102019111085A1 (de) 2018-11-27 2020-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Abschirmstrukturen
US10867939B2 (en) 2018-11-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US10861810B2 (en) 2018-11-27 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Shielding structures
US10867947B2 (en) 2018-11-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US11139223B2 (en) 2018-11-29 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11282761B2 (en) 2018-11-29 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US10962711B2 (en) 2018-11-29 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11121089B2 (en) 2018-11-30 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11217538B2 (en) 2018-11-30 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10964609B2 (en) 2018-11-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for detecting end point
US11011451B2 (en) 2018-12-05 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11217546B2 (en) 2018-12-14 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded voltage regulator structure and method forming same
US11069642B2 (en) 2018-12-24 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11342295B2 (en) 2018-12-24 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic assembly, package structure having hollow cylinders and method of fabricating the same
US11094634B2 (en) 2018-12-24 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package structure comprising rigid-flexible substrate and manufacturing method thereof
DE102019121149A1 (de) * 2018-12-26 2020-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Integriertes schaltungs-package und verfahren
US11538735B2 (en) * 2018-12-26 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming integrated circuit packages with mechanical braces
US10777531B2 (en) 2018-12-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Package contact structure, semiconductor package and manufacturing method thereof
CN109801883A (zh) * 2018-12-29 2019-05-24 华进半导体封装先导技术研发中心有限公司 一种扇出型堆叠封装方法及结构
US11456268B2 (en) 2019-01-21 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10818651B2 (en) 2019-01-29 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US10790269B2 (en) 2019-01-29 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and semiconductor structures
US10978382B2 (en) 2019-01-30 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US10818588B2 (en) 2019-01-31 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, package structure and method of fabricating the same
US11121699B2 (en) * 2019-02-19 2021-09-14 Qualcomm Incorporated Wideband filter with resonators and inductors
US10658258B1 (en) 2019-02-21 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package and method of forming the same
US10756038B1 (en) 2019-02-21 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US11002927B2 (en) 2019-02-21 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11024581B2 (en) 2019-02-25 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of manufacturing the same
US10872842B2 (en) 2019-02-25 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11081369B2 (en) 2019-02-25 2021-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11018215B2 (en) 2019-03-14 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method thereof
US10985101B2 (en) 2019-03-14 2021-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11694967B2 (en) 2019-03-14 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US10867963B2 (en) 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure and method of fabricating the same
US11037877B2 (en) 2019-03-14 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11164814B2 (en) 2019-03-14 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10985116B2 (en) 2019-03-14 2021-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US11004786B2 (en) 2019-03-15 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11600590B2 (en) * 2019-03-22 2023-03-07 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package
US11374303B2 (en) 2019-03-28 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11239173B2 (en) 2019-03-28 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out feature
US10879170B2 (en) 2019-04-21 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10923421B2 (en) 2019-04-23 2021-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10867966B2 (en) 2019-04-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, package-on-package structure and method of fabricating the same
US11145560B2 (en) 2019-04-30 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacturing
US10903090B2 (en) 2019-05-16 2021-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of singulate a package structure using a light transmitting film on a polymer layer
US10879221B2 (en) 2019-05-16 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure
US11133289B2 (en) 2019-05-16 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method of semiconductor package having plurality of encapsulating materials
US11075145B2 (en) 2019-05-16 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including through die via and manufacturing method thereof
US10777518B1 (en) 2019-05-16 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US11164819B2 (en) 2019-05-30 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11024605B2 (en) 2019-05-31 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11133282B2 (en) 2019-05-31 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. COWOS structures and methods forming same
US10950519B2 (en) 2019-05-31 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11088094B2 (en) 2019-05-31 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Air channel formation in packaging process
US11088059B2 (en) 2019-06-14 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, RDL structure comprising redistribution layer having ground plates and signal lines and method of forming the same
US11380620B2 (en) 2019-06-14 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including cavity-mounted device
US11127701B2 (en) 2019-06-17 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing intergrated fan-out package with redistribution structure
US11387177B2 (en) 2019-06-17 2022-07-12 Taiwan Semiconductor Manufacturing Company Ltd. Package structure and method for forming the same
US11004758B2 (en) 2019-06-17 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11056453B2 (en) 2019-06-18 2021-07-06 Deca Technologies Usa, Inc. Stackable fully molded semiconductor structure with vertical interconnects
US11600573B2 (en) 2019-06-26 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with conductive support elements to reduce warpage
US11410897B2 (en) 2019-06-27 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a dielectric layer edge covering circuit carrier
US11088079B2 (en) 2019-06-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure having line connected via portions
US11282791B2 (en) 2019-06-27 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a heat dissipation structure connected chip package
US11562983B2 (en) 2019-06-28 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package having multiple chips integrated therein and manufacturing method thereof
US11063019B2 (en) * 2019-07-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, chip structure and method of fabricating the same
US11004796B2 (en) 2019-07-17 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package
US11264316B2 (en) 2019-07-17 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11018083B2 (en) 2019-07-17 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11031376B2 (en) 2019-07-17 2021-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Chip package and method of forming the same
US11133258B2 (en) 2019-07-17 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package with bridge die for interconnection and method forming same
US10833053B1 (en) 2019-07-17 2020-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US11387191B2 (en) 2019-07-18 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11239135B2 (en) 2019-07-18 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11502029B2 (en) 2019-07-19 2022-11-15 Stmicroelectronics Pte Ltd Thin semiconductor chip using a dummy sidewall layer
US11195816B2 (en) 2019-07-23 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit packages comprising a plurality of redistribution structures and methods of forming the same
US11728238B2 (en) 2019-07-29 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with heat dissipation films and manufacturing method thereof
US10978412B2 (en) * 2019-07-30 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing method of package structure
US11270927B2 (en) 2019-08-22 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of forming the same
US11127688B2 (en) 2019-08-22 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11075131B2 (en) 2019-08-22 2021-07-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US11062998B2 (en) 2019-08-22 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10867892B1 (en) 2019-08-22 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US11177156B2 (en) 2019-08-22 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package, manufacturing method of semiconductor device and semiconductor package
US11088069B2 (en) 2019-08-22 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and semiconductor device
US11296051B2 (en) 2019-08-22 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and forming method thereof
US11195810B2 (en) 2019-08-23 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure and method of forming same
US10879114B1 (en) 2019-08-23 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive fill
US11456287B2 (en) 2019-08-28 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11233039B2 (en) 2019-08-29 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages
US11569159B2 (en) 2019-08-30 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with through vias
US11784091B2 (en) 2019-08-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out feature
US11264343B2 (en) 2019-08-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Bond pad structure for semiconductor device and method of forming same
US11164855B2 (en) 2019-09-17 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with a heat dissipating element and method of manufacturing the same
US11133283B2 (en) * 2019-09-17 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out device
US11081447B2 (en) 2019-09-17 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Graphene-assisted low-resistance interconnect structures and methods of formation thereof
US10957645B1 (en) 2019-09-17 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having conductive patterns with crystal grains copper columnar shape and method manufacturing the same
US11856800B2 (en) 2019-09-20 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with system on chip devices
US11557581B2 (en) 2019-09-23 2023-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11398416B2 (en) * 2019-09-24 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11251121B2 (en) 2019-09-24 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11251119B2 (en) 2019-09-25 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, package-on-package structure and method of fabricating the same
US11251100B2 (en) 2019-09-25 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an anti-arcing pattern disposed on a passivation layer and method of fabricating the semiconductor structure
US11854984B2 (en) 2019-09-25 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11195802B2 (en) 2019-09-26 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including shielding plate in redistribution structure, semiconductor package including conductive via in redistribution structure, and manufacturing method thereof
US11417606B2 (en) 2019-09-26 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
CN112563229A (zh) 2019-09-26 2021-03-26 台湾积体电路制造股份有限公司 半导体封装及其制造方法
US11244879B2 (en) 2019-09-26 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11476201B2 (en) * 2019-09-27 2022-10-18 Taiwan Semiconductor Manufacturing Company. Ltd. Package-on-package device
US11450641B2 (en) 2019-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating package structure
US11342297B2 (en) 2019-09-27 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
DE102020108481B4 (de) 2019-09-27 2023-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Die-Package und Herstellungsverfahren
US11355428B2 (en) 2019-09-27 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11798857B2 (en) * 2019-09-27 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Composition for sacrificial film, package, manufacturing method of package
US11322477B2 (en) 2019-09-27 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11374136B2 (en) 2019-09-27 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and forming method thereof
CN112582276A (zh) 2019-09-28 2021-03-30 台湾积体电路制造股份有限公司 半导体结构及其制造方法
US11581276B2 (en) 2019-09-28 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution layers and methods of fabricating the same in semiconductor devices
US11289396B2 (en) 2019-09-29 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Sensing component encapsulated by an encapsulation layer with a roughness surface having a hollow region
US11355418B2 (en) 2019-09-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11362010B2 (en) 2019-10-16 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out feature
US11133269B2 (en) 2019-10-17 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11018113B2 (en) 2019-10-17 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Memory module, semiconductor package including the same, and manufacturing method thereof
US11482465B2 (en) 2019-10-18 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal interface materials, 3D semiconductor packages and methods of manufacture
US11532533B2 (en) 2019-10-18 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11031325B2 (en) 2019-10-18 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Low-stress passivation layer
US11145614B2 (en) 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
DE102020114141B4 (de) 2019-10-18 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integriertes schaltungspackage und verfahren
US11387222B2 (en) 2019-10-18 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11211371B2 (en) 2019-10-18 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11195817B2 (en) 2019-10-28 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US10978405B1 (en) 2019-10-29 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package
DE102020119181A1 (de) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterpackages und verfahren zu deren herstellung
US11462509B2 (en) 2019-10-29 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure with electronic device in cavity substrate and method for forming the same
US11688693B2 (en) 2019-10-29 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages and method of manufacture
US11532531B2 (en) 2019-10-29 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11735487B2 (en) 2019-10-30 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11417587B2 (en) 2019-10-30 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11380645B2 (en) 2019-11-26 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure comprising at least one system-on-integrated-circuit component
US11328975B2 (en) 2019-11-26 2022-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11322453B2 (en) 2019-11-26 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package having channels formed between through-insulator-vias
US11569562B2 (en) 2019-12-12 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11450628B2 (en) 2019-12-15 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure including a solenoid inductor laterally aside a die and method of fabricating the same
US11682654B2 (en) 2019-12-17 2023-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a sensor device and method of manufacturing the same
CN112992874B (zh) * 2019-12-17 2022-11-15 天芯互联科技有限公司 封装结构的制作方法及封装结构
US11145639B2 (en) 2019-12-17 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11574872B2 (en) 2019-12-18 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11862594B2 (en) 2019-12-18 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with solder resist underlayer for warpage control and method of manufacturing the same
US11232971B2 (en) 2019-12-18 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Workpiece holding mechanism, process system and manufacturing method of semiconductor structure
US11211341B2 (en) * 2019-12-19 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabrcating the same
US11227837B2 (en) 2019-12-23 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11508692B2 (en) 2019-12-25 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11417698B2 (en) 2019-12-26 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US11515173B2 (en) 2019-12-27 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11482461B2 (en) 2019-12-31 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method for making the same
KR20210087337A (ko) * 2020-01-02 2021-07-12 삼성전자주식회사 반도체 패키지와 이를 구비하는 전자 장치 및 반도체 패키지의 제조방법
US11387204B2 (en) 2020-01-16 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11616026B2 (en) 2020-01-17 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11462418B2 (en) 2020-01-17 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11515224B2 (en) 2020-01-17 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Packages with enlarged through-vias in encapsulant
US11227795B2 (en) 2020-01-17 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11211360B2 (en) 2020-01-17 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Passive device module, semiconductor package including the same, and manufacturing method thereof
US11302650B2 (en) 2020-01-21 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11682626B2 (en) 2020-01-29 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chamfered die of semiconductor package and method for forming the same
US11270921B2 (en) 2020-01-30 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including dies having high-modulus dielectric layer and manufacturing method thereof
US11355466B2 (en) 2020-01-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method of package structure thereof
US11894341B2 (en) 2020-01-30 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with through vias and stacked redistribution layers and manufacturing method thereof
CN113206072A (zh) 2020-01-31 2021-08-03 台湾积体电路制造股份有限公司 半导体封装
US11637054B2 (en) 2020-01-31 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
KR20210099244A (ko) 2020-02-03 2021-08-12 삼성전자주식회사 반도체 장치 및 그의 제조 방법
US11145592B2 (en) 2020-02-11 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Process for forming metal-insulator-metal structures
US12002770B2 (en) 2020-02-11 2024-06-04 Taiwan Semiconductor Manufacturing Company Ltd. Power management semiconductor package and manufacturing method thereof
US11532576B2 (en) 2020-02-11 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11114373B1 (en) 2020-02-26 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-insulator-metal structure
US11482484B2 (en) 2020-02-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Symmetrical substrate for semiconductor packaging
CN113314505A (zh) 2020-02-27 2021-08-27 台湾积体电路制造股份有限公司 半导体封装及其制造方法
US11215753B2 (en) 2020-02-27 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
US11495573B2 (en) 2020-03-02 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11393746B2 (en) 2020-03-19 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Reinforcing package using reinforcing patches
US11177218B2 (en) 2020-03-20 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package including metallic bolstering pattern and manufacturing method of the package
US11244939B2 (en) 2020-03-26 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11270956B2 (en) 2020-03-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and fabricating method thereof
US11515229B2 (en) 2020-03-31 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11347001B2 (en) 2020-04-01 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11502072B2 (en) 2020-04-16 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11264359B2 (en) 2020-04-27 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chip bonded to a redistribution structure with curved conductive lines
US11594498B2 (en) 2020-04-27 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method
US11948930B2 (en) 2020-04-29 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacturing the same
US11929261B2 (en) 2020-05-01 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11444034B2 (en) 2020-05-18 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution structure for integrated circuit package and method of forming same
DE102020126648A1 (de) 2020-05-18 2021-11-18 Taiwan Semiconductor Manufacturing Co., Ltd. Umverteilungsstruktur für integrierte-schaltung-package und deren herstellungsverfahren
DE102020124229A1 (de) 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11355463B2 (en) 2020-05-20 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method
US11244906B2 (en) 2020-05-22 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11264362B2 (en) 2020-05-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
US11508633B2 (en) 2020-05-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having taper-shaped conductive pillar and method of forming thereof
DE102020130962A1 (de) 2020-05-29 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und herstellungsverfahren
US11894318B2 (en) 2020-05-29 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11948918B2 (en) 2020-06-15 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Redistribution structure for semiconductor device and method of forming same
US11430776B2 (en) 2020-06-15 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacturing
US11552074B2 (en) 2020-06-15 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of fabricating the same
US11508666B2 (en) 2020-06-29 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11574853B2 (en) 2020-06-30 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11322421B2 (en) 2020-07-09 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11670601B2 (en) 2020-07-17 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking via structures for stress reduction
US11270975B2 (en) 2020-07-21 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packages including passive devices and methods of forming same
US11398422B2 (en) 2020-07-21 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and fabricating method thereof
US11646293B2 (en) 2020-07-22 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method
US11527518B2 (en) 2020-07-27 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Heat dissipation in semiconductor packages and methods of forming same
US11532524B2 (en) 2020-07-27 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit test method and structure thereof
US11444002B2 (en) 2020-07-29 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11652037B2 (en) 2020-07-31 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of manufacture
KR20220017022A (ko) * 2020-08-03 2022-02-11 삼성전자주식회사 반도체 패키지
US11605600B2 (en) 2020-08-06 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with reinforced element and formation method thereof
US11450581B2 (en) 2020-08-26 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit package and method
US11515276B2 (en) 2020-08-30 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, package structure, and manufacturing method of package structure
US11417582B2 (en) 2020-08-30 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US11424213B2 (en) 2020-09-10 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure including a first surface mount component and a second surface mount component and method of fabricating the semiconductor structure
US11756871B2 (en) * 2020-09-15 2023-09-12 Sj Semiconductor (Jiangyin) Corporation Fan-out packaging structure and method
US11454888B2 (en) 2020-09-15 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11868047B2 (en) 2020-09-21 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Polymer layer in semiconductor device and method of manufacture
US11378886B2 (en) 2020-09-29 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing resist layer, and method of manufacturing semiconductor
US11776820B2 (en) * 2020-09-30 2023-10-03 Huawei Technologies Co., Ltd. Vertical interconnection structure and manufacturing method thereof, packaged chip, and chip packaging method
US11830821B2 (en) 2020-10-19 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11637072B2 (en) 2020-11-06 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11670581B2 (en) 2020-11-25 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure
US11640033B2 (en) 2021-01-04 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Optical device, optical system and method of forming the same
US11830746B2 (en) 2021-01-05 2023-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11538761B2 (en) 2021-01-07 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package having molded die and semiconductor die and manufacturing method thereof
US11640936B2 (en) 2021-01-08 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of fabrication thereof
US11587887B2 (en) 2021-01-14 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11482497B2 (en) 2021-01-14 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure including a first die and a second die and a bridge die and method of forming the package structure
US11804468B2 (en) 2021-01-15 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Manufacturing method of semiconductor package using jig
US11600592B2 (en) 2021-01-21 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package
US11728312B2 (en) 2021-01-22 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor packaging and methods of forming same
US11842935B2 (en) 2021-02-18 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a reconstructed package substrate comprising substrates blocks
US11721883B2 (en) 2021-02-25 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with antenna and method of forming the same
US11756873B2 (en) 2021-02-26 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11587900B2 (en) 2021-02-26 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure including IPD and method of forming the same
US11527457B2 (en) 2021-02-26 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure with buffer layer embedded in lid layer
US11978715B2 (en) 2021-02-26 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11837567B2 (en) 2021-02-26 2023-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming thereof
US11557559B2 (en) 2021-02-26 2023-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11587916B2 (en) 2021-03-04 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11515268B2 (en) 2021-03-05 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11532596B2 (en) 2021-03-05 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
WO2022190952A1 (ja) 2021-03-09 2022-09-15 ソニーセミコンダクタソリューションズ株式会社 半導体装置、半導体装置の製造方法及び電子機器
US11664315B2 (en) 2021-03-11 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure with interconnection die and method of making same
US11756872B2 (en) 2021-03-11 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and manufacturing method thereof
US11715717B2 (en) * 2021-03-18 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming integrated circuit packages having adhesion layers over through vias
US11616034B2 (en) 2021-03-19 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure, and method for forming thereof
US11809000B2 (en) 2021-03-19 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic integrated circuit and package structure
US11854927B2 (en) 2021-03-24 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming same
US11830800B2 (en) 2021-03-25 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Metallization structure and package structure
US11574861B2 (en) 2021-03-25 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11830796B2 (en) 2021-03-25 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit substrate, package structure and method of manufacturing the same
US11823991B2 (en) 2021-03-26 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Frames stacked on substrate encircling devices and manufacturing method thereof
US11658134B2 (en) 2021-03-30 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Inductor structure, semiconductor package and fabrication method thereof
US11855011B2 (en) 2021-03-30 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11594477B2 (en) 2021-04-15 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing semiconductor package
US11764118B2 (en) 2021-04-29 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of chip package with protective lid
US11791387B2 (en) 2021-04-30 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with backside via and methods thereof
US11961880B2 (en) 2021-05-06 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insulator-metal structure
US12027494B2 (en) 2021-05-06 2024-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11855003B2 (en) 2021-05-13 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11768338B2 (en) 2021-05-27 2023-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Optical interconnect structure, package structure and fabricating method thereof
US11721643B2 (en) 2021-06-17 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11705406B2 (en) 2021-06-17 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method for forming the same
US11855004B2 (en) 2021-06-17 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11804433B2 (en) 2021-06-18 2023-10-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor package structure and method for forming the same
US11855057B2 (en) 2021-07-08 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11978697B2 (en) * 2021-07-16 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure
US11869822B2 (en) 2021-07-23 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11855006B2 (en) 2021-07-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, package structure and fabricating method thereof
US11967591B2 (en) 2021-08-06 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Info packages including thermal dissipation blocks
US11915994B2 (en) 2021-08-12 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure comprising a semiconductor die with a thermoelectric structure and manufacturing method thereof
US11916025B2 (en) 2021-08-13 2024-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device die and method for fabricating the same
US11848234B2 (en) * 2021-08-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method comprising formation of redistribution structure and interconnecting die
US11990440B2 (en) 2021-08-27 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with conductive bumps
US11854964B2 (en) 2021-08-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with conductive bumps
US11862549B2 (en) 2021-08-27 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having conductive patterns of redistribution structure having ellipse-like shape
US12009226B2 (en) 2021-08-27 2024-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming same
US11978722B2 (en) 2021-08-27 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package containing chip structure with inclined sidewalls
US11935761B2 (en) 2021-08-27 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming thereof
US11784130B2 (en) 2021-08-27 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with underfill
US11715731B2 (en) 2021-08-29 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11817413B2 (en) 2021-08-30 2023-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package structure comprising via structure and redistribution layer structure and method for forming the same
US12014969B2 (en) 2021-08-30 2024-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method for forming the same
US11854929B2 (en) 2021-08-30 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US11676916B2 (en) 2021-08-30 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of package with warpage-control element
US11855058B2 (en) 2021-08-30 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US11791371B2 (en) 2021-08-30 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor structure
US11942451B2 (en) 2021-08-30 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of forming the same
US11996342B2 (en) 2021-08-30 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package comprising heat dissipation plates
US11908764B2 (en) 2021-08-31 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package including a circuit substrate having a cavity and a floor plate embedded in a dielectric material and a semiconductor die disposed in the cavity
US11901256B2 (en) 2021-08-31 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, semiconductor package, and methods of manufacturing the same
US20230238376A1 (en) * 2022-01-26 2023-07-27 STATS ChipPAC Pte. Ltd. Semiconductor Device and Method Using Tape Attachment

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1996037913A1 (en) 1995-05-22 1996-11-28 Hitachi Chemical Company, Ltd. Semiconductor device having a semiconductor chip electrically connected to a wiring substrate
US5634268A (en) 1995-06-07 1997-06-03 International Business Machines Corporation Method for making direct chip attach circuit card
TW434848B (en) 2000-01-14 2001-05-16 Chen I Ming Semiconductor chip device and the packaging method
EP1990832A3 (en) 2000-02-25 2010-09-29 Ibiden Co., Ltd. Multilayer printed circuit board and multilayer printed circuit board manufacturing method
CN1163960C (zh) 2000-12-13 2004-08-25 矽品精密工业股份有限公司 具有高散热性的超薄封装件及其制造方法
US6555906B2 (en) 2000-12-15 2003-04-29 Intel Corporation Microelectronic package having a bumpless laminated interconnection layer
TWI278048B (en) * 2003-11-10 2007-04-01 Casio Computer Co Ltd Semiconductor device and its manufacturing method
JP4303563B2 (ja) * 2003-11-12 2009-07-29 大日本印刷株式会社 電子装置および電子装置の製造方法
US7176152B2 (en) 2004-06-09 2007-02-13 Ferro Corporation Lead-free and cadmium-free conductive copper thick film pastes
DE102005040213A1 (de) 2004-08-17 2006-03-09 Samsung Electronics Co., Ltd., Suwon Halbleiterbauelement mit Elektrode und Herstellungsverfahren
KR100630698B1 (ko) 2004-08-17 2006-10-02 삼성전자주식회사 솔더볼 접착 신뢰도를 높이는 반도체 패키지 및 그 제조방법
PL1859330T3 (pl) 2005-01-28 2013-01-31 Univ Duke Urządzenia i sposoby manipulacji kropelkami na płytkach obwodów drukowanych
US7284463B2 (en) * 2005-08-02 2007-10-23 Great Ideas, Llc Attachment for a socket wrench, and method
DE102005043557B4 (de) 2005-09-12 2007-03-01 Infineon Technologies Ag Verfahren zur Herstellung eines Halbleiterbauteils mit Durchkontakten zwischen Oberseite und Rückseite
WO2007069606A1 (ja) 2005-12-14 2007-06-21 Shinko Electric Industries Co., Ltd. チップ内蔵基板およびチップ内蔵基板の製造方法
US8072059B2 (en) * 2006-04-19 2011-12-06 Stats Chippac, Ltd. Semiconductor device and method of forming UBM fixed relative to interconnect structure for alignment of semiconductor die
US7518229B2 (en) 2006-08-03 2009-04-14 International Business Machines Corporation Versatile Si-based packaging with integrated passive components for mmWave applications
US8133762B2 (en) * 2009-03-17 2012-03-13 Stats Chippac, Ltd. Semiconductor device and method of providing z-interconnect conductive pillars with inner polymer core
DE102006062473A1 (de) 2006-12-28 2008-07-03 Qimonda Ag Halbleiterbauelement mit auf einem Substrat montiertem Chip
US7863088B2 (en) 2007-05-16 2011-01-04 Infineon Technologies Ag Semiconductor device including covering a semiconductor with a molding compound and forming a through hole in the molding compound
US7619901B2 (en) * 2007-06-25 2009-11-17 Epic Technologies, Inc. Integrated structures and fabrication methods thereof implementing a cell phone or other electronic system
US8759964B2 (en) 2007-07-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level package structure and fabrication methods
KR101348748B1 (ko) * 2007-08-24 2014-01-08 삼성전자주식회사 재배선 기판을 이용한 반도체 패키지 제조방법
US7777351B1 (en) 2007-10-01 2010-08-17 Amkor Technology, Inc. Thin stacked interposer package
US7863755B2 (en) 2008-03-19 2011-01-04 Stats Chippac Ltd. Package-on-package system with via Z-interconnections
WO2009147936A1 (ja) 2008-06-02 2009-12-10 イビデン株式会社 多層プリント配線板の製造方法
US8039303B2 (en) * 2008-06-11 2011-10-18 Stats Chippac, Ltd. Method of forming stress relief layer between die and interconnect structure
US7838337B2 (en) 2008-12-01 2010-11-23 Stats Chippac, Ltd. Semiconductor device and method of forming an interposer package with through silicon vias
US8354304B2 (en) * 2008-12-05 2013-01-15 Stats Chippac, Ltd. Semiconductor device and method of forming conductive posts embedded in photosensitive encapsulant
US7858441B2 (en) * 2008-12-08 2010-12-28 Stats Chippac, Ltd. Semiconductor package with semiconductor core structure and method of forming same
US7642128B1 (en) * 2008-12-12 2010-01-05 Stats Chippac, Ltd. Semiconductor device and method of forming a vertical interconnect structure for 3-D FO-WLCSP
US8609465B2 (en) 2009-10-09 2013-12-17 Toyota Jidosha Kabushiki Kaisha Semiconductor device manufacturing method
US8058102B2 (en) * 2009-11-10 2011-11-15 Advanced Chip Engineering Technology Inc. Package structure and manufacturing method thereof
CN101930990B (zh) 2010-03-09 2013-08-07 电子科技大学 一种有源驱动有机电致发光器件及其制备方法
US8183696B2 (en) 2010-03-31 2012-05-22 Infineon Technologies Ag Packaged semiconductor device with encapsulant embedding semiconductor chip that includes contact pads
EP2557597A4 (en) 2010-04-07 2014-11-26 Shimadzu Corp RADIATION DETECTOR AND METHOD FOR MANUFACTURING SAME
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
US8097490B1 (en) 2010-08-27 2012-01-17 Stats Chippac, Ltd. Semiconductor device and method of forming stepped interconnect layer for stacked semiconductor die
US9007273B2 (en) * 2010-09-09 2015-04-14 Advances Semiconductor Engineering, Inc. Semiconductor package integrated with conformal shield and antenna
US8884431B2 (en) 2011-09-09 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures for semiconductor devices
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8829666B2 (en) 2010-11-15 2014-09-09 United Test And Assembly Center Ltd. Semiconductor packages and methods of packaging semiconductor devices
KR101715761B1 (ko) 2010-12-31 2017-03-14 삼성전자주식회사 반도체 패키지 및 그 제조방법
KR20120091691A (ko) 2011-02-09 2012-08-20 삼성전자주식회사 휨 방지용 접합패턴을 갖는 반도체 소자 및 그 제조방법
KR101207882B1 (ko) 2011-03-07 2012-12-04 (주)윈팩 패키지 모듈
JP2012199494A (ja) 2011-03-23 2012-10-18 Teramikros Inc 半導体装置の製造方法及び半導体装置の実装構造の製造方法
US8530277B2 (en) * 2011-06-16 2013-09-10 Stats Chippac Ltd. Integrated circuit packaging system with package on package support and method of manufacture thereof
US8829676B2 (en) 2011-06-28 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for wafer level package
US8916969B2 (en) 2011-07-29 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, packaging methods and structures
US8569884B2 (en) 2011-08-15 2013-10-29 Tessera, Inc. Multiple die in a face down package
KR101257218B1 (ko) 2011-09-30 2013-04-29 에스티에스반도체통신 주식회사 패키지 온 패키지 및 이의 제조방법
US8975741B2 (en) * 2011-10-17 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Process for forming package-on-package structures
US8916481B2 (en) 2011-11-02 2014-12-23 Stmicroelectronics Pte Ltd. Embedded wafer level package for 3D and package-on-package applications, and method of manufacture
KR101411741B1 (ko) 2011-11-11 2014-06-27 앰코 테크놀로지 코리아 주식회사 반도체 디바이스
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US8680647B2 (en) 2011-12-29 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with passive devices and methods of forming the same
US9412689B2 (en) 2012-01-24 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packaging structure and method
US8928128B2 (en) 2012-02-27 2015-01-06 Broadcom Corporation Semiconductor package with integrated electromagnetic shielding
US20130249101A1 (en) 2012-03-23 2013-09-26 Stats Chippac, Ltd. Semiconductor Method of Device of Forming a Fan-Out PoP Device with PWB Vertical Interconnect Units
KR101867955B1 (ko) 2012-04-13 2018-06-15 삼성전자주식회사 패키지 온 패키지 장치 및 이의 제조 방법
US20130297981A1 (en) 2012-05-01 2013-11-07 Qualcomm Incorporated Low cost high throughput tsv/microbump probe
US8703542B2 (en) 2012-05-18 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer-level packaging mechanisms
US9991190B2 (en) 2012-05-18 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging with interposer frame
JP5903337B2 (ja) 2012-06-08 2016-04-13 新光電気工業株式会社 半導体パッケージ及びその製造方法
US8809996B2 (en) 2012-06-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package with passive devices and method of forming the same
US8866285B2 (en) 2012-09-05 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out package comprising bulk metal
US9735087B2 (en) 2012-09-20 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level embedded heat spreader
US8889484B2 (en) 2012-10-02 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for a component package
US8866292B2 (en) 2012-10-19 2014-10-21 Infineon Technologies Ag Semiconductor packages with integrated antenna and methods of forming thereof
US8785299B2 (en) 2012-11-30 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package with a fan-out structure and method of forming the same
US8803306B1 (en) 2013-01-18 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out package structure and methods for forming the same
US8778738B1 (en) 2013-02-19 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and packaging devices and methods
US9378982B2 (en) 2013-01-31 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Die package with openings surrounding end-portions of through package vias (TPVs) and package on package (PoP) using the die package
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
US9293442B2 (en) 2014-03-07 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method
US9281297B2 (en) 2014-03-07 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Solution for reducing poor contact in info packages
US9633934B2 (en) 2014-11-26 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semicondutor device and method of manufacture
US9659805B2 (en) * 2015-04-17 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and methods forming the same
US9685411B2 (en) * 2015-09-18 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit dies having alignment marks and methods of forming same
US10276536B2 (en) * 2017-04-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out structure

Also Published As

Publication number Publication date
US9048222B2 (en) 2015-06-02
US10515875B2 (en) 2019-12-24
US9460987B2 (en) 2016-10-04
US10269685B2 (en) 2019-04-23
US20190252296A1 (en) 2019-08-15
TW201436067A (zh) 2014-09-16
US20180211901A1 (en) 2018-07-26
US9922903B2 (en) 2018-03-20
US20170025397A1 (en) 2017-01-26
US11037861B2 (en) 2021-06-15
US20150255447A1 (en) 2015-09-10
US20200083145A1 (en) 2020-03-12
US20140252646A1 (en) 2014-09-11

Similar Documents

Publication Publication Date Title
TWI525720B (zh) 半導體裝置及其形成方法
US11721559B2 (en) Integrated circuit package pad and methods of forming
US10964667B2 (en) Stacked integrated circuit structure and method of forming
US20220320029A1 (en) Dummy Structure of Stacked and Bonded Semiconductor Device
KR102296825B1 (ko) 센서 패키지 및 방법
US9368474B2 (en) Manufacturing method for semiconductor device
KR101817839B1 (ko) 팬아웃 상호 접속 구조 및 그 형성 방법
US11164839B2 (en) Package structure and method of manufacturing the same
KR101822236B1 (ko) 반도체 디바이스 및 제조 방법
US20180190559A1 (en) Packaged Semiconductor Devices and Methods of Packaging Semiconductor Devices
CN110660753B (zh) 半导体封装件和方法
US11211261B2 (en) Package structures and methods for forming the same
CN107293518B (zh) 叠层封装结构及其形成方法
TW201608651A (zh) 半導體封裝及其形成方法
TW201539678A (zh) 封裝半導體裝置以及形成封裝半導體裝置之方法
KR101508841B1 (ko) 패키지 온 패키지 구조물 및 이의 형성 방법
US9583365B2 (en) Method of forming interconnects for three dimensional integrated circuit
TW201820464A (zh) 半導體裝置的製造方法
KR20220002040A (ko) 반도체 디바이스 및 제조 방법