TWI520251B - 處理室 - Google Patents

處理室 Download PDF

Info

Publication number
TWI520251B
TWI520251B TW098109452A TW98109452A TWI520251B TW I520251 B TWI520251 B TW I520251B TW 098109452 A TW098109452 A TW 098109452A TW 98109452 A TW98109452 A TW 98109452A TW I520251 B TWI520251 B TW I520251B
Authority
TW
Taiwan
Prior art keywords
processing
chamber
substrate
loading
processing apparatus
Prior art date
Application number
TW098109452A
Other languages
English (en)
Other versions
TW200949982A (en
Inventor
於爾根 魏奇爾特
Original Assignee
歐瑞康高階技術公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 歐瑞康高階技術公司 filed Critical 歐瑞康高階技術公司
Publication of TW200949982A publication Critical patent/TW200949982A/zh
Application granted granted Critical
Publication of TWI520251B publication Critical patent/TWI520251B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

處理室
本發明通常係有關用於處理基板(諸如,半導體晶圓)之處理室,以及用於處理基板之方法。特定言之,本發明係有關用於處裡基板之處理室,其將藉由減少載入基板所需的移動次數而提供簡易的維修和降低的成本;以及有關處理基板之方法。
先前技術之半導體晶圓處理系統(“群組化工具(cluster tool)”)具有中央機械手(handler)、運輸室、以及數個處理室。中央機械手係位於運輸室內,且處理室係與傳輸室連結。處理室係藉由隔離閘閥而與中央分類機分隔開。
在正常操作期間,機械手會固持住基板,且在其中一個處理室的上方橫向移動基板。接著,機械手藉由將基板放置於一組針上,而使基板垂直向下移動至指定的處理室中。因此,將基板移動至處理室中乃需要至少兩次的移動,即橫向移動和垂直移動。為了降低用於載入基板的成本,則需要將移動次數減少至一次。移動次數之減少亦將有助於減少操作過程中所產生之塵粒。
本發明將藉由研發用於處理基板之新穎處理室以及用於處理基板之方法而解決上述問題,該處理室與方法將藉由減少載入基板所需之移動次數而提供簡易的維修和降低的成本。
於一個態樣中,本發明係有關用於處理基板之處理設備,該設備包括用於載入基板之載入室、用於處理基板之處理室、用於分隔處理室與載入室之密封平面(sealing plane)以及用於垂直移動基板之裝置。載入室係位於處理設備的下部分和上部分之其中一者,且處理室係位於處理設備的下部分和上部分之其中另一者。用於垂直移動基板之裝置係將基板從載入室移至處理室。
於另一個態樣中,載入室係位於處理設備的下部分,且處理室係位於處理設備的上部分。
於又一個態樣中,載入室係位於處理設備的上部分,且處理室係位於處理設備的下部分。
於再一個態樣中,處理設備包括用於載入和卸載基板之第一開口和第二開口。第一開口係位在第二開口的相反側。
於再一個態樣中,處理設備呈圓柱狀,且具有對稱的介面。
於再一個態樣中,處理室對基板進行PVD處理。
根據再一個態樣,本發明亦提供用於在處理設備中處理基板之方法,該處理設備具有用於載入基板之載入室、用於處理基板之處理室、用於分隔處理室與載入室之密封平面以及用於垂直移動基板之裝置。載入室係位於處理設備的下部分和上部分之其中一者,且處理室係位於處理設備的下部分和上部分之其中另一者。該方法包括下列步驟:將基板載入至載入室;藉由垂直移動裝置將基板從載入室垂直移動穿過密封平面而到達處理室;在處理室中處理基板;以及從處理室卸載基板。
於再一個態樣中,載入室係位於處理設備的下部分,且處理室係位於處理設備的上部分。
於再一個態樣中,載入室位於處理設備的上部分,且處理室係位於處理設備的下部分。
於再一個態樣中,處理設備具有用於載入和卸載基板之第一開口和第二開口。第一開口係位在第二開口的相反側。
於再一個態樣中,處理設備呈圓柱狀,且具有對稱的介面。
於再一個態樣中,該處理步驟包括對基板進行PVD處理。
納入本發明之一個或多個態樣的具體實施例之例子係描述並闡釋於圖式中。這些經闡釋之例子並非意欲用於限制本發明。舉例而言,可將本發明之一個或多個態樣使用於其他具體實施例和甚至其他類型的裝置中。另外,本文中所使用之特定術語僅為了便利性,不應視為對本發明所做的限制。此外,在圖式中,相同的符號係用以標示相同的元件。
參閱第1圖,其闡釋根據本發明之用於處理基板之處理設備1。第1圖所示之處理設備1呈圓柱狀。處理設備1具有兩個位在相反側的開口14、15。機械手16與一個開口14連結,且幫浦17與另一個開口15連結。
第2圖顯示根據本發明之用於處理基板之處理設備的第一具體實施例。如第2圖所示,處理設備1具有載入室10、處理室11、用於分隔處理室11與載入室10之密封平面12以及用於將基板從載入室10垂直移動至處理室11之裝置13。處理設備1較佳呈圓柱狀,且具有對稱的介面。處理設備1可由單片的鋁切割而製得。載入室10係位於處理設備1的下部分。另一方面,處理室11係位於處理設備1的上部分。如第2圖所示,處理室11係藉由密封平面12而封閉於處理位置。
處理設備1具有兩個側開口14、15。一個側開口14係位在另一個側開口15的相反側。機械手16係位於處理設備1的右下側,且與側開口14連結。幫浦17係位於處理設備1的左上側,且與側開口15連結。幫浦17可透過閘閥(未顯示)而與處理室11連結。若幫浦17為冷凍幫浦,則特別需要閘閥。
垂直移動裝置13具有夾頭131、夾頭凸緣132、夾頭驅動系統133、真空密封式伸縮囊(bellow)134、夾環135、升降環(lift ring)136以及至少三個升降環針(lift ring pin)137。夾頭凸緣132引導夾頭131從載入位置移至處理位置。驅動系統133驅動夾頭131。升降環136可配置彈簧以允許收縮,或藉由其他裝置來驅動。可將升降環136、針137以及夾頭131與支撐體隔離,因為夾頭131可能被施加電力。
處理室11具有來源凸緣(source flange)111、氣環112以及陽極護罩113。濺鍍源(未顯示)係與來源凸緣111連結,來源凸緣11係藉由來源絕緣體而予以絕緣。濺鍍源透過氣環112而將氣體供應至處理室11。陽極護罩113提供相對電極給基板(諸如,晶圓等),並且保護處理室111的內表面不被塗佈。基於維修的理由,陽極護罩113較佳為單片的護罩。為了避免晶圓邊緣上的壓力,夾環135不與陽極護罩113接觸。為了達到此目的,夾環135的重量係與升降環136之彈簧的重量平衡。
下文係闡釋於本發明之處理設備1中處理晶圓之操作。
透過機械手16(於載入位置具有夾頭131)之機械手入口將晶圓載入升降環136。夾環135係位於處理設備1的機械加工邊緣(machined edge)上。以至少三個針137將升降環136升高,以致使晶圓可藉由搬運系統(handling system)的垂直移動而在升降環136和夾環135之間移動,並且放置於升降環136上。接著,在搬運手臂縮回後,夾頭131便從載入位置向上移至處理位置。升降環針137則移入其鞘中。然後,夾環135從其靜止位置向上移動,並且將晶圓固定於處理室11之適當位置。
現在,透過氣環112將處理氣體(例如,氬氣)從濺鍍源導入至處理室11。以陽極護罩113保護氣環112使其不被塗佈。將處理氣體施加在晶圓上。在晶圓上施加足量的處理氣體後,停止供應該處理氣體。
為了維修,處理室11係於處理位置排氣。載入室10不會排氣,因為密封平面12會防止載入室10排氣。載入室10現在係透過機械手16抽氣。使目標物(晶圓)升高或旋轉離開,以允許維持所有部件的通道。目標物、陽極護罩113以及夾環135通常可替換。晶圓的破裂部分亦可從處理室11移除。
接著,將晶圓從處理室11卸載至載入室10,並透過機械手排出。
第3圖顯示根據本發明之用於處理基板之處理設備的第二具體實施例。如第3圖所示,處理設備2具有載入室20、處理室21、用於分隔處理室21與載入室20之密封平面22以及用於將基板從載入室20垂直移動至處理室21之裝置23。處理設備2較佳亦呈圓柱狀,且具有對稱的介面,而且可從單片的鋁切割而製得。不同於處理設備的第一具體實施例,載入室20係位於處理設備2的上部分,且處理室21係位於處理設備2的下部分。其他部件與第一具體實施例相同,除了替換機械手與幫浦外,頂部載入室20係連接至機械手和夾頭凸緣,且濺鍍源係與底部處理室21連結。如第3圖所示,處理室21係藉由密封平面22封閉於處理位置。
處理設備2具有兩個側開口24、25。一個側開口24係位在另一個側開口25的相反側。機械手26係位於處理設備2的左上側,且與側開口24連結。幫浦27係位於處理設備2的右下側,且與側開口25連結。
垂直移動裝置23具有夾頭231、夾頭凸緣232、夾頭驅動系統233、真空密封式伸縮囊234、夾環235、晶圓支撐環236、以及至少三個配置有彈簧之針237。為了不讓所施加的壓力造成晶圓破裂,晶圓支撐環236係配置有彈簧。晶圓支撐環236亦經隔絕,以使電力能施加至夾頭231。
處理室21具有來源凸緣211、氣環212以及陽極護罩213。濺鍍源(未顯示)係與來源凸緣211連結,來源凸緣11係藉由來源絕緣體而予以絕緣。濺鍍源將氣體供應至處理室21。
下文係闡釋於本發明之處理設備2中處理晶圓之操作。
透過機械手26(於載入位置具有夾頭231)之機械手入口將晶圓載入晶圓支撐環236,且藉由搬運系統之垂直移動而將晶圓放置於晶圓支撐環236上。以至少三個配置有彈簧之針237將晶圓支撐環下移。接著,在搬運手臂縮回後,夾頭231便從載入位置向下移至處理位置。藉由使夾頭231向下移動,晶圓和晶圓支撐環236係與夾環235接觸。配置有彈簧之針237移入其鞘中,該鞘亦與接地支撐體隔絕。
現在,將處理氣體(例如,氬氣)從濺鍍源導入至處理室21。以陽極護罩213保護氣環212使其不被塗佈。將處理氣體施加在晶圓上。在晶圓上施加足量的處理氣體後,停止供應該處理氣體。
為了維修,處理室21係於處理位置排氣。密封平面22會防止載入室20排氣。載入室20現在係透過機械手26抽氣。目標物(晶圓)、陽極護罩213以及配置有彈簧之夾環235可從底部移除。
在此具體實施例中,濺鍍源係與處理室21之底部連結。此由下而上的濺鍍選擇乃具有背面金屬膜濺鍍(backside metallization)的優點,因為其不再需要水翻轉(water flipping)。預期亦會減少塵粒量。
或者,可將蝕刻器(etch station)、脫氣器、冷卻器或量測器連結至此等基礎處理模組的任一側,以取代濺鍍源之放置。原本設計為用於前端應用之器件(諸如,輻射加熱器)可與此等基礎處理模組的背面連結,反之亦然。
本發明已針對各種特定之具體實施例進行描述。然而,熟悉此項技藝之人士將瞭解,本發明可以在下述申請專利範圍的精神和範疇內進行修飾而實施。
1、2...處理設備
10、20...載入室
11、21...處理室
12、22...密封平面
13、23...垂直移動裝置
14、15、24、25...側開口
16、26...機械手
17、27...幫浦
111、211...來源凸緣
112、212...氣環
113、213...陽極護罩
131、231...夾頭
132、232...夾頭凸緣
133...夾頭驅動系統
134、234...真空密封式伸縮囊
135、235...夾環
136...升降環
137、237...針
236...晶圓支撐環
本發明相關技術領域之熟習該項技藝者在參照附加圖式並閱讀前述說明後,將能更加瞭解本發明之前述及其他態樣,其中:
第1圖為根據本發明之處理設備之俯視圖;
第2圖為根據本發明之處理設備的一個具體實施例之橫截面圖;以及
第3圖為根據本發明之處理設備的另一個具體實施例之橫截面圖。
1...處理設備
14...側開口
15...側開口
16...機械手
17...幫浦

Claims (10)

  1. 一種用於處理基板之處理設備,包括:用於載入該基板之載入室;用於處理該基板之處理室;分隔該處理室與該載入室之密封平面;以及用於將該基板從該載入室垂直移動至該處理室之裝置,其中,該載入室係位於該處理設備的下部分和上部分之其中一者,且該處理室係位於該處理設備的下部分和上部分之其中另一者,其中,該處理室對該基板進行PVD處理。
  2. 如申請專利範圍第1項之處理設備,其中,該載入室係位於該處理設備的下部分,且該處理室係位於該處理設備的上部分。
  3. 如申請專利範圍第1項之處理設備,其中,該載入室係位於該處理設備的上部分,且該處理室係位於該處理設備的下部分。
  4. 如申請專利範圍第1項之處理設備,復包括用於載入和卸載該基板之第一開口和第二開口,其中,該第一開口係位於該第二開口的相反側。
  5. 如申請專利範圍第1項之處理設備,其中,該處理設備呈圓柱狀,且具有對稱之介面。
  6. 一種用於在處理設備中處理基板之方法,該處理設備具有用於載入該基板之載入室、用於處理該基板之處理室、分隔該處理室與該載入室之密封平面以及用於 將該基板從該載入室垂直移動至該處理室之裝置,其中,該載入室係位於該處理設備的下部分和上部分之其中一者,且該處理室係位於該處理設備的下部分和上部分之其中另一者,該方法包括下列步驟:將該基板載入至該載入室;藉由該垂直移動裝置將該基板從該載入室垂直移動通過該密封平面而到達該處理室;在該處理室中處理該基板;以及從該處理室卸載該基板,其中,該處理步驟包括對該基板進行PVD處理。
  7. 如申請專利範圍第6項之方法,其中,該載入室係位於該處理設備的下部分,且該處理室係位於該處理設備的上部分。
  8. 如申請專利範圍第6項之方法,其中,該載入室係位於該處理設備的上部分,且該處理室係位於該處理設備的下部分。
  9. 如申請專利範圍第6項之方法,其中,該處理設備具有用於載入和卸載該基板之第一開口和第二開口,且其中,該第一開口係位於該第二開口的相反側。
  10. 如申請專利範圍第6項之方法,其中,該處理設備呈圓柱狀,且具有對稱之介面。
TW098109452A 2008-03-25 2009-03-24 處理室 TWI520251B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US3918508P 2008-03-25 2008-03-25

Publications (2)

Publication Number Publication Date
TW200949982A TW200949982A (en) 2009-12-01
TWI520251B true TWI520251B (zh) 2016-02-01

Family

ID=40651451

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098109452A TWI520251B (zh) 2008-03-25 2009-03-24 處理室

Country Status (7)

Country Link
US (2) US20090252892A1 (zh)
EP (1) EP2260509A1 (zh)
JP (1) JP2011518428A (zh)
KR (2) KR101913017B1 (zh)
CN (1) CN102047407B (zh)
TW (1) TWI520251B (zh)
WO (1) WO2009117839A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104862660B (zh) * 2014-02-24 2017-10-13 北京北方华创微电子装备有限公司 承载装置及等离子体加工设备
JP6473974B2 (ja) * 2016-09-30 2019-02-27 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
JP7209247B2 (ja) * 2018-09-25 2023-01-20 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US20210375650A1 (en) * 2020-06-01 2021-12-02 Applied Materials, Inc. High temperature and vacuum isolation processing mini-environments
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
EP0606751B1 (en) * 1993-01-13 2002-03-06 Applied Materials, Inc. Method for depositing polysilicon films having improved uniformity and apparatus therefor
US5810931A (en) * 1996-07-30 1998-09-22 Applied Materials, Inc. High aspect ratio clamp ring
US6079928A (en) * 1997-08-08 2000-06-27 Brooks Automation, Inc. Dual plate gas assisted heater module
JP3723712B2 (ja) * 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
JP2001313329A (ja) * 2000-04-28 2001-11-09 Applied Materials Inc 半導体製造装置におけるウェハ支持装置
JP4753224B2 (ja) * 2000-08-22 2011-08-24 日本エー・エス・エム株式会社 ガスラインシステム
JP2003197716A (ja) * 2001-12-21 2003-07-11 Applied Materials Inc 基板支持装置及び半導体製造装置
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US20070022959A1 (en) * 2005-07-29 2007-02-01 Craig Bercaw Deposition apparatus for semiconductor processing
WO2008069259A1 (en) * 2006-12-05 2008-06-12 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus, film formation method, manufacturing apparatus, and method for manufacturing light-emitting device

Also Published As

Publication number Publication date
EP2260509A1 (en) 2010-12-15
KR20160072273A (ko) 2016-06-22
JP2011518428A (ja) 2011-06-23
WO2009117839A1 (en) 2009-10-01
US20140349011A1 (en) 2014-11-27
KR101913017B1 (ko) 2018-10-29
US20090252892A1 (en) 2009-10-08
KR20100126545A (ko) 2010-12-01
CN102047407A (zh) 2011-05-04
CN102047407B (zh) 2012-10-10
TW200949982A (en) 2009-12-01

Similar Documents

Publication Publication Date Title
TWI520251B (zh) 處理室
JP4912253B2 (ja) 基板搬送装置、基板処理装置及び基板搬送方法
CN110690095B (zh) 处理边缘环的方法、基底处理***和图像传感器
US10062590B2 (en) Front opening ring pod
TWI823166B (zh) 電子設備製造裝置、系統及方法中的裝載端口操作
US20150340209A1 (en) Focus ring replacement method for a plasma reactor, and associated systems and methods
KR20010012366A (ko) 웨이퍼 이송 방법 및 그 제조 방법과 고 진공 웨이퍼 처리장치
KR102350501B1 (ko) 기판을 급속 냉각하기 위한 방법들 및 장치
KR20010034036A (ko) 2-웨이퍼 로드록 웨이퍼 처리장치 및 그 로딩 및 언로딩방법
TW202347565A (zh) 減少背側基板接觸的基板傳送機制
TW201428814A (zh) 基板處理裝置及其方法
TW201903838A (zh) 用於晶圓容器的氣體供應裝置
TWI674227B (zh) 多卡匣運送箱
TW201611154A (zh) 晶圓負載及卸載
JP7008609B2 (ja) 基板処理装置、及び搬送位置補正方法
US10672593B2 (en) Plasma processing apparatus and plasma processing method
JP2021034664A (ja) プローバおよびプローブカードのクリーニング方法
EP1280187A2 (en) Semiconductor manufacturing device having buffer mechanism and method for buffering semiconductor wafers
TWI555075B (zh) 基板處理設備及基板處理方法
KR101552663B1 (ko) 기판 처리 장치 및 방법
KR20090064113A (ko) 웨이퍼 리프팅장치 및 이를 이용한 웨이퍼 디척킹방법
KR101461060B1 (ko) 기판 처리 장치 및 방법
WO2021192662A1 (ja) 基板処理装置および基板反転方法
KR20230142153A (ko) 엔드 이펙터용 캐리어, 이를 포함하는 운반 장치 및 기판 처리 장치
CN117766364A (zh) 聚焦环及半导体晶圆加工方法