KR20100126545A - 프로세싱 챔버 - Google Patents

프로세싱 챔버 Download PDF

Info

Publication number
KR20100126545A
KR20100126545A KR1020107023632A KR20107023632A KR20100126545A KR 20100126545 A KR20100126545 A KR 20100126545A KR 1020107023632 A KR1020107023632 A KR 1020107023632A KR 20107023632 A KR20107023632 A KR 20107023632A KR 20100126545 A KR20100126545 A KR 20100126545A
Authority
KR
South Korea
Prior art keywords
chamber
substrate
process chamber
loading
processing
Prior art date
Application number
KR1020107023632A
Other languages
English (en)
Inventor
위르겐 바이카르트
Original Assignee
오씨 외를리콘 발처스 악티엔게젤샤프트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 오씨 외를리콘 발처스 악티엔게젤샤프트 filed Critical 오씨 외를리콘 발처스 악티엔게젤샤프트
Publication of KR20100126545A publication Critical patent/KR20100126545A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명에 따라 기판을 적재하기 위한 적재 챔버(load chamber), 기판을 프로세싱하기 위한 프로세스 챔버, 프로세스 챔버를 적재 챔버로부터 분리하는 밀봉 평면, 및 기판을 수직 이동시키기 위한 수단을 포함하는 기판의 처리를 위한 프로세스 장치 및 상기 기판을 처리하는 방법이 제공된다. 적재 챔버는 프로세스 챔버의 하부 및 상부 중의 하나에 위치되고, 프로세스 챔버는 프로세스 챔버의 하부 및 상부 중의 다른 것에 위치된다. 본 발명의 프로세스 장치 및 방법은 기판을 적재하기 위한 이동 횟수를 줄임으로써 비용을 저감하고 유지가 용이하게 할 것이다.

Description

프로세싱 챔버{PROCESSING CHAMBER}
본 발명은 반도체 웨이퍼와 같은 기판의 처리를 위한 프로세스 챔버(process chamber) 및 기판 처리 방법에 관한 것이다. 특히, 본 발명은 기판의 적재를 위한 이동 횟수를 줄임으로써 유지의 용이성 및 비용절감을 제공하는 기판의 처리를 위한 프로세스 챔버 및 기판 처리 방법에 관한 것이다.
종래 반도체 웨이퍼 처리 시스템(클러스터 장비)은 중앙 핸들러(handler), 수송챔버, 및 몇몇의 프로세스 챔버를 갖는다. 중앙 핸들러는 수송 챔버 내부에 위치되고, 프로세스 챔버는 수송 챔버에 부착된다. 프로세스 챔버는 중앙 핸들러로부터 분리 게이트 밸브(isolation gate valve)에 의해 분리된다.
정상적인 조작 동안 핸들러는 기판을 파지하고 그 기판을 프로세스 챔버 중의 하나 위로 측방향 이동시킨다. 이어서 핸들러는 기판을 한 세트의 핀 위에 위치시킴으로써 프로세스 챔버 내부로 기판을 수직 하강 이동시킨다. 그러므로, 기판을 프로세스 챔버로 이동시키기 위해서는 측방향 이동 및 수직 이동인 두 차례 이상의 이동이 요구된다. 기판의 적재 비용을 줄이기 위해서 이동 횟수를 1회로 감소시키는 것이 필수적이다. 이동 횟수의 감소는 조작 동안 미립자 생성을 감소시키는 데 도움을 줄 수 있다.
본 발명은 유지가 용이하며 기판의 적재를 위한 이동 횟수를 감소시킴으로써 비용을 절감한 기판의 처리를 위한 신규한 프로세스 챔버 및 기판의 처리 방법을 개발함으로써 상기 종래기술의 문제점을 해결할 수 있다.
발명의 요약
본 발명의 한 양태는 기판을 적재하기 위한 적재 챔버(load chamber), 기판을 프로세싱하기 위한 프로세스 챔버, 프로세스 챔버를 적재 챔버로부터 분리하는 밀봉 평면, 및 기판을 수직 이동시키기 위한 수단을 포함하는 기판의 처리를 위한 프로세스 장치에 관한 것이다. 상기 적재 챔버는 프로세스 장치의 하부 및 상부 중의 하나에 위치되고, 상기 프로세스 챔버는 프로세스 장치의 하부 및 상부 중의 다른 것에 위치된다. 기판을 수직 이동시키는 수단은 상기 기판을 적재 챔버로부터 프로세스 챔버로 이동시킨다.
추가의 양태에 있어서, 적재 챔버는 프로세스 장치의 하부에 위치되고 프로세스 챔버는 프로세스 장치의 상부에 위치된다.
추가의 양태에 있어서, 적재 챔버는 프로세스 장치의 상부에 위치되고 프로세스 챔버는 프로세스 장치의 하부에 위치된다.
추가의 양태에 있어서, 프로세스 장치는 기판을 적재 및 제거(unload)하기 위한 제 1 및 제 2 개구를 포함한다. 제 1 개구는 제 2 개구에 대향하여 위치된다.
추가의 양태에 있어서, 프로세스 장치는 원통형이고 대칭인 계면을 갖는다.
추가의 양태에 있어서, 프로세스 챔버는 상기 기판에 대한 PVD 프로세싱을 수행한다.
추가의 양태에 따르면, 본 발명은 또한 기판을 적재하기 위한 적재 챔버, 기판을 프로세싱하기 위한 프로세스 챔버, 적재 챔버와 프로세스 챔버를 분리하는 밀봉 평면, 및 기판을 수직 이동시키기 위한 수단을 구비한 프로세스 장치에서 기판을 처리하는 방법을 제공한다. 적재 챔버는 프로세스 장치의 하부 및 상부 중의 하나에 위치되고 프로세스 챔버는 프로세스 장치의 하부 및 상부 중의 다른 것에 위치된다. 상기 방법은 기판을 적재 챔버에 적재하는 단계; 기판을, 밀봉 평면을 통한 수직 이동 수단에 의해서 적재 챔버로부터 프로세스 챔버로 수직 이동시키는 단계; 프로세스 챔버에서 기판을 처리하는 단계; 및 프로세스 챔버로부터 기판을 제거하는 단계를 포함한다.
추가의 양태에 있어서, 적재 챔버는 프로세스 장치의 하부에 위치되고 상기 프로세스 챔버는 프로세스 장치의 상부에 위치된다.
추가의 양태에 있어서, 적재 챔버는 프로세스 장치의 상부에 위치되고 상기 프로세스 챔버는 프로세스 장치의 하부에 위치된다.
추가의 양태에 있어서, 프로세스 장치는 기판을 적재 및 제거하기 위한 제 1 및 제 2 개구를 포함한다. 제 1 개구는 제 2 개구에 대향하여 위치된다.
추가의 양태에 있어서, 프로세스 장치는 원통형이고 대칭인 계면을 갖는다.
추가의 양태에 있어서, 처리 단계는 기판에 대한 PVD 프로세싱을 수행하는 것을 포함한다.
도면의 간단한 설명
전술한 본 발명의 양태 및 기타 양태는 첨부 도면을 참조하여 하기 기재내용을 읽어보면 본 발명이 속하는 당해 기술분야의 숙련가들에게 명백할 것이다.
도 1은 본 발명에 따른 프로세스 장치의 평면도이다.
도 2는 본 발명에 따른 한 양태의 프로세스 장치의 단면도이다.
도 3은 본 발명에 따른 다른 양태의 프로세스 장치의 단면도이다.
발명의 양태의 상세한 설명
본 발명의 하나 또는 그 이상의 양상을 도입한 양태들의 예가 기술되어 하기도면에 도시되어 있다. 이들 기술된 예들이 본 발명을 제한하는 것은 아니다. 예를 들어 본 발명의 하나 또는 그 이상의 양상이 다른 양태 및 심지어 다른 유형의 장치에도 이용될 수 있다. 또한, 본원에서 특정 용어는 오로지 편의상 사용된 것일 뿐, 이것이 본 발명을 제한하는 것으로 해석되어서는 아니 된다. 추가로, 도면에서 동일한 참조 부호는 동일한 구성요소를 지칭하는 것으로 사용되었다.
도 1은 본 발명에 따른 기판의 처리를 위한 프로세스 장치(1)를 도시하고 있다. 도 1에 도시된 프로세스 장치(1)는 원통형이다. 프로세스 장치(1)는 2개의 대향 개구(14) 및 (15)를 갖는다. 핸들러(16)는 하나의 개구(14)에 부착되고, 펌프(17)는 또 다른 개구(15)에 부착된다.
도 2는 본 발명에 따른 기판의 처리를 위한 제 1 양태의 프로세스 장치를 도시한다. 도 2에 도시된 바와 같이, 프로세스 장치(1)는 적재 챔버(10), 프로세스 챔버(11), 적재 챔버(10), 프로세스 챔버(11)를 분리하는 밀봉 평면(12), 기판을 적재 챔버(10)로부터 프로세스 챔버(11)로 수직 이동시키는 수단(13)을 갖는다. 프로세스 장치(1)는 바람직하게는 원통형이고 대칭인 계면을 갖는다. 프로세스 장치(1)는 단일 조각의 알루미늄으로부터 재단(cut)될 수 있다. 적재 챔버(10)는 프로세스 장치(1)의 하부에 위치된다. 반면에 프로세스 챔버(11)는 프로세스 장치(1)의 상부에 위치된다. 도 2에 도시된 바와 같이, 프로세스 챔버(11)는 밀봉 평면(12)에 의해 프로세스 위치에서 폐쇄된다.
프로세스 장치(1)는 2개의 측면 개구 (14) 및 (15)를 갖는다. 하나의 측면 개구(14)는 또 다른 측면 개구(15)에 대향하여 위치된다. 핸들러(16)는 프로세스 장치(1)의 오른쪽 하부 측면에 위치되고, 측면 개구(14)에 부착된다. 펌프(17)는 프로세스 장치(1)의 왼쪽 상부 측면에 위치되고 측면 개구(15)에 부착된다. 펌프(17)는 게이트 밸브(도시되지 않음)를 통하여 프로세스 챔버(11)에 부착된다. 펌프(17)가 저온 펌프(cryo pump)인 경우, 게이트 밸브가 특히 요구된다.
수직 이동 수단(13)은 척(131), 척 플랜지(132), 척 구동 시스템(133), 진공 밀봉 벨로우즈(vacuum sealing bellows)(134), 클램프 링(135), 리프트 링(136), 및 3개 이상의 리프트 링 핀(137)을 포함한다. 척 플랜지(132)는 척(131)을 적재 위치에서 프로세스 위치로 운반한다. 구동 시스템(133)은 척(131)을 구동시킨다. 리프트 링(136)은 다른 수단에 의한 구동 또는 접힘(retraction)을 허용하도록 적재될 수 있다. 전력이 척(131)에 인가될 수 있으므로 리프트 링(136), 핀(137) 및 척(131)은 지지체로부터 절연될 수 있다.
프로세스 챔버(11)는 소스 플랜지(111), 가스 링(112) 및 애노드 쉴드(anode shield)(113)를 갖는다. 스퍼터 소스(sputter source)(도시되지 않음)는 소스 절연체에 의해 절연되어 있는 소스 플랜지(11)에 부착된다. 스퍼터 소스는 가스 링(112)을 통해서 가스를 프로세스 챔버(11)내에 공급한다. 애노드 쉴드(113)는 기판(예를 들어 웨이퍼 등)에 상대전극을 제공하고 프로세스 챔버(111)의 내부표면이 코팅되는 것을 방지한다. 유지를 위하여, 애노드 쉴드(113)는 단일편 쉴드인 것이 바람직하다. 클램프 링(135)은 웨이퍼의 가장자리에 압력을 가하지 않도록 애노드 쉴드(113)와 접촉하지 않게 한다. 이를 위해 클램프링(135)의 무게는 리프트 링(136)의 스프링 무게와 균형을 이룬다.
하기에서는 본 발명의 프로세스 장치(1)에서의 웨이퍼를 처리하는 조작을 도시한다.
웨이퍼는 적재 위치에 있는 척(131)을 구비한 핸들러(16)의 핸들러 부분을 통하여 리프트 링(136)에 적재된다. 클램프 링(135)은 프로세스 장치(1)의 마무리연(machined edge)에 자리한다. 웨이퍼가 리프트 링(136)과 클램프 링(137) 사이에서 움직이고, 핸들링 시스템의 수직 이동에 의해 리프트 링(136) 위에 놓여질 수 있도록 리프트 링(136)은 3개 이상의 핀(137)에 의해 들어 올려진다. 이어서, 핸들링 아암을 접은 후, 척(131)을 적재 위치로부터 프로세스 위치로 이동시킨다. 리프트 링 핀(137)은 그들의 시드(sheath)로 이동한다. 그 후, 클램프 링(135)을 그의 휴지 위치에서 상향 이동시켜 웨이퍼를 프로세스 챔버(11)내의 정위치에 유지시킨다.
이어서 프로세스 가스(예를 들어 아르곤)를 가스 링(112)을 통하여 스퍼터 소스로부터 프로세스 챔버(11)로 주입시킨다. 가스 링(112)은 애노드 쉴드(113)에 의해 코팅되는 것이 방지된다. 프로세스 가스를 웨이퍼 위에 적용한다. 충분한 양의 프로세스 가스를 웨이퍼 위에 적용한 후 프로세스 가스의 공급을 차단한다.
유지를 위하여, 프로세스 챔버(11)는 프로세스 위치에 벤팅(venting)된다. 적재 챔버(10)는 밀봉 평면(12)이 적재 챔버(10)의 벤팅을 방지하기 때문에 벤팅되지 않는다. 이어서, 적재 챔버(10)는 핸들러(16)에 의해 펌핑된다. 타겟(웨이퍼)을 들어올리거나 회전시켜서 모든 부분에 접근을 유지시킨다. 타겟, 애노드 쉴드(113), 및 클램프 링(135)은 통상적으로 교환된다. 또한, 파손된 웨이퍼 조각은 프로세스 챔버(11)로부터 제거될 수 있다.
그런 다음, 웨이퍼를 프로세스 챔버(11)로부터 적재 챔버(10)로 떼어내고 핸들러(16)를 통하여 방출시킨다.
도 3은 본 발명에 따르는 기판의 처리를 위한 제 2 양태의 프로세스 장치를 도시한 것이다. 도 3에 도시된 바와 같이, 프로세스 장치(2)는 적재 챔버(20), 프로세스 챔버(21), 적재 챔버(20)로부터 프로세스 챔버(21)를 분리하는 밀봉 평면(22), 기판을 적재 챔버(20)로부터 프로세스 챔버로(21)로 수직 이동시키는 수단(23)을 갖는다. 프로세스 장치(1)는 바람직하게는 원통형이고 대칭인 계면을 갖고, 단일 조각의 알루미늄으로부터 재단될 수 있다. 제 1 양태의 프로세스 장치와 다르게, 적재 챔버(20)는 프로세스 장치(2)의 상부에 위치되고 프로세스 챔버(21)는 프로세스 장치(2)의 하부에 위치된다. 핸들러와 펌프가 교환되는 것을 제외한 다른 부분은 상기 제 1 양태와 동일하고, 상부 적재 챔버(20)는 핸들러 및 척 플랜지에 연결되고, 스퍼터 소스는 하부 프로세스 챔버(21)에 부착된다. 도 3에 도시한 바와 같이, 프로세스 챔버(21)는 밀봉 평면(22)에 의해 처리 위치에서 폐쇄된다.
상기 프로세스 장치(2)는 2개의 측면 개구 (24) 및 (25)를 갖는다. 하나의 측면 개구(24)는 또 다른 측면 개구(25)에 대향한다. 핸들러(26)는 프로세스 장치(2)의 오른쪽 하부 측면에 위치되고, 측면 개구(24)에 부착된다. 펌프(27)는 프로세스 장치(2)의 왼쪽 상부 측면에 위치되고 측면 개구(25)에 부착된다.
수직 이동 수단(23)은 척(231), 척 플랜지(232), 척 구동 시스템(233), 진공 밀봉 벨로우즈(234), 클램프 링(235), 리프트 링(236), 및 3개 이상의 리프트 링 핀(237)을 포함한다. 웨이퍼 지지링(236)은, 적용된 압력에 의해 웨이퍼가 파괴되지 않도록 적재된 스프링이다. 웨이퍼 지지링(236)은 또한 척(231)에 전압을 인가할 수 있도록 절연된다.
프로세스 챔버(21)는 소스 플랜지(211), 가스 링(212) 및 애노드 쉴드(213)가 있다. 스퍼터 소스(도시되지 않음)는 소스 절연체에 의해 절연되어 있는 소스 플랜지(21)에 부착된다. 스퍼터 가스 소스는 가스를 프로세스 챔버(21)에 공급한다.
하기에서는 본 발명의 프로세스 장치(1)의 웨이퍼를 처리하는 조작을 기술한다.
웨이퍼는 적재 위치에 척(231)을 구비한 핸들러(26)의 핸들러 부분을 통하여 웨이퍼 지지링(236)에 적재된다. 웨이퍼 지지링(236)은 핀(237)에 적재된 3개 이상의 스프링에 의해 유지된다. 이어서, 핸들링 아암을 접은 후, 척(231)을 적재 위치에서 프로세스 위치로 이동시킨다. 척(231)을 아래로 이동시킴으로써 웨이퍼 및 웨이퍼 지지링(236)을 클램프 링(235)과 접촉시킨다. 스프링 적재 핀(237)을 역시 접지된 지지체로부터 절연된 그의 시드로 이동시킨다.
이어서, 스퍼터 소스로부터 프로세스 챔버(21)로 프로세스 가스(예를 들어 아르곤)를 도입시킨다. 가스 링(212)은 애노드 쉴드(213)에 의해 코팅되는 것이 방지된다. 프로세스 가스는 웨이퍼 위에 적용된다. 충분한 양의 프로세스 가스가 웨이퍼 위에 적용된 후에 프로세스 가스의 공급이 차단된다.
유지를 위하여, 프로세스 챔버(21)는 프로세스 위치에서 벤팅된다. 밀봉 평면(22)은 적재 챔버(20)가 벤팅되는 것을 방지한다. 적재 챔버(20)는 핸들러(26)에 의해 펌핑된다. 타겟(웨이퍼), 애노드 쉴드(213) 및 클램프 링(235)은 바닥으로부터 제거될 수 있다.
상기 양태에 있어서, 스퍼터 소스는 프로세스 챔버(21) 바닥에 부착된다. 상향식 스퍼터 옵션(bottom-up sputter option)은 더이상 워터 플립핑(water flipping)이 필요하지 않기 때문에 후면 금속배선(backside metallization)에 유리하다. 또한, 미립자 수를 감소시킬 것이 기대된다.
또한, 스퍼터 소스를 위치시키는 것 대신, 에칭 스테이션, 탈기 스테이션, 냉각 스테이션, 또는 계측 스테이션이 이러한 기본 처리 모듈의 어느 한쪽의 측면에 부착될 수 있다. 전방 적용을 위해 최초로 고안된 방사 히터는 스테이션, 예를 들어 후면에 그리고 역으로 부착될 수도 있다.
본 발명은 다양한 특정 양태에 관하여 기술되었지만, 본 발명은 여기에 하기청구항의 범위 및 정신 내에서 변형이 가해질 수 있음이 당해 기술분야의 숙련가들에게는 인식될 수 있을 것이다.

Claims (12)

  1. 기판을 적재하기 위한 적재 챔버;
    상기 기판을 프로세싱하기 위한 프로세스 챔버;
    상기 프로세스 챔버를 상기 적재 챔버로부터 분리하는 밀봉 평면; 및
    상기 기판을 상기 적재 챔버로부터 상기 프로세스 챔버로 수직 이동시키는 수단을 포함하되, 상기 적재 챔버는 상기 프로세스 챔버의 하부 및 상부 중의 하나에 위치되고 상기 프로세스 챔버는 상기 프로세스 챔버의 하부 및 상부 중의 다른 것에 위치되어 있는,
    기판 처리용 프로세스 장치.
  2. 제 1 항에 있어서,
    상기 적재 챔버는 상기 프로세스 챔버의 하부에 위치되고 상기 프로세스 챔버는 상기 프로세스 장치의 상부에 위치되어 있는 기판 처리용 프로세스 장치.
  3. 제 1 항에 있어서,
    상기 적재 챔버는 상기 프로세스 챔버의 상부에 위치되고 상기 프로세스 챔버는 상기 프로세스 장치의 하부에 위치되어 있는 기판 처리용 프로세스 장치.
  4. 제 1 항에 있어서,
    상기 기판을 제거하기(unload) 위한 제 1 및 제 2 개구를 추가로 포함하되, 상기 제 1 개구는 제 2 개구에 대향하여 위치하는 기판 처리용 프로세스 장치.
  5. 제 1 항에 있어서,
    상기 프로세스 장치는 원통형이고 대칭인 계면을 갖는 기판 처리용 프로세스 장치.
  6. 제 1 항에 있어서,
    상기 프로세스 챔버는 상기 기판에 PVD 프로세싱(processing)을 수행하는 기판 처리용 프로세스 장치.
  7. 기판을 적재하기 위한 적재 챔버; 상기 기판을 프로세싱하기 위한 프로세스 챔버; 상기 프로세스 챔버를 상기 적재 챔버로부터 분리하는 밀봉 평면; 및 상기 기판을 상기 적재 챔버로부터 상기 프로세스 챔버로 수직 이동시키는 수단을 포함하되, 상기 적재 챔버는 상기 프로세스 챔버의 하부 및 상부 중의 하나에 위치되고 상기 프로세스 챔버는 상기 프로세스 챔버의 하부 및 상부 중의 다른 것에 위치되어 있는 프로세스 장치에서 기판을 처리하는 방법으로서;
    상기 기판을 상기 적재 챔버에 적재하는 단계;
    상기 기판을, 상기 밀봉 평면을 통한 수직 이동 수단에 의해서 상기 적재 챔버로부터 상기 프로세스 챔버로 수직 이동시키는 단계;
    상기 프로세스 챔버에서 상기 기판을 처리하는 단계; 및
    상기 기판을 상기 프로세스 챔버로부터 제거하는 단계를 포함하는,
    기판 처리 방법.
  8. 제 7 항에 있어서,
    상기 적재 챔버는 상기 프로세스 챔버의 하부에 위치되고 상기 프로세스 챔버는 상기 프로세스 장치의 상부에 위치되어 있는 것인 기판 처리 방법.
  9. 제 7 항에 있어서,
    상기 적재 챔버는 상기 프로세스 챔버의 상부에 위치되고 상기 프로세스 챔버는 상기 프로세스 장치의 하부에 위치되어 있는 것인 기판 처리 방법.
  10. 제 7 항에 있어서,
    상기 기판을 제거하기 위한 제 1 및 제 2 개구를 추가로 포함하되, 상기 제 1 개구는 제 2 개구에 대향하여 위치되어 있는 것인 기판 처리 방법.
  11. 제 7 항에 있어서,
    상기 프로세스 장치는 원통형이고 대칭인 계면을 갖는 것인 기판 처리 방법.
  12. 제 7 항에 있어서,
    상기 처리 단계는 상기 기판에 대하여 PVD 프로세싱을 수행하는 것을 포함하는 기판 처리 방법.
KR1020107023632A 2008-03-25 2009-03-24 프로세싱 챔버 KR20100126545A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US3918508P 2008-03-25 2008-03-25
US61/039,185 2008-03-25

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020167015568A Division KR101913017B1 (ko) 2008-03-25 2009-03-24 프로세싱 챔버

Publications (1)

Publication Number Publication Date
KR20100126545A true KR20100126545A (ko) 2010-12-01

Family

ID=40651451

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167015568A KR101913017B1 (ko) 2008-03-25 2009-03-24 프로세싱 챔버
KR1020107023632A KR20100126545A (ko) 2008-03-25 2009-03-24 프로세싱 챔버

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020167015568A KR101913017B1 (ko) 2008-03-25 2009-03-24 프로세싱 챔버

Country Status (7)

Country Link
US (2) US20090252892A1 (ko)
EP (1) EP2260509A1 (ko)
JP (1) JP2011518428A (ko)
KR (2) KR101913017B1 (ko)
CN (1) CN102047407B (ko)
TW (1) TWI520251B (ko)
WO (1) WO2009117839A1 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104862660B (zh) * 2014-02-24 2017-10-13 北京北方华创微电子装备有限公司 承载装置及等离子体加工设备
JP6473974B2 (ja) * 2016-09-30 2019-02-27 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
JP7209247B2 (ja) * 2018-09-25 2023-01-20 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US20210375650A1 (en) * 2020-06-01 2021-12-02 Applied Materials, Inc. High temperature and vacuum isolation processing mini-environments
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
EP0606751B1 (en) * 1993-01-13 2002-03-06 Applied Materials, Inc. Method for depositing polysilicon films having improved uniformity and apparatus therefor
US5810931A (en) * 1996-07-30 1998-09-22 Applied Materials, Inc. High aspect ratio clamp ring
US6079928A (en) * 1997-08-08 2000-06-27 Brooks Automation, Inc. Dual plate gas assisted heater module
JP3723712B2 (ja) * 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
JP2001313329A (ja) * 2000-04-28 2001-11-09 Applied Materials Inc 半導体製造装置におけるウェハ支持装置
JP4753224B2 (ja) * 2000-08-22 2011-08-24 日本エー・エス・エム株式会社 ガスラインシステム
JP2003197716A (ja) * 2001-12-21 2003-07-11 Applied Materials Inc 基板支持装置及び半導体製造装置
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
KR20080034157A (ko) * 2005-07-29 2008-04-18 에비자 테크놀로지, 인크. 가스 매니폴드 밸브 클러스터
WO2008069259A1 (en) * 2006-12-05 2008-06-12 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus, film formation method, manufacturing apparatus, and method for manufacturing light-emitting device

Also Published As

Publication number Publication date
CN102047407A (zh) 2011-05-04
KR101913017B1 (ko) 2018-10-29
US20140349011A1 (en) 2014-11-27
CN102047407B (zh) 2012-10-10
TW200949982A (en) 2009-12-01
WO2009117839A1 (en) 2009-10-01
KR20160072273A (ko) 2016-06-22
JP2011518428A (ja) 2011-06-23
TWI520251B (zh) 2016-02-01
US20090252892A1 (en) 2009-10-08
EP2260509A1 (en) 2010-12-15

Similar Documents

Publication Publication Date Title
KR101913017B1 (ko) 프로세싱 챔버
US11205585B2 (en) Substrate processing apparatus and method of operating the same
CN106449471B (zh) 衬底处理装置
JP4912253B2 (ja) 基板搬送装置、基板処理装置及び基板搬送方法
JP2918780B2 (ja) 加熱された支持部上のシャドーフレーム及び大型平面基板の整列
US6331095B1 (en) Transportation system and processing apparatus employing the transportation system
JP2017098540A (ja) 正面開口式リングポッド
KR101736854B1 (ko) 기판 처리 장치
KR101736845B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP2020013130A (ja) 基板処理方法
US10971385B2 (en) Substrate processing apparatus and transfer position correcting method
KR101500050B1 (ko) 피처리체의 냉각 방법, 냉각 장치 및 컴퓨터 판독 가능한 기억 매체
US6860711B2 (en) Semiconductor-manufacturing device having buffer mechanism and method for buffering semiconductor wafers
CN111048444A (zh) 加热板冷却方法和基板处理装置及方法
KR20190079027A (ko) 기판 이송 장치
KR20200121737A (ko) 기판 처리 장치
JP7402658B2 (ja) 基板収容ユニット及び基板搬送装置における真空搬送ユニットのメンテナンス方法
KR102649714B1 (ko) 기판 처리 장치 및 기판 반송 방법
KR20110016642A (ko) 기판처리장치
KR20210025351A (ko) 반송 유닛
CN111048445A (zh) 加热板冷却方法及基板处理装置

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E601 Decision to refuse application
A107 Divisional application of patent
J201 Request for trial against refusal decision