TWI494028B - 具有可控制分配rf功率至製程套組環之電漿反應器的工件支撐件 - Google Patents

具有可控制分配rf功率至製程套組環之電漿反應器的工件支撐件 Download PDF

Info

Publication number
TWI494028B
TWI494028B TW098124886A TW98124886A TWI494028B TW I494028 B TWI494028 B TW I494028B TW 098124886 A TW098124886 A TW 098124886A TW 98124886 A TW98124886 A TW 98124886A TW I494028 B TWI494028 B TW I494028B
Authority
TW
Taiwan
Prior art keywords
coupled
workpiece
ring
cathode
variable
Prior art date
Application number
TW098124886A
Other languages
English (en)
Other versions
TW201031280A (en
Inventor
Kenneth S Collins
Jr Doglas A Buchberger
Kartik Ramaswamy
Shahid Rauf
Hiroji Hanawa
Jennifer Y Sun
Andrew Nguyen
Thorsten B Lill
Meihua Shen
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201031280A publication Critical patent/TW201031280A/zh
Application granted granted Critical
Publication of TWI494028B publication Critical patent/TWI494028B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

具有可控制分配RF功率至製程套組環之電漿反應器的工件支撐件
本發明係關於一種電漿反應器的工件支撐件,特別係關於一種可控制製程套組環RF功率分配的電漿反應器的工件支撐件。
在對諸如半導體晶圓等工件進行電漿處理時,均勻性要求已延伸至距離工件邊緣5mm或3mm內,而近來已將要求延伸至2mm甚至1mm內。工件通常係靜電夾持至包括靜電夾盤(electrostatic chuck;ESC)的工件支撐件上,而靜電夾盤用於執行多種功能,例如經由工件而將RF偏壓功率、電壓或電流耦合至電漿,且/或是提供來自另一電極耦合至電漿的RF電流一個接地返回路徑。靜電夾盤典型亦用於加熱或冷卻工件,或是用於控制工件溫度。對於蝕刻處理來說,靜電夾盤的尺寸通常小於工件,藉以覆蓋住夾盤,並保護夾盤免受電漿傷害。否則,電漿會損害或者侵蝕靜電夾盤,且由ESC所濺射或蝕刻的物質會污染工件或腔室。為了防止工件支撐件和工件間的有效接觸,尤其是在工件的邊緣處,通常工件邊緣是不平坦的,且取而代之的是斜角或圓角。此導致將均勻處理延伸遍及工件而至其邊緣的困難。即使是理想導體(perfectly conducting)的工件,由於電極的尺寸受限,則在偏壓或非偏壓工件上形成的電漿鞘或電漿鞘電場都是不均勻的,這是因為跨越工件的電場在接近邊緣區域相較於工件其餘部分上的電場而呈現變化。由於工件或晶圓不是理想導體,故在接近邊緣處會有額外的電場變化。其結果就是工件邊緣的電漿離子流和離子能量或者離子角能量分佈異於工件的其餘部分。甚至朝向工件邊緣的電漿基流(plasma radical flux)也異於朝向工件其餘部分的電漿基流。在電漿蝕刻處理中,此種邊緣效應在晶圓邊緣處造成非均勻的處理結果,其例如呈現為晶圓邊緣附近之高深寬比開口的蝕刻輪廓之傾斜或扭曲。其他電漿處理的參數在晶圓邊緣處呈現大幅變化,包括關鍵尺寸偏差(CD bias)、蝕刻速率、濺射、沉積速率、蝕刻選擇性、蝕刻微負載等。
減少邊緣效應的習知方法包括:(1)一導體、半導體或介電的周圍元件(例如當工件為圓形半導體晶圓時所使用的圈或環);或者(2)一能控制工件邊緣溫度使之與工件其餘部分溫度不同的邊緣溫度區域;或者(3)一允許不同的氣體混合物或不同的氣體混合物比例至邊緣區域的邊緣氣體導入區域;或者(4)一接近工件邊緣的電漿限制環,能夠降低副產物從工件邊緣移除的速率或者提高電漿物種在接近工件邊緣處的再結合率;或者(5)一邊緣周圍元件,係溫控至一選擇以改變局部電漿狀態的溫度,例如選擇以增加或減少鄰近邊緣的電漿物種(例如藉由發生沉積以耗盡特定物種、藉由周圍元件的蝕刻以耗盡特定物種,或者藉由蝕刻周圍元件以形成副產物物種而增加特定物種)。方法(2)、(3)、(4)和(5)並無法直接解決非均勻鞘電場的問題,而是使用其他技術來改變邊緣處理。方法(1)是直接解決問題,但當選擇了不同的電漿條件時,其並允許控制工件邊緣鞘電場,所以方法(1)充其量是對廣範圍電漿條件的折衷方法。目前需要的是一種方法,其能夠控制工件邊緣電漿鞘條件,例如離子能量、離子角能量分佈或者離子密度或離子流,且藉以控制,舉例來說,工件結構參數,例如CD均勻性(關鍵尺寸)、輪廓(斜度)、蝕刻速率(或選擇性),而在選擇不同電漿條件、腔室條件改變或處理不同工件結構的情況下可控制工件邊緣電漿鞘條件)。
本發明提供了一種用於電漿反應器腔室中的RF偏壓工件支撐系統。介電圓盤具有一工件支撐表面以支撐工件。工件電極係嵌設於圓盤中。工件電極位於工件支撐表面下方,並且係大致平行於工件支撐表面。一金屬板位於介電圓盤下方。一環狀製程套組環係環繞在工件支撐表面的周圍邊緣。製程套組電極元件位於製程套組環下方。一RF電漿偏壓功率源係耦接至工件電極和製程套組電極元件。分配在工件和製程套組環之間的RF偏壓功率之控制是藉由一耦合於RF電漿功率源和(a)工件電極、(b)製程套組電極的其中之一者之間的可變RF阻抗元件來執行。可變RF阻抗元件包含一具有可變電抗的電抗元件。系統控制器係連接至可變RF阻抗元件的控制輸入,藉以控制可變RF阻抗元件的電抗元件之可變電抗。
本發明的實施例包括一靜電夾盤,在靜電夾盤中的RF偏壓功率分別耦合至工件和環繞工件的製程套組環(process kit collar)。由系統控制器所控制的至少一可變阻抗元件係調整工件和製程套組環間的RF偏壓功率分配,以允許動態調整工件最邊緣處的電漿鞘電場,而例如在變化的電漿條件下使得電場均勻性最佳化。
參照第1A圖和第1B圖,電漿反應器具有一腔室100,該腔室100係由圓柱形側壁102、頂板104和底板106所界定,而底板106的周圍邊緣與側壁102相接。頂板104是用於從製程氣體供應器108接收製程氣體的氣體分佈板。側壁102和底板106由金屬製成並且連接至接地。真空泵132透過底板106中的埠而對腔室100進行抽真空。來自內部及外部線圈天線110、112的電漿RF源功率可感應耦合至腔室100中,其中內部及外部線圈天線110、112透過各自的RF阻抗匹配元件118和120而連接至各自的RF源功率產生器114和116。頂板或氣體分佈板104可由非導電材料製成,以使來自線圈天線110、112的RF功率通過頂板104而感應耦合至腔室100內。
或者,或額外地,來自VHF產生器122和阻抗匹配元件124的RF電漿源功率可以由頂部電極126而電容耦合至腔室100中。在一實施例中,頂部電極126可以與氣體分佈板104為分隔開。
在一實施例中,來自線圈天線110、112的RF功率經由氣體分佈板104以及頂部電極126而感應耦合至腔室100中。在該實施例中,氣體分佈板可以由介電材料或半導體材料製成,而頂部電極126為法拉第屏蔽(Faraday shield)的形式,其具有外部環導體128和由外部環導體128徑向往內延伸的多個傳導觸指(finger)130。法拉第屏蔽126可連接至接地,以提供一耦合至晶圓支撐基座(將於下方描述之)的RF功率之接地回路(ground return)。法拉第屏蔽126可透過RF濾波器而採所選擇之頻率接地。
在沒有線圈天線110和112的情況下,氣體分佈板104可以完全由金屬製成,並可作為頂部電極126,而經由阻抗匹配元件124耦合至VHF產生器122。
工件支撐基座200係提供在腔室100內部以支托工件204,例如半導體晶圓、罩幕、光罩、顯示器面板、太陽能面板元件等。基座200是一靜電夾盤,其係以靜電方式夾持或吸引工件204,並包括具有用以支撐工件204的頂表面202a的介電頂層或圓盤(puck)202。導電格柵(grid)206係嵌設在圓盤202內,並與圓盤頂表面202a相隔小距離(例如0.25mm至2mm)。格柵206作為ESC電極,並且直流夾持電壓以下述之方式而施加至ESC電極。圓盤202係支撐在金屬板或陰極208上,而RF電漿偏壓功率可施加至陰極208。圓盤202由絕緣材料(例如電阻率大於1012 Ohm‧cm)或者半導體材料(例如電阻率在108 Ohm‧cm和1012 Ohm‧cm之間)製成。
提供有多種特徵結構(feature)以用於熱控制。在圓盤頂表面202a中所形成的通道陣列203係用於提供熱傳導氣體(例如氦氣)以控制工件204和圓盤202間的熱傳導。當工件204被夾持到圓盤頂表面202a上時,這些通道係完全封住。陰極208包含內部流體流動通道210,液態冷卻劑則循環通過該些流動通道210。圓盤202中嵌設有一電加熱器211。加熱器211可被區分為獨立控制的內部及外部加熱器211a和211b。
一製程套組環組件212係環繞在圓盤202的邊緣,並且包括製程套組環214位於製程套組墊圈(spacer ring)216上方,且墊圈216置於圓盤202的環狀肩部202b中。環214的肩部214a係環繞在晶圓204的邊緣,並留有一小型徑向間隙218。環狀製程套組絕緣體220係環繞環組件212、圓盤202和陰極208。由環狀絕緣體220底部邊緣延伸的盤狀陰極絕緣體221位於陰極208之下方。選擇性設置的接地外殼222具有環繞該環狀絕緣體220的外部環狀部分222a,以及位於陰極絕緣體221下方的盤狀部分222b。選擇性設置的環狀接地擋板224由接地外殼222的環狀部分222a延伸至腔室側壁102。
RF偏壓功率產生器230、232透過RF偏壓阻抗匹配電路234而將RF偏壓功率施加至陰極208。產生器230可具有高頻(HF)(例如低於27MHz)或超高頻(VHF)(例如大於27MHz),產生器232可具有中頻(MF)或低頻(LF)(例如低於4MHz)。阻抗匹配電路234可以藉由從陰極208延伸通過腔室底板106的共軸導體組件240而連接至陰極208。共軸導體組件240具有:一中央絕緣體242、一環繞該中央絕緣體242的中空圓柱狀陰極饋電導體(feed conductor)244、以及一環繞該陰極饋電導體244並與盤狀陰極絕緣體221結合的中空圓柱狀陰極饋電絕緣體246。從陰極接地外殼222延伸的環狀陰極接地回路導體248係環繞圓柱狀陰極饋電絕緣體246。
設施(utilities)係透過各種延伸穿過共軸饋電組件240的導體和導管而耦接至基座200內。格柵饋電導體250係延伸穿過中央絕緣體242而至格柵206。ESC電壓源252透過格柵饋電導體250而提供直流電壓至格柵206。RF隔離濾波器254對格柵饋電導體上的RF電壓提供一高阻抗,並且防止RF功率到達直流源。加熱器供電導體對(supply conductor pair)256-1、256-2延伸穿過中央絕緣體242而至內部及外部加熱器211a和211b。獨立AC電源258-1、258-2分別透過加熱器供電導體對256-1、256-2而耦接至加熱器211a、211b。氣體輸送管260-1、260-2延伸穿過中央絕緣體242而至圓盤表面202a中的通道陣列203之輸入及輸出端(未顯示)。熱傳導氣體(例如氦氣)的供應器262與氣體輸送管260-1、260-2耦接。冷卻劑輸送管264-1、264-2延伸穿過圓柱狀陰極饋電導體244而至陰極208內的冷卻劑通道210之輸入輸出埠(未顯示)。液態冷卻劑的供應器266與冷卻劑輸送管264-1、264-2耦接,以將液態冷卻劑再次循環通過冷卻劑通道210。冷卻劑可以藉由外部的熱交換器而進行冷卻或加熱。
陰極208藉由陰極RF饋電導體244並透過選擇性設置的陰極偏壓可變RF阻抗元件270而耦接至偏壓RF阻抗匹配電路234的輸出。格柵饋電導體250除了與ESC電壓源252耦接以外,亦透過格柵偏壓可變RF阻抗元件272而耦接至偏壓RF阻抗匹配電路234的輸出。在一實施例中,陰極RF饋電導體244和格柵饋電導體250係透過選擇性設置的陰極接地可變阻抗元件274而耦接至RF接地。在一實施例中,陰極接地可變阻抗元件274是一帶通濾波器(band pass filter),其具有集中在VHF功率產生器的頻率之非常窄的通帶,並且阻隔RF偏壓功率產生器230和232的頻率。這個特性使得基座200可以作為電容耦合至腔室100中的VHF功率之RF接地回路電極,而不用將偏壓功率短接至接地。
系統控制器280控制阻抗元件270、272和274的可變阻抗、氣體供應器262的壓力、冷卻劑供應器266的流體溫度和流速、AC加熱器電源258-1和258-2的輸出電流,以及ESC電壓源252的直流輸出電壓。
第1A圖和第1B圖中所示的實施例中,整個格柵206位於晶圓204下方,且沒有任何一部分位於製程套組環214下方,所以任何施加至格柵206的RF偏壓功率係電容耦合至晶圓204,而相對較少或完全沒有RF偏壓功率為電容耦合至製程套組環214。陰極208的環狀周圍部分208a延伸於製程套組環214下方,因此一部分施加至陰極的RF偏壓功率係電容耦合至製程套組環214。這樣的結構使得可以藉由對格柵206和陰極208施加不同量的RF偏壓功率,來相對於晶圓204上的RF偏壓功率(或電流或電壓)調節製程套組環214上的RF偏壓功率(或電流或電壓)。
陰極和格柵可變RF阻抗元件270、272決定了陰極208和格柵206間的RF偏壓功率(或電流或電壓)的分配。為實現此目的,只需要可變阻抗270、272的其中之一者(雖然兩者之結合能夠增進調整範圍)。舉例來說,如果陰極可變阻抗元件270被一個從匹配電路234至陰極饋電導體244的直接電氣連接(electrical connection)所代替,那麽格柵可變阻抗元件272的阻抗自身就決定了陰極208和格柵206間的RF功率分配。這就改變了晶圓204和製程套組環214間的RF偏壓功率分配。如前所提及者,這是因為陰極208的環狀外部部分208a位於製程套組環214的正下方,並且將RF偏壓功率電容耦合至製程套組環214,同時,格柵206位於晶圓204下方並且不在製程套組環214下方,所以將其幾乎所有的RF偏壓功率電容耦合至晶圓204,而不是耦合至製程套組環214。當格柵可變阻抗元件272使得施加至陰極的RF功率比例增大或減小時,則耦合至製程套組環的RF功率也同樣分別相對於耦合到晶圓204的功率而增大或減小。現將詳述如何操作此種RF功率的分配。
圓盤202的厚度T和陰極208到製程套組環214的距離D係經選擇以「過度補償(over-compensate)」耦合至製程套組環上方的電漿相對於耦合至晶圓204中央部分上方的電漿的偏壓功率密度(或電壓或電流)。為此,製程套組環214與陰極208間的單位面積電容量必須設計為高於晶圓204與陰極208間的單位面積電容量。如果製程套組環214耦合至一比陰極208和環214間之RF耦合區域還要更大的區域,或者環214負載額外接地電容(例如徑向往外方向),那麽製程套組環214和陰極208間的單位面積電容量甚至必須更大於晶圓204和陰極208間的單位面積電容量以實現預期的過度補償。
環214的厚度可以選擇為「小厚度」,以保持該耗材的低成本,通常為約1-4mm。ESC圓盤202的熱阻(thermal resistance)和成本隨厚度增大,因此對於高導熱性材料(例如氮化鋁),則圓盤202的總厚度通常低於約25mm,對於低導熱性材料(例如氧化鋁物或氧化釔),則圓盤202的總厚度通常低於約10mm。舉例來說,如果選擇ESC圓盤總厚度為7mm,則選擇2mm厚度之石英、矽或碳化矽的製程套組環214。若選擇半導體材料(例如碳化矽或矽),則環214可將基座電極的有效區域甚至延伸超過陰極208基底的區域。在某些情況中,當反應器用於蝕刻含矽的材料時,則墊圈216為諸如石英的材料,環214為矽或碳化矽。除了延伸電極有效區域超過陰極208的直徑以外,蝕刻副產物可與來自蝕刻的晶圓之副產物更為相似,因此藉由使晶圓邊緣之局部副產物變化最小化,則可藉以增進對於邊緣的蝕刻均勻性。雖然具有低介電常數的材料(例如石英)的電極有效面積延伸至超過陰極直徑的部分少於高介電常數材料或半導體,但也可將此低介電常數材料應用於環214材料。舉例來說,墊圈216或者環214的材料可以選擇高介電常數材料,例如氧化釔。
RF格柵偏壓可變阻抗元件272可透過系統控制器280選擇。在將一真空可變電容器作為格柵可變RF阻抗元件272的主要元件之實例中,一低的最小電容值會將一極小的RF電流從陰極208轉移至格柵206。在此實例中,製程套組環214仍然會相對於RF偏壓功率的分配而過度補償(如上所討論者)。RF格柵偏壓可變阻抗元件272可以選擇為一較高的電容值,使得部分電流繞過陰極208及晶圓204間之基座結構的相對低電容。這樣就減小了通過製程套組環214而耦合至電漿的RF功率之過度補償。藉由為格柵可變阻抗元件272選擇一足夠高的電容值來使製程套組環214和陰極208間的單位面積有效電容低於晶圓204和陰極208間的單位面積有效電容(以可變阻抗元件的選定來比較,並藉由相同面積來衡量)。在此實例中,製程套組功率耦合將會被「不足補償(under-compensated)」。
當RF格柵偏壓可變阻抗元件272的不同電容值之選擇實質改變了陰極基底上的電壓以及提供至RF偏壓匹配電路234的陰極傳輸線之驅動點輸入阻抗時,偏壓RF阻抗匹配電路234藉由改變其內部元件之一者(例如一串聯元件)的電抗(reactance)來補償,藉以提供耦合至電漿的實質恆定功率。因此,雖然格柵可變阻抗元件272之電容變化係改變了與製程套組環214相鄰的電漿區域以及與晶圓204相鄰的電漿區域之間的功率密度(或電壓分配或電流密度)分配,然而這兩個區域的淨功率將保持實質恆定。
晶圓和製程套組區域間之相對的偏壓RF功率密度(或電壓密度或電流密度)的調整或分配(例如上文所述的過度補償或不足補償)可用來調整晶圓結構或特徵結構CD、輪廓角度(傾斜)、或蝕刻速率、或蝕刻選擇性以達到具體要求。其也可用來補償電漿參數的非均勻性(例如源自感應或電容耦合之電漿源功率的離子能量、離子角能量分佈或離子密度或離子流,或直流磁約束【magnetic confinement】等等)。特別的,在晶圓的極邊緣區域中,可以改變或矯正晶圓表面的RF電場線之傾斜來避免蝕刻輪廓結果的減弱,比如晶圓邊緣呈現蝕刻輪廓錐化和扭曲。
在一種相關方法中,將製程套組環214的材料選擇為能夠影響接近晶圓邊緣之電漿的化學物種成分。舉例來說,環的材料會與電漿反應,以消耗特定目標物種而增進接近晶圓邊緣的處理效能。或者是,環的材料會與電漿反應,以在接近晶圓邊緣處產生更多的期望物種而增進處理效能。控制器280可改變製程套組環214上的RF偏壓功率,以控制環214與電漿的參與率(participation rate),以視不同製程配方(recipe)或相同製程配方的不同階段而獲得不同的參與率。
在一種相反方法中,藉由調節環214的溫度來控制環214的參與率,同時,控制器280選擇環214的RF偏壓功率之分配,以在一套給定的製程條件下使工件邊緣的電漿偏壓鞘電場更為均勻(例如與工件之主要部分上的鞘電場一致)。這樣就消除或減小了晶圓邊緣的不均勻性,藉以獲得遍及晶圓表面且前進並至晶圓邊緣之較佳的離子速度之角分佈(或其他電漿性能參數)的均勻性。控制器280可以根據不同製程條件的產生,例如偏壓RF功率層級、RF功率層級、直流磁場層級和製程氣體組成等的改變,來調節耦合至環214的RF偏壓功率,藉以保持電場均勻性。
控制器280對於耦合至製程套組環214的RF偏壓功率之調整或分配亦可用來加強或降低製程套組環與電漿的反應,藉以對製程套組環214進行清潔或表面調理(condition)。
第2圖是第1A圖和第1B圖所示的實施例的變體,其中圓柱狀製程套組電極290軸向向上延伸經過陰極饋電絕緣體246、盤狀陰極絕緣體221和圓柱狀製程套組絕緣體220。製程套組電極290提供與製程套組環214的RF電氣耦接。在第2圖所示實施例中,選擇性設置的製程套組圈216已被消除,雖然亦可以選擇性地將其包含在第2圖的實施例中。在第2圖的實施例中,偏壓RF匹配電路234的輸出並未被連接至格柵饋電導體250,而是與製程套組電極290的底部末端耦合,並且亦透過格柵可變RF阻抗元件272而與陰極饋電導體244耦合。由控制器280所控制的選擇性設置製程套組可變阻抗元件273可被***偏壓RF匹配電路234的輸出和製程套組電極290之間。第2圖中,兩個可變阻抗元件272和273只需其中之一者即可。使用可變阻抗元件272和273中的任意一個都可以使控制器280能夠控制製程套組(經由電極290)和晶圓(經由陰極208)間的RF偏壓功率之分配。這種分配以類似於上文參照第1A圖和第1B圖所述的實施例中的方法來實現。
如本說明書上文中所述,製程套組環214的RF功率分配可用於使遍及晶圓表面且前進並至晶圓邊緣的電場均勻性最佳化,同時,所選材料的環214的參與率係藉由控制環214的溫度而獨立控制。製程套組環214的獨立溫度控制可以藉由在製程套組電極290內提供一組分離的內部冷卻劑通道292來實現。一組軸向延伸穿過製程套組電極290的冷卻劑導管294係將內部冷卻劑通道292耦接至製程套組冷卻劑供應器296。將來自製程套組ESC電壓源298的直流夾持電壓施加至製程套組電極290,則可將製程套組環214以靜電方式鉗合於適當位置。選擇性設置的RF隔離濾波器299阻擋RF電流而使其無法到達ESC電壓源298。製程套組環溫度的微調可以藉由改變由系統控制器280所控制的製程套組ESC電壓源298的輸出電壓來實現。藉由改變ESC對於製程套組環214和冷却後的電極290之間的夾持力,則可改變其彼此間的熱傳導,且該操作可以藉由系統控制器280來精確控制,這樣就可以對製程套組環214的溫度進行微調。或者是,或額外地,可以藉由在環214下方以及製程套組電極290的頂表面290a內提供氣體通道310,以促進製程套組環214的熱控制。延伸穿過製程套組電極290的氣體導管312係用於提供來自氣體供應器314的熱傳導氣體(例如氦氣)之供應。氣體供應器314的氣體壓力係由系統控制器280所控制。通道310內的壓力會影響電極與環之間的熱傳導,因此也影響環214的溫度。溫度感測器320位於製程套組絕緣體220的頂表面中,並且接觸製程套組環214。溫度感測器320的輸出可耦接至系統控制器280的輸入,藉此,系統控制器280可以對製程套組環214提供快速精確的閉合回路之溫度控制。舉例來說,這樣的閉合回路溫度控制可以按照給定製程配方之不同階段的要求而將環214調整到不同的目標溫度。
第3圖描述了第1A圖和第1B圖所述實施例的另一變體,此實施例中陰極或金屬板208為接地,而不是由RF偏壓功率來驅動。取而代之的是,RF偏壓功率係施加至位於製程套組212下方並嵌設於圓盤202內的製程套組格柵350。製程套組格柵350位於圓盤202內之一高度,而此高度係低於晶圓格柵206的高度。製程套組格柵350,或者是其至少一外部環狀部分,係位於製程套組環214下方,因此能夠將RF功率電容耦合至環214。晶圓格柵206完全位於晶圓204下方,因此施加至晶圓格柵206之實質所有RF功率係電容耦合至晶圓204。格柵206和350彼此為電性絕緣,因此提供了一種調整耦合至晶圓204和製程套組環214的不同RF功率層級的方法。
製程套組格柵RF饋電導體352延伸穿過中央絕緣體242和圓盤202,因此其頂端接觸製程套組格柵350。製程套組格柵RF饋電導體352的底端耦合至偏壓RF匹配電路234的輸出。選擇性設置的製程套組格柵可變阻抗元件271可***偏壓RF匹配電路234的輸出和饋電導體352之間。第3圖中,2個可變阻抗元件271和272只需其中之一就可以分配格柵206和350間的RF偏壓功率,然而兩者之結合可以獲得更大的調整範圍。
第3圖所示實施例還包括用於控制製程套組環214溫度的相同類型元件。具體而言,熱傳導氣體可以在製程套組環214下方循環,且製程套組環214以一可變力量而靜電夾持至圓盤202,以改變或控制環214和圓盤202介面的熱傳導,而用以控制環214的溫度。圓盤202的肩部202b界定了外部環狀圓盤表面202c,而製程套組環214係置於該外部環狀圓盤表面202c上。用於循環熱傳導氣體(例如氦氣)的通道311係形成於外部環狀圓盤表面202c中。當環214被夾持至圓盤外部環狀表面202c上時,通道311係完全封住。在第3圖之實施例中,環214係藉由ESC電壓源298而靜電夾持或吸引至圓盤外部環狀表面202c,而ESC電壓源298的輸出係耦合至製程套組格柵饋電導體352。選擇性設置的隔離濾波器299係***靜電夾持電壓源298和格柵饋電導體352之間。藉由系統控制器280改變ESC電壓源298的輸出,則可藉由改變環214上的靜電夾持力而改變環與圓盤間之熱傳導,由此便實現了環214的溫度微調。
第3圖所示的實施例可以藉由省略陰極絕緣體221而修改該實施例,藉此,金屬板208會如第4圖所示而接地至陰極接地外殼222。
參照第3圖而用於描述對環214進行熱控制的特徵結構可以併入第1B圖的實施例中,並且按照第3圖所述之方式進行操作。對於第1B圖所示實施例的改良如第5圖所示。第5圖所示實施例除了增加了某些如第3圖所示的熱控制特徵結構以外,其他皆與第1B圖所示的實施例相同。在第5圖中,製程套組墊圈216係被省略(雖然在其他實施過程中仍被保留),藉此,圓盤202可以延伸於製程套組環214下方,如第5圖所示。圓盤202中的肩部202b界定了位於環214底表面下方並且接觸該底表面的環狀圓盤表面202c。氣流通道311係形成於環狀圓盤表面202c中,並且耦接至獨立的熱傳導氣體(例如氦氣)之氣體供應器314。如第5圖所示,外部加熱元件211b位於環214的正下方。製程套組環214旁的溫度感測器320係耦接至系統控制器280。可選擇地,可將第二傳導格柵350嵌設於環214下方的圓盤202中,並用於將環214靜電夾持或吸引至環狀圓盤表面202c上。在第5圖所示之實施例中,第二傳導格柵350經由RF隔離濾波器299而耦接至ESC電壓源298。電壓源298係由系統控制器280控制,以改變環214上的夾持力,並藉以改變環溫度。
參照第1-5圖之各實施例所描述的可變阻抗元件270、271、272和273可用於分配製程套組和工件之間的RF偏壓功率。任何適用的可變電抗電路都可以用於實施可變阻抗元件270、271、272和273之各者。第6圖是一張簡化的示意圖,其顯示可變阻抗元件270、271、272和273其中之一的操作執行實例。第6圖中的可變阻抗元件包含了一與偏壓RF阻抗匹配電路234之輸出耦合的輸入端500,以及一輸出端502。在輸入端500和輸出端502之間係連接一串聯的可變電容器504。也可選擇在輸入端500和RF接地間連接一輸入並聯電容器506,並在輸出端502和RF接地間連接一輸出並聯電容器508。所有電容器504、506和508或其中之一者可以為可變電容器。在另一實施例中,電容器504、506和508之任一者可以用合適的電感器代替,而該電感器也可以為可變電感器。
雖然本發明已以特定態樣、特徵和實施例揭露如上,然應理解其並非用以限定本發明,任何熟習此技藝者當可依據內文作各種之更動、潤飾與替換。因此,在不脫離本發明之精神和範圍內,本發明之保護範圍當視後附之申請專利範圍所界定者為準,且涵蓋所有更動、潤飾與替代實施例。。
100...腔室
102...側壁
104...頂板
106...底板
108...氣體供應器
110...線圈天線
112...線圈天線
114...RF源功率產生器
116...RF源功率產生器
118...阻抗匹配元件
120...阻抗匹配元件
122...VHF產生器
124...阻抗匹配元件
126...頂部電極/法拉第屏蔽
128...外部環導體
130...觸指
132...真空泵
200...工件支撐基座
202...圓盤
202a...頂表面
202b...肩部
202c...環狀圓盤表面
203...通道陣列
204...工件
206...格柵
208...板/陰極
208a...陰極環狀外部部分/周圍部分
210...(冷卻劑流體流動)通道
211...(電)加熱器
211a...內部加熱器/加熱元件
211b...外部加熱器/加熱元件
212...組件
214...製程套組環
214a...肩部
216...墊圈/圈
218...間隙
220...製程套組絕緣體
221...陰極絕緣體
222...接地外殼
222a...(外部)環狀部分
222b...盤狀部分
224...擋板
230...RF偏壓功率產生器
232...RF偏壓功率產生器
234...RF偏壓阻抗匹配電路
240...(共軸導體)組件
242...絕緣體
244...饋電導體
246...饋電絕緣體
248...回路導體
250...饋電導體
252...靜電夾持電壓源/ESC電壓源
254...RF隔離濾波器
256-1、256-2...加熱器供電導體對
258-1...電源
258-2...電源
260-1、260-2...氣體輸送管
262...供應器
264-1、264-2...輸送管
266...供應器
270...可變RF阻抗元件
271...可變RF阻抗元件
272...可變RF阻抗元件
273...可變阻抗元件
274...可變阻抗元件
280...系統控制器
290...製程套組電極
290a...頂表面
292...通道
294...導管
296...供應器
298...電壓源
299...隔離濾波器
310、311...通道
312...氣體導管
314...氣體供應器
320...溫度感測器
350...格柵
352...格柵RF饋電導體
500...輸入端
502...輸出端
504...可變電容器
506...並聯電容器
508...並聯電容器
為讓本發明之上述特徵更明顯易懂,可配合參考實施例說明,其部分乃繪示如附圖式。應當理解,為了不使本發明產生誤解,某些習知的處理方法將不在此討論。
第1A圖繪示根據第一實施例之包括工件支撐基座的電漿反應器;
第1B圖是部分之第1A圖的放大視圖,其顯示關於晶圓支撐基座的某些細節;
第2圖繪示根據第二實施例之工件支撐基座;
第3圖繪示根據第三實施例之工件支撐基座;
第4圖繪示根據第四實施例之工件支撐基座;
第5圖是第1B圖中實施例的變體,其中包含了製程套組環的熱控制特徵結構;
第6圖是一張簡化電路圖,該電路可應用於第1圖至第4圖中分配製程套組和工件之間RF功率的可變阻抗元件之一者。
為便於了解,圖式中相同的元件符號表示相同的元件。某一實施例採用的元件當不需特別詳述而可應用到其他實施例。須注意的是,雖然所附圖式揭露本發明特定實施例,但其並非用以限定本發明之精神與範圍,任何熟習此技藝者,當可作各種之更動與潤飾而得等效實施例。
100...腔室
102...圓柱形側壁
104...頂板
106...底板
108...氣體供應器
110...線圈天線
112...線圈天線
114...RF源功率產生器
116...RF源功率產生器
118...阻抗匹配元件
120...阻抗匹配元件
122...VHF產生器
124...阻抗匹配元件
126...頂部電極/法拉第屏蔽
128...外部環導體
130...觸指
132...真空泵
200...工件支撐基座
202...圓盤
204...工件
206...格柵
208...板/陰極
230...RF偏壓功率產生器
232...RF偏壓功率產生器
234...RF偏壓阻抗匹配電路
240...(共軸導體)組件
252...靜電夾持電壓源/ESC電壓源
254...RF隔離濾波器
258-1...電源
258-2...電源
262...供應器
266...供應器
270...可變RF阻抗元件
272...可變RF阻抗元件
274...可變RF阻抗元件
280...系統控制器

Claims (8)

  1. 一種用於一電漿反應器腔室中的RF偏壓工件支撐系統,包括:一圓盤(puck),具有一工件支撐表面以支撐一工件;一工件電極,嵌設於該圓盤中,該工件電極位於該工件支撐表面的下方,且與該工件支撐表面為大致平行;一陰極,包括一金屬板,該金屬板位於該圓盤下方;一環狀製程套組環(collar),環繞該工件支撐表面的一周圍邊緣;一製程套組電極元件,位於該製程套組環的下方並包括一外部環狀圓柱狀導體,該外部環狀圓柱狀導體具有一頂端,該頂端連接至該環狀製程套組環;一陰極饋電元件,包括一中間環狀圓柱狀導體,該中間環狀圓柱狀導體位於該外部環狀圓柱狀導體內並與該外部環狀圓柱狀導體相隔,該中間環狀圓柱狀導體具有一頂端,該頂端連接至該陰極;一工件電極饋電元件,包括一內部導體,該內部導體位於該中間環狀圓柱狀導體內並與該中間環狀圓柱狀導體相隔,該內部導體具有一頂端,該頂端連接至該工件電極;一第一靜電夾持電壓源,耦接至該工件電極饋電元件之一底端;一RF電漿偏壓電源,耦合至該陰極饋電元件及該製 程套組電極元件;一可變RF阻抗元件,包含一電抗元件(reactive element),該電抗元件具有一可變電抗,該可變RF阻抗元件耦合於該RF電漿偏壓電源以及(a)該陰極饋電元件,(b)該製程套組電極元件其中之一者之間;一系統控制器,連接至該可變RF阻抗元件的一控制輸入,藉以控制該可變RF阻抗元件的該電抗元件的該可變電抗;一外部環狀絕緣層,環繞該圓盤和該金屬板;該製程套組電極元件,係設置於該外部環狀絕緣層中,並且軸向延伸通過該外部環狀絕緣層,該製程套組電極元件包含一位於該製程套組環的下方並與該製程套組環接觸的套組支撐表面;及一中間環狀絕緣體,位於該中間環狀圓柱狀導體和該外部環狀圓柱狀導體之間;其中該圓盤包括位於該工件支撐表面之相對側的一底表面,該系統更包括:一中央絕緣體,係軸向延伸通過該金屬板並終止於該圓盤之該底表面;該陰極饋電元件,其環繞該中央絕緣體;以及該工件電極饋電元件,係延伸穿過該中央絕緣體和該圓盤;一第二靜電夾持電壓源,耦合至該製程套組電極元件;以及 該系統控制器係連接以控制該第一靜電夾持電壓源和該第二靜電夾持電壓源各自的輸出電壓;一內部冷卻劑流動通道,位於該製程套組電極元件中,且一冷卻劑供應器耦接至該內部冷卻劑流動通道;以及一溫度感測器,與該製程套組環接觸;一感測器導體,延伸穿過該中央絕緣體並連接於該溫度感測器和該系統控制器之間,該系統控制器係適以回應該溫度感測器而控制該第二靜電夾持電壓源。
  2. 如申請專利範圍第1項所述之系統,其中該可變RF阻抗元件係連接於該RF電漿偏壓電源和該陰極饋電元件之間。
  3. 如申請專利範圍第2項所述之系統,更包括連接於該RF電漿偏壓電源和該製程套組電極元件之間的一第二可變RF阻抗元件,該系統控制器連接至該第二可變RF阻抗元件的一控制輸入,藉此控制該第二可變RF阻抗元件的一阻抗。
  4. 如申請專利範圍第1項所述之系統,更包括一陰極接地可變阻抗元件,且該陰極接地可變阻抗元件包括一輸入端和一接地端,該輸入端係耦合至該陰極饋電元件和該製程套組電極元件,該接地端係連接至一RF接地電 位。
  5. 如申請專利範圍第1項所述之系統,更包括:一第二靜電夾持電壓源,耦合至該製程套組電極元件,該系統控制器與該第一靜電夾持電壓源和該第二靜電夾持電壓源耦合,藉以分別控制施加至該工件支撐表面上的一工件和施加至該製程套組環上的夾持力;一內部冷卻劑流動通道,位於該製程套組電極元件中,且一冷卻劑供應器耦接至該內部冷卻劑流動通道;以及一溫度感測器,與該製程套組環接觸並耦接至該系統控制器,該控制器係適以回應該溫度感測器而控制該第二靜電夾持電壓源。
  6. 如申請專利範圍第1項所述之系統,更包括:多個內部冷卻劑流體流動通道,位於該製程套組電極元件內;以及多個氣流通道,位於該該套組支撐表面內。
  7. 如申請專利範圍第6項所述之系統,更包括:一第二靜電夾持電壓源,耦合至該製程套組電極元件;以及其中該系統控制器係連接以分別控制該第一靜電夾持電壓源和該第二靜電夾持電壓源之每一者的輸出電 壓。
  8. 如申請專利範圍第1項所述之系統,更包括:多個第一氣流通道,位於該工件支撐表面內;多個第二氣流通道,位於該製程套組環下方;一傳導氣體之一第一供應器和多個第一氣流導管,該等第一氣流導管係軸向延伸穿過該陰極饋電元件而位於該第一供應器和該等第一氣流通道之間;以及一傳導氣體之一第二供應器和多個第二氣流導管,該第二氣流導管係軸向延伸穿過該陰極饋電元件而位於該第二供應器和該等第二氣流通道之間。
TW098124886A 2008-07-23 2009-07-23 具有可控制分配rf功率至製程套組環之電漿反應器的工件支撐件 TWI494028B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/178,032 US20100018648A1 (en) 2008-07-23 2008-07-23 Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring

Publications (2)

Publication Number Publication Date
TW201031280A TW201031280A (en) 2010-08-16
TWI494028B true TWI494028B (zh) 2015-07-21

Family

ID=41567570

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098124886A TWI494028B (zh) 2008-07-23 2009-07-23 具有可控制分配rf功率至製程套組環之電漿反應器的工件支撐件

Country Status (7)

Country Link
US (1) US20100018648A1 (zh)
JP (1) JP5898955B2 (zh)
KR (1) KR101481377B1 (zh)
CN (1) CN102106191B (zh)
SG (1) SG192540A1 (zh)
TW (1) TWI494028B (zh)
WO (1) WO2010011521A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI800061B (zh) * 2020-11-27 2023-04-21 大陸商中微半導體設備(上海)股份有限公司 等離子體處理裝置
TWI800965B (zh) * 2020-12-23 2023-05-01 大陸商中微半導體設備(上海)股份有限公司 等離子體處理裝置及調節方法

Families Citing this family (140)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
US20140069584A1 (en) * 2008-07-23 2014-03-13 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven ceiling electrode
US7977123B2 (en) * 2009-05-22 2011-07-12 Lam Research Corporation Arrangements and methods for improving bevel etch repeatability among substrates
US9299539B2 (en) * 2009-08-21 2016-03-29 Lam Research Corporation Method and apparatus for measuring wafer bias potential
WO2012019017A2 (en) * 2010-08-06 2012-02-09 Applied Materials, Inc. Electrostatic chuck and methods of use thereof
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
JP5642531B2 (ja) * 2010-12-22 2014-12-17 東京エレクトロン株式会社 基板処理装置及び基板処理方法
KR101196422B1 (ko) * 2011-02-22 2012-11-01 엘아이지에이디피 주식회사 플라즈마 처리장치
JP6085079B2 (ja) * 2011-03-28 2017-02-22 東京エレクトロン株式会社 パターン形成方法、処理容器内の部材の温度制御方法、及び基板処理システム
US9966236B2 (en) * 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US9117867B2 (en) * 2011-07-01 2015-08-25 Applied Materials, Inc. Electrostatic chuck assembly
US9396908B2 (en) * 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
JP5905735B2 (ja) 2012-02-21 2016-04-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9070536B2 (en) * 2012-04-24 2015-06-30 Applied Materials, Inc. Plasma reactor electrostatic chuck with cooled process ring and heated workpiece support surface
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9948214B2 (en) * 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6120527B2 (ja) * 2012-11-05 2017-04-26 東京エレクトロン株式会社 プラズマ処理方法
CN103887136B (zh) * 2012-12-20 2016-03-09 上海华虹宏力半导体制造有限公司 一种适用于金属干法刻蚀半导体设备的刻蚀腔室
JP6080571B2 (ja) 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
WO2014149258A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber
US10125422B2 (en) 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US10032608B2 (en) 2013-03-27 2018-07-24 Applied Materials, Inc. Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground
CN104217914B (zh) * 2013-05-31 2016-12-28 中微半导体设备(上海)有限公司 等离子体处理装置
US9460894B2 (en) * 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
CN104347338A (zh) * 2013-08-01 2015-02-11 中微半导体设备(上海)有限公司 等离子体处理装置的冷却液处理***及方法
US9754765B2 (en) * 2013-09-30 2017-09-05 Applied Materials, Inc. Electrodes for etch
JP2015162266A (ja) * 2014-02-26 2015-09-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
CN103887138B (zh) * 2014-03-31 2017-01-18 上海华力微电子有限公司 一种刻蚀设备的边缘环
JP6335341B2 (ja) * 2014-07-23 2018-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 可変型温度制御式基板支持アセンブリ
JP2016046357A (ja) * 2014-08-22 2016-04-04 株式会社日立ハイテクノロジーズ プラズマ処理装置
WO2016034241A1 (en) * 2014-09-04 2016-03-10 Comet Ag Variable power capacitor for rf power applications
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9865437B2 (en) * 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6539113B2 (ja) * 2015-05-28 2019-07-03 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10153139B2 (en) * 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US10163610B2 (en) * 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10879041B2 (en) * 2015-09-04 2020-12-29 Applied Materials, Inc. Method and apparatus of achieving high input impedance without using ferrite materials for RF filter applications in plasma chambers
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
KR102649333B1 (ko) * 2015-12-07 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 정전 척들을 사용하여 기판들을 클램핑 및 디클램핑하기 위한 방법 및 장치
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
KR102158668B1 (ko) * 2016-04-22 2020-09-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 한정 피쳐들을 갖는 기판 지지 페디스털
US11837479B2 (en) * 2016-05-05 2023-12-05 Applied Materials, Inc. Advanced temperature control for wafer carrier in plasma processing chamber
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11532497B2 (en) * 2016-06-07 2022-12-20 Applied Materials, Inc. High power electrostatic chuck design with radio frequency coupling
KR101813497B1 (ko) 2016-06-24 2018-01-02 (주)제이하라 플라즈마 발생장치
CN107768299A (zh) * 2016-08-16 2018-03-06 北京北方华创微电子装备有限公司 承载装置及半导体加工设备
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10665433B2 (en) * 2016-09-19 2020-05-26 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6698502B2 (ja) * 2016-11-21 2020-05-27 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10395896B2 (en) * 2017-03-03 2019-08-27 Applied Materials, Inc. Method and apparatus for ion energy distribution manipulation for plasma processing chambers that allows ion energy boosting through amplitude modulation
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
WO2018194807A1 (en) * 2017-04-21 2018-10-25 Applied Materials, Inc. Improved electrode assembly
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
JP6865128B2 (ja) * 2017-07-19 2021-04-28 東京エレクトロン株式会社 プラズマ処理装置
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6703508B2 (ja) * 2017-09-20 2020-06-03 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
US10763150B2 (en) * 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10732615B2 (en) 2017-10-30 2020-08-04 Varian Semiconductor Equipment Associates, Inc. System and method for minimizing backside workpiece damage
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
KR20210007032A (ko) * 2018-06-08 2021-01-19 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 강화 화학 기상 증착 챔버에서 기생 플라즈마를 억제하기 위한 장치
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020036801A1 (en) * 2018-08-17 2020-02-20 Lam Research Corporation Rf power compensation to reduce deposition or etch rate changes in response to substrate bulk resistivity variations
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) * 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
JP7349329B2 (ja) * 2018-12-10 2023-09-22 東京エレクトロン株式会社 プラズマ処理装置及びエッチング方法
US11562887B2 (en) * 2018-12-10 2023-01-24 Tokyo Electron Limited Plasma processing apparatus and etching method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11367645B2 (en) * 2019-03-13 2022-06-21 Applied Materials, Inc. Temperature tunable multi-zone electrostatic chuck
JP7271330B2 (ja) 2019-06-18 2023-05-11 東京エレクトロン株式会社 載置台及びプラズマ処理装置
KR102214333B1 (ko) 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11894255B2 (en) * 2019-07-30 2024-02-06 Applied Materials, Inc. Sheath and temperature control of process kit
JP7370228B2 (ja) * 2019-11-22 2023-10-27 東京エレクトロン株式会社 プラズマ処理装置
US11646183B2 (en) * 2020-03-20 2023-05-09 Applied Materials, Inc. Substrate support assembly with arc resistant coolant conduit
JP7442365B2 (ja) * 2020-03-27 2024-03-04 東京エレクトロン株式会社 基板処理装置、基板処理システム、基板処理装置の制御方法および基板処理システムの制御方法
US11615966B2 (en) 2020-07-19 2023-03-28 Applied Materials, Inc. Flowable film formation and treatments
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11699571B2 (en) * 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
KR102592414B1 (ko) * 2020-11-23 2023-10-20 세메스 주식회사 전극 제어 유닛을 구비하는 기판 처리 장치
JP7071008B2 (ja) * 2020-12-04 2022-05-18 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW543347B (en) * 2001-05-15 2003-07-21 Tokyo Electron Ltd Plasma processor
JP2004022822A (ja) * 2002-06-17 2004-01-22 Shibaura Mechatronics Corp プラズマ処理方法および装置
TW200501253A (en) * 2003-02-07 2005-01-01 Tokyo Electron Ltd Plasma processing apparatus, ring component and plasma processing method
TWI236086B (en) * 2003-04-24 2005-07-11 Tokyo Electron Ltd Plasma processing apparatus, focus ring, and susceptor
CN1759473A (zh) * 2003-03-12 2006-04-12 东京毅力科创株式会社 半导体处理用的基板保持结构和等离子体处理装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3763031A (en) * 1970-10-01 1973-10-02 Cogar Corp Rf sputtering apparatus
US5668524A (en) * 1994-02-09 1997-09-16 Kyocera Corporation Ceramic resistor and electrostatic chuck having an aluminum nitride crystal phase
US5486975A (en) * 1994-01-31 1996-01-23 Applied Materials, Inc. Corrosion resistant electrostatic chuck
JP3191139B2 (ja) * 1994-12-14 2001-07-23 株式会社日立製作所 試料保持装置
JPH11144894A (ja) * 1997-08-29 1999-05-28 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6509542B1 (en) * 1999-09-30 2003-01-21 Lam Research Corp. Voltage control sensor and control interface for radio frequency power regulation in a plasma reactor
US6521292B1 (en) * 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
TW506234B (en) * 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
JP2003258074A (ja) * 2002-03-07 2003-09-12 Hitachi High-Technologies Corp 高周波電源及び半導体製造装置
JP4219734B2 (ja) * 2003-05-19 2009-02-04 東京エレクトロン株式会社 基板保持機構およびプラズマ処理装置
JP4504061B2 (ja) * 2004-03-29 2010-07-14 東京エレクトロン株式会社 プラズマ処理方法
ATE473513T1 (de) * 2004-11-12 2010-07-15 Oerlikon Trading Ag Impedanzanpassung eines kapazitiv gekoppelten hf- plasmareaktors mit eignung für grossflächige substrate
US20070283891A1 (en) * 2006-03-29 2007-12-13 Nobuyuki Okayama Table for supporting substrate, and vacuum-processing equipment
JP4935143B2 (ja) * 2006-03-29 2012-05-23 東京エレクトロン株式会社 載置台及び真空処理装置
JP5254533B2 (ja) * 2006-03-31 2013-08-07 東京エレクトロン株式会社 プラズマ処理装置と方法
JP2008053496A (ja) * 2006-08-25 2008-03-06 Sumitomo Precision Prod Co Ltd エッチング装置
JP4992389B2 (ja) * 2006-11-06 2012-08-08 東京エレクトロン株式会社 載置装置、プラズマ処理装置及びプラズマ処理方法
JP4754469B2 (ja) * 2006-12-15 2011-08-24 東京エレクトロン株式会社 基板載置台の製造方法
JP2009187673A (ja) * 2008-02-01 2009-08-20 Nec Electronics Corp プラズマ処理装置及び方法
JP5294669B2 (ja) * 2008-03-25 2013-09-18 東京エレクトロン株式会社 プラズマ処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW543347B (en) * 2001-05-15 2003-07-21 Tokyo Electron Ltd Plasma processor
JP2004022822A (ja) * 2002-06-17 2004-01-22 Shibaura Mechatronics Corp プラズマ処理方法および装置
TW200501253A (en) * 2003-02-07 2005-01-01 Tokyo Electron Ltd Plasma processing apparatus, ring component and plasma processing method
CN1759473A (zh) * 2003-03-12 2006-04-12 东京毅力科创株式会社 半导体处理用的基板保持结构和等离子体处理装置
TWI236086B (en) * 2003-04-24 2005-07-11 Tokyo Electron Ltd Plasma processing apparatus, focus ring, and susceptor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI800061B (zh) * 2020-11-27 2023-04-21 大陸商中微半導體設備(上海)股份有限公司 等離子體處理裝置
TWI800965B (zh) * 2020-12-23 2023-05-01 大陸商中微半導體設備(上海)股份有限公司 等離子體處理裝置及調節方法

Also Published As

Publication number Publication date
KR20110041541A (ko) 2011-04-21
JP2011529273A (ja) 2011-12-01
CN102106191B (zh) 2014-01-22
TW201031280A (en) 2010-08-16
SG192540A1 (en) 2013-08-30
JP5898955B2 (ja) 2016-04-06
KR101481377B1 (ko) 2015-01-12
WO2010011521A2 (en) 2010-01-28
WO2010011521A3 (en) 2010-04-22
CN102106191A (zh) 2011-06-22
US20100018648A1 (en) 2010-01-28

Similar Documents

Publication Publication Date Title
TWI494028B (zh) 具有可控制分配rf功率至製程套組環之電漿反應器的工件支撐件
US8734664B2 (en) Method of differential counter electrode tuning in an RF plasma reactor
US20140034239A1 (en) Differential counter electrode tuning in a plasma reactor with an rf-driven workpiece support electrode
US20140069584A1 (en) Differential counter electrode tuning in a plasma reactor with an rf-driven ceiling electrode
US20210296144A1 (en) Substrate support pedestal having plasma confinement features
CN108281342B (zh) 等离子体处理装置
TWI574345B (zh) 靜電夾盤
TWI713078B (zh) 用於控制電容耦合電漿製程設備之邊緣環的射頻振幅的基板支撐件及處理腔室
US7884025B2 (en) Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources
EP1953796A2 (en) Plasma reactor with ion distribution uniformity controller employing plural VHF sources
EP1953795A2 (en) Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
JP2005528790A (ja) プラズマエッチングリアクタ用のカソードペデスタル
KR20140063840A (ko) 반도체 처리를 위한 평면형 열적 존을 갖는 열적 플레이트
US20070209933A1 (en) Sample holding electrode and a plasma processing apparatus using the same
WO2010014433A2 (en) Field enhanced inductively coupled plasma (fe-icp) reactor
KR20180080996A (ko) 플라즈마 처리 장치
CN108630511B (zh) 下电极装置及半导体加工设备
KR20010042268A (ko) 오염 제어 방법 및 플라즈마 공정 챔버
TWI831061B (zh) 高溫雙極靜電卡盤
CN107004628B (zh) 用于高温rf应用的静电吸盘

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees